CN104046955A - 用于在低温下沉积SiN的Si前体 - Google Patents

用于在低温下沉积SiN的Si前体 Download PDF

Info

Publication number
CN104046955A
CN104046955A CN201410092569.5A CN201410092569A CN104046955A CN 104046955 A CN104046955 A CN 104046955A CN 201410092569 A CN201410092569 A CN 201410092569A CN 104046955 A CN104046955 A CN 104046955A
Authority
CN
China
Prior art keywords
precursor
silicon
sii
silicon nitride
approximately
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410092569.5A
Other languages
English (en)
Other versions
CN104046955B (zh
Inventor
A·J·尼斯卡宁
S·陈
V·波雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to CN201811364487.6A priority Critical patent/CN109252145B/zh
Publication of CN104046955A publication Critical patent/CN104046955A/zh
Application granted granted Critical
Publication of CN104046955B publication Critical patent/CN104046955B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的名称是用于在低温下沉积SiN的Si前体。提供用于通过原子层沉积(ALD)来沉积氮化硅膜的方法和前体。在一些实施方式中,硅前体包含碘配体。当沉积到如FinFET或其它类型的多栅极FET的三维结构上时,氮化硅膜在竖直与水平部分上均具有相对均匀的蚀刻速率。在一些实施方式中,本公开的各种氮化硅膜的蚀刻速率小于使用稀HF(0.5%)的热氧化物移除速率的一半。

Description

用于在低温下沉积SiN的Si前体
技术领域
本发明一般地涉及半导体器件制造的领域,并且更具体地说,涉及氮化硅膜的低温沉积和用于沉积氮化硅膜的前体。
背景技术
隔片在半导体制造中广泛用作针对后续加工步骤进行保护的结构。举例来说,在栅电极旁边形成的氮化物隔片可以在掺杂或植入步骤期间用作保护下方源极/汲极区域的遮罩。
随着半导体器件的物理几何结构缩小,栅电极隔片变得越来越小。隔片宽度受到可以在致密栅电极线上方保形沉积的氮化物厚度的限制。因此,氮化物隔片蚀刻工艺优选地具有高的隔片宽度与所沉积氮化物层厚度的比值。
当沉积在三维结构如沟槽结构上时,当前PEALD氮化硅工艺一般遭受到各向异性蚀刻特性。换句话说,在沟槽或翅片或另一种三维特征的侧壁上沉积的膜显示与在所述特征顶部区上的膜相比较差的膜特性。膜质量对于沟槽顶部上或在结构化晶片的平坦区上的目标应用来说是足够的,但在侧壁或其它非水平或竖直表面上不够。
图1A和1B图解氮化硅膜的一个典型实例,其可以用于隔片应用。除描述于本申请中的那些工艺之外,使用PEALD工艺在400℃下沉积膜。图1A图解在沉积在三维表面上之后但在用HF蚀刻之前的膜。随后通过将工件在0.5%HF中浸渍约60秒来进行蚀刻过程。图1B图解氮化硅膜的竖直部分所蚀刻的程度比所述膜水平部分的程度大。膜厚度以纳米表示。诸如此类的结构一般无法经受进一步加工,如在FinFET隔片应用中。
发明内容
在一些方面中,提供形成氮化硅膜的原子层沉积(ALD)方法。该ALD方法可以是等离子体增强型ALD方法或热ALD方法。所述方法允许沉积具有期望质量如良好的阶梯覆盖率和图案负载效应以及期望蚀刻特性的氮化硅膜。根据一些实施方式,当沉积到3维结构上时,该氮化硅膜在竖直和水平部分中均具有相对均匀的蚀刻速率。此类三维结构可以包括例如但不限于FinFET或其它类型的多栅极FET。在一些实施方式中,本公开的各种氮化硅膜的蚀刻速率小于使用稀HF(0.5%)的每分钟约2-3nm的热氧化物移除速率的一半。
在一些实施方式中,在反应室中的基板上沉积氮化硅膜的方法包含:将气相硅反应物引入反应空间中,使得硅前体吸附在基板表面上,移除过量硅反应物,使所吸附的硅反应物与由来自氮前体的等离子体所产生的反应性物质接触和移除过量反应性物质与反应副产物。重复这些步骤以获得期望厚度的氮化硅膜。
在一些实施方式中,硅前体包含如本文所描述的式(1)-(8)的前体。在一些实施方式中,硅前体选自由以下组成的组:HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2和H5Si2I。在一些实施方式中,硅前体是H2SiI2。反应性物质可以包含例如氢气、氢原子、氢等离子体、氢自由基、N*、NH*或NH2*自由基。
在一些实施方式中,氮化硅膜沉积在三维结构上。在一些实施方式中,氮化硅膜展现至少约80%的阶梯覆盖率和图案负载效应。在一些实施方式中,结构包含侧壁和顶部区,并且在0.5%dHF中,氮化硅膜的侧壁湿式蚀刻速率(WER)相对于顶部区WER小于约3。在一些实施方式中,在0.5%HF水溶液中,氮化硅膜的蚀刻速率小于约0.4nm/min。
在一些实施方式中,沉积氮化硅膜的方法包含:将包含至少一个三维特征的基板加载到反应空间中,将硅前体引入反应空间中,使得硅前体吸附在基板表面上,吹扫反应空间的过量硅前体,将氮前体引入反应空间中,吹扫反应空间的过量氮前体和重复所述步骤以产生期望厚度的膜。在一些实施方式中,膜在三维特征上的阶梯覆盖率超过约50%。在一些实施方式中,在5%HF水溶液中,氮化硅膜的湿式蚀刻速率小于约5nm/min。在一些实施方式中,氮化硅膜在三维结构侧壁中的蚀刻速率与在顶部表面上的蚀刻速率的比值小于约4。在一些实施方式中,阶梯覆盖率是至少约80%或90%。
在一些实施方式中,在基板上沉积氮化硅膜的方法包含:将基板暴露于气相硅前体中,使得硅前体吸附在基板表面上,将基板暴露于吹扫用气体和/或真空中,以从基板表面移除过量前体和反应副产物,使所吸附的硅前体与由氮等离子体所产生的物质接触,将基板暴露于吹扫用气体和/或真空中,以从基板表面并从基板表面附近移除含氮等离子体物质和反应副产物和重复所述步骤以产生期望厚度的膜。
在一些实施方式中,在基板上沉积氮化硅膜的方法包含:将基板暴露于气相硅反应物中,使得硅反应物吸附在基板表面上,将基板暴露于吹扫用气体和/或真空中,以从基板表面移除过量前体和反应副产物,使所吸附的硅反应物与氮前体接触,将基板暴露于吹扫用气体和/或真空中以从基板表面并从基板表面附近移除过量氮前体和反应副产物和重复所述步骤以产生期望厚度的膜。
在一些实施方式中,硅前体包含碘或溴。在一些实施方式中,膜的阶梯覆盖率超过约50%。在一些实施方式中,在0.5%HF水溶液中,氮化硅的蚀刻速率小于约5nm/min。在一些实施方式中,氮化硅在三维结构侧壁上的蚀刻速率与在三维结构顶部表面上的蚀刻速率的比值小于约4。
附图说明
由具体实施方式和附图将更好地理解本发明,所述附图意在说明而非限制本发明,并且其中:
图1A和1B图解氮化硅膜上刻蚀过程的结果。
图2是一般地图解根据本公开的一些实施方式通过ALD工艺来形成氮化硅膜的方法的流程图。
图3是图解根据本公开的一些实施方式通过PEALD工艺来形成氮化硅薄膜的方法的流程图。
图4是图解根据本公开的一些实施方式通过热ALD工艺来形成氮化硅薄膜的方法的流程图。
图5A-5C图解根据本公开的一些实施方式所沉积的各种氮化硅膜的场发射扫描电子显微法(FESEM)图像。
图6A-6C图解图5A-5B的氮化硅膜在暴露于2分钟dHF浸渍之后的FESEM图像。
具体实施方式
如对熟练技工而言显而易见,氮化硅膜具有多种多样的应用,如用于平面逻辑、DRAM和NAND闪存器件。更具体地说,显示均匀蚀刻特性的保形氮化硅薄膜不仅在半导体工业中而且在半导体工业之外具有多种多样的应用。根据本公开的一些实施方式,提供各种氮化硅膜和用于通过原子层沉积(ALD)来沉积那些膜的前体和方法。重要的是,在一些实施方式中,当沉积到3维结构上时,氮化硅膜在竖直和水平部分中均具有相对均匀的蚀刻速率。这些三维结构可以包括例如但不限于FinFET或其它类型的多栅极FET。在一些实施方式中,本公开的各种氮化硅膜的蚀刻速率小于使用稀HF(0.5%)的每分钟约2-3nm的热氧化物移除速率的一半。
可以通过等离子体增强型原子层沉积(PEALD)型工艺或通过热ALD工艺来沉积包含氮化硅的薄膜层。在一些实施方式中,通过PEALD在基板上沉积氮化硅薄膜。在一些实施方式中,通过热ALD工艺在基板上沉积氮化硅薄膜。在一些实施方式中,氮化硅薄膜沉积在三维结构如在形成finFET器件中的翅片上方。
为方便和简单起见,氮化硅的化学式在本文中一般以SiN形式提及。然而,熟练技工将理解,氮化硅的实际化学式——呈现膜中Si:N比值并且排除氢或其它杂质——可以SiNx表示,其中x在约0.5到约2.0间变化,只要形成一些Si-N键即可。在一些情况下,x可以在约0.9到约1.7、约1.0到约1.5或约1.2到约1.4间变化。在一些实施方式中,所形成氮化硅中的Si具有+IV的氧化态,并且材料中氮化物的量可能变化。
ALD型工艺是基于受控的、通常自限制的表面反应。通常通过使基板交替和依序与反应物接触来避免气相反应。气相反应物例如通过在反应物脉冲之间移除过量反应物和/或反应物副产物而在反应室中彼此分离。可以借助吹扫用气体和/或真空从基板表面附近移除反应物。在一些实施方式中,通过例如用惰性气体吹扫从反应空间中移除过量反应物和/或反应物副产物。
本文所呈现的方法提供SiN薄膜在基板表面上的沉积。归因于ALD型工艺的性质,几何学上具有挑战性的应用也是可能的。根据一些实施方式,使用ALD型工艺在如集成电路工件的基板上形成SiN薄膜,并且在一些实施方式中,在基板上的三维结构上形成SiN薄膜。
图2是一般地图解根据一些实施方式可以用于沉积氮化硅薄膜的氮化硅ALD沉积循环的流程图。根据某些实施方式,通过包含多个氮化硅沉积循环的ALD型工艺在基板上形成氮化硅薄膜,每一个氮化硅沉积循环200均包含:
(1)使基板与硅前体接触210,使得硅前体吸附在基板表面上;
(2)使基板与氮前体接触220;和
(3)重复步骤210和220多次,次数与获得具有期望厚度与组成的薄膜所需的次数一样多。
在每一个接触步骤之后,可以例如通过用惰性气体从反应空间吹扫来从基板附近移除过量反应物。以下论述更详细地阐述这些步骤中的每一步。
PEALD工艺
在一些实施方式中,使用等离子体增强型ALD(PEALD)工艺来沉积SiN膜。简言之,将基板或工件放置在反应室中,并且使之经受交替重复的表面反应。在一些实施方式中,通过重复自限制ALD循环来形成薄SiN膜。优选地,对形成SiN膜而言,每一个ALD循环均包含至少两个不同的阶段。向反应空间中提供反应物和将其移除可以视为一个阶段。在第一阶段中,提供包含硅的第一反应物,并且在基板表面上形成不超过约一个单层。此反应物在本文中也称为“硅前体”、“含硅前体”或“硅反应物”,并且可以是例如H2SiI2
在第二阶段中,提供包含反应性物质的第二反应物,并且其可以将所吸附的硅转化成氮化硅。在一些实施方式中,第二反应物包含氮前体。在一些实施方式中,反应性物质包含受激的物质。在一些实施方式中,第二反应物包含来自含氮等离子体的物质。在一些实施方式中,第二反应物包含氮自由基、氮原子和/或氮等离子体。第二反应物可以包含不是氮前体的其它物质。在一些实施方式中,第二反应物可以包含呈一种形式或另一种形式的氢等离子体、氢自由基或原子氢。在一些实施方式中,第二反应物可以包含例如呈自由基形式、呈等离子体形式或呈元素形式的来自惰性气体如He、Ne、Ar、Kr或Xe、优选地是Ar或He的物质。这些来自惰性气体的反应性物质不一定为所沉积的膜提供材料,但可以在一些情况下有助于膜生长以及有助于等离子体的形成和点火。在一些实施方式中,用于形成等离子体的气体可以在整个沉积过程中持续流动,但仅间歇地被活化。在一些实施方式中,第二反应物不包含来自惰性气体如Ar的物质。因此,在一些实施方式中,所吸附的硅前体不与由来自Ar的等离子体所产生的反应性物质接触。
视需要可以添加其它阶段并且可以去除阶段以调节最终膜的组成。
可以借助载气如Ar或He来提供反应物中的一种或多种。在一些实施方式中,借助载气来提供硅前体和第二反应物。
在一些实施方式中,可以将所述阶段中的两者重叠或组合。举例来说,可以在部分或完全重叠的脉冲中同时提供硅前体和第二反应物。另外,尽管称为第一与第二阶段和第一与第二反应物,但阶段的次序可以变化,并且ALD循环可以从任一阶段开始。也就是说,除非另外指明,否则可以按任何次序提供反应物,并且所述工艺可以从任一反应物开始。
如下文更详细论述,在用于沉积氮化硅膜的一些实施方式中,一个或一个以上沉积循环从提供硅前体开始,继而是提供第二前体。在其它实施方式中,沉积可以从提供第二前体开始,继而是提供硅前体。
在一些实施方式中,将上面需要进行沉积的基板如半导体工件加载到反应器中。反应器可以是在形成集成电路中进行多种不同工艺的群集工具的一部分。在一些实施方式中,利用流动型反应器。在一些实施方式中,利用喷头型反应器。在一些实施方式中,利用空间分隔反应器。在一些实施方式中,使用能够大量制造的单晶片ALD反应器。在其它实施方式中,使用包含多个基板的分批反应器。对于使用分批ALD反应器的实施方式,基板数量优选地在10到200范围内,更优选地在50到150范围内,并且最优选地在100到130范围内。
专门被设计成用于增强ALD工艺的例示性单晶片反应器可以商品名称2000和3000购自亚利桑那州菲尼克斯ASM美国公司(ASMAmerica,Inc.(Phoenix,AZ))和以商品名称XP、XP8和购自日本东京ASM日本株式会社(ASM Japan K.K(日本东京))。专门被设计成用于增强ALD工艺的例示性分批ALD反应器可购自和以商品名称A400TM和A412TM购自荷兰阿尔梅勒ASM欧洲公司(ASM Europe B.V(荷兰Almere))。
在一些实施方式中,必要时可以预处理工件的暴露表面,以提供用以与ALD工艺第一阶段物质反应的反应性位点。在一些实施方式中,不需要单独的预处理步骤。在一些实施方式中,预处理基板以提供所需表面终止状态。在一些实施方式中,用等离子体预处理基板。
在反应物脉冲之间,从基板附近,并且尤其从基板表面移除过量反应物和反应副产物,若存在的话。在一些实施方式中,在反应物脉冲之间如通过用惰性气体吹扫来吹扫反应室。每一种反应物的流动速率和时间均是可调的,移除步骤也是可调的,从而允许控制膜的质量和各种特性。
如上文所提及,在一些实施方式中,在每一个沉积循环期间或在整个ALD工艺期间连续地向反应室中提供气体,并且在反应室中或在反应室上游处通过在气体中产生等离子体来提供反应性物质。在一些实施方式中,气体包含氮气。在一些实施方式中,气体是氮气。在其它实施方式中,气体可以包含氦气或氩气。在一些实施方式中,气体是氦气或氮气。流动气体还可以充当用于第一和/或第二反应物(或反应性物质)的吹扫用气体。举例来说,流动氮气可以充当第一硅前体的吹扫用气体,并且还充当第二反应物(充当反应性物质的来源)。在一些实施方式中,氮气、氩气或氦气可以充当用于第一前体的吹扫用气体和用于将硅前体转化成氮化硅膜的受激物质的来源。在一些实施方式中,产生等离子体的气体不包含氩气,并且所吸附的硅前体不与由来自Ar的等离子体所产生的反应性物质接触。
重复循环,直到获得具有期望厚度和组成的膜。在一些实施方式中,在ALD工艺期间的一个或一个以上沉积循环中,可以改变沉积参数,如流动速率、流动时间、吹扫时间和/或反应物本身,以获得具有所需特性的膜。在一些实施方式中,在沉积循环中或在沉积过程中不提供氢气和/或氢等离子体。
术语“脉冲”可以理解为包含将反应物送到反应室中,持续预定量的时间。术语“脉冲”不限制脉冲的长度或持续时间,并且脉冲可以是任何时间长度。
在一些实施方式中,首先提供硅反应物。在初始表面终止状态之后,若必要或需要,将第一硅反应物脉冲供应到工件上。根据一些实施方式,第一反应物脉冲包含载气流和与所关注工件表面具有反应性的挥发性硅物质,如H2SiI2。因此,硅反应物吸附在这些工件表面上。第一反应物脉冲使工件表面自饱和,使得第一反应物脉冲的任何过量成分不进一步与通过此工艺形成的分子层反应。
第一硅反应物脉冲优选地以气态形式供应。出于本发明描述的目的,如果硅前体气体在工艺条件下展现的蒸气压足以使物质以足以使暴露表面饱和的浓度传输到工件上,那么所述物质被视为“挥发性的”。
在一些实施方式中,硅反应物脉冲是约0.05秒到约5.0秒、约0.1秒到约3秒或约0.2秒到约1.0秒。熟练技工可以基于具体情况容易地确定最佳脉冲时间。
在一些实施方式中,选择硅反应物消耗速率以向反应空间中提供所需剂量的前体。反应物消耗是指从反应物来源如反应物来源瓶消耗的反应物的量,并且可以通过在某一数目的沉积循环前后对反应物来源进行称重并用质量差除以循环数目来加以测定。在一些实施方式中,硅反应物消耗超过约0.1毫克/循环。在一些实施方式中,硅反应物消耗是约0.1毫克/循环到约50毫克/循环、约0.5毫克/循环到约30毫克/循环或约2毫克/循环到约20毫克/循环。在一些实施方式中,最小优选硅反应物消耗可以至少部分地由反应器尺寸如被加热的反应器表面积限定。在一些实施方式中,在被设计成用于300mm硅晶片的喷头反应器中,硅反应物消耗超过约0.5毫克/循环,或超过约2.0毫克/循环。在一些实施方式中,在被设计成用于300mm硅晶片的喷头反应器中,硅反应物消耗超过约5毫克/循环。在一些实施方式中,在被设计成用于300mm硅晶片的喷头反应器中,在低于400℃的反应温度下,硅反应物消耗超过约1毫克/循环,优选地超过5毫克/循环。
在足以使分子层吸附在基板表面上的时间之后,随后从反应空间中移除过量第一硅反应物。在一些实施方式中,通过停止第一化学流同时使载气或吹扫用气体继续流动足以从反应空间中扩散或吹扫过量反应物和反应物副产物(若存在)的时间来吹扫过量第一反应物。在一些实施方式中,借助在整个ALD循环中流动的惰性气体如氮气或氩气吹扫过量第一前体。
在一些实施方式中,吹扫第一反应物约0.1秒到约10秒、约0.3秒到约5秒或约0.3秒到约1秒。硅反应物的提供和移除可以被视为ALD循环的第一阶段或硅阶段。
在第二阶段中,向工件提供包含反应性物质如氮等离子体的第二反应物。在一些实施方式中,在每一个ALD循环期间,氮气(N2)连续地流到反应室中。可以通过在反应室中或在反应室上游处例如通过使氮气流经远程等离子体发生器在氮气中产生等离子体来形成氮等离子体。
在一些实施方式中,在流动的H2和N2气体中产生等离子体。在一些实施方式中,向反应室中提供H2和N2,随后将等离子体点燃或形成氮和氢原子或自由基。不受任何理论束缚,认为氢气对配体移除步骤可能具有有益影响,即其可以移除一些残余配体或对膜质量具有其它有益影响。在一些实施方式中,向反应室中连续地提供H2和N2,并且在需要时产生或供应含有氮和氢的等离子体、原子或自由基。
通常提供例如包含氮等离子体的第二反应物约0.1秒到约10秒。在一些实施方式中,提供第二反应物如氮等离子体约0.1秒到约10秒、0.5秒到约5秒或0.5秒到约2.0秒。然而,视反应器类型、基板类型和其表面积而定,第二反应物脉冲时间可以甚至高于约10秒。在一些实施方式中,脉冲时间可以是约数分钟。熟练技工可以基于具体情况容易地确定最佳脉冲时间。
在一些实施方式中,在两个或两个以上不同的脉冲中提供第二反应物,而不在所述两个或两个以上脉冲中的任何两者之间引入另一种反应物。举例来说,在一些实施方式中,在两个或两个以上、优选地在两个依序脉冲中提供氮等离子体,而不在依序脉冲之间引入Si前体。在一些实施方式中,在提供氮等离子体期间,如下产生两个或两个以上依序等离子体脉冲:提供等离子体放电持续第一段时间,停止等离子体放电持续第二段时间,例如约0.1秒到约10秒、约0.5秒到约5秒或约1.0秒到约4.0秒,并且再次激发等离子体放电持续第三段时间,随后引入另一种前体或进行移除步骤,如随后引入Si前体或进行吹扫步骤。可以用相同方式引入其它等离子体脉冲。在一些实施方式中,在每一个脉冲中,点火等离子体持续相等的时间。
在一些实施方式中,可以通过施加约10W到约2000W、优选地约50W到约1000W、更优选地约100W到约500W的射频功率(RF power)来产生氮等离子体。在一些实施方式中,射频功率密度可以是约0.02W/cm2到约2.0W/cm2,优选地是约0.05W/cm2到约1.5W/cm2。可以将射频功率施加到在氮等离子体脉冲时间期间流动、连续流经反应室和/或流经远程等离子体发生器的氮气上。因此,在一些实施方式中,原位产生等离子体,而在其它实施方式中远程产生等离子体。在一些实施方式中,利用喷头反应器并且在基座(基板定位在其顶部)与喷头板之间产生等离子体。在一些实施方式中,基座与喷头板之间的间隙是约0.1cm到约20cm、约0.5cm到约5cm或约0.8cm到约3.0cm。
在足以使预先吸附的分子层完全饱和并且与氮等离子体脉冲完全反应的时间之后,从反应空间中移除任何过量的反应物和反应副产物。如同第一反应物的移除,此步骤可以包含停止产生反应性物质和继续使惰性气体如氮气或氩气流动足以使过量反应性物质和挥发性反应副产物从反应空间中扩散出来和吹扫掉的时间。在其它实施方式中,可以使用单独的吹扫用气体。在一些实施方式中,吹扫可以是约0.1秒到约10秒、约0.1秒到约4秒或约0.1秒到约0.5秒。氮等离子体提供与移除一起表示氮化硅原子层沉积循环的第二反应性物质阶段。
两个阶段一起代表一个ALD循环,重复所述循环以形成期望厚度的氮化硅薄膜。虽然本文中提及的ALD循环一般从硅阶段开始,但考虑在其它实施方式中循环可以从反应性物质阶段开始。所属领域的技术人员将认识到,第一前体阶段物质一般与前一个循环中最后一个阶段留下的终止状态物质反应。因此,虽然如果反应性物质阶段是第一ALD循环中的第一阶段,那么可以没有反应物预先吸附在基板表面上或存在于反应空间中,但在后续循环中,反应性物质阶段将有效地跟随硅阶段。在一些实施方式中,在沉积过程中提供一个或一个以上不同的ALD循环。
根据本公开的一些实施方式,PEALD反应可以在约25℃到约700℃、优选地约50℃到约600℃、更优选地约100℃到约450℃并且最优选地约200℃到约400℃范围内的温度下进行。在一些实施方式中,最佳反应器温度可以受最大允许热预算限制。因此,在一些实施方式中,反应温度是约300℃到约400℃。在一些应用中,最大温度在约400℃附近,并且因此,PEALD工艺在此反应温度下进行。
根据本公开的一些实施方式,在加工期间,反应室的压力维持在约0.01托到约50托,优选地约0.1托到约10托。
Si前体
多种适合的硅前体可以用于当前公开的PEALD工艺。适合的前体中的至少一些可以具有以下通式:
(1)H2n+2-y-zSinXyAz
其中,n=1-10,y=1或1以上(并且至多2n+2-z),z=0或0以上(并且至多2n+2-y),X是I或Br,并且A是除X之外的卤素,优选地n=1-5并且更优选地n=1-3并且最优选地1-2。
根据一些实施方式,硅前体可以包含一种或一种以上环状化合物。此类前体可以具有以下通式:
(2)H2n-y-zSinXyAz
其中式(2)化合物是环状化合物,n=3-10,y=1或1以上(并且至多2n-z),z=0或0以上(并且至多2n-y),X是I或Br,并且A是除X之外的卤素,优选地n=3-6。
根据一些实施方式,硅前体可以包含一种或一种以上碘硅烷。此类前体可以具有以下通式:
(3)H2n+2-y-zSinIyAz
其中,n=1-10,y=1或1以上(并且至多2n+2-z),z=0或0以上(并且至多2n+2-y),并且A是除I之外的卤素,优选地n=1-5并且更优选地n=1-3并且最优选地1-2。
根据一些实施方式,一些硅前体可以包含一种或一种以上环状碘硅烷。此类前体可以具有以下通式:
(4)H2n-y-zSinIyAz
其中式(4)化合物是环状化合物,n=3-10,y=1或1以上(并且至多2n-z),z=0或0以上(并且至多2n-y),并且A是除I之外的卤素,优选地n=3-6。
根据一些实施方式,一些硅前体可以包含一种或一种以上溴硅烷。此类前体可以具有以下通式:
(5)H2n+2-y-zSinBryAz
其中,n=1-10,y=1或1以上(并且至多2n+2-z),z=0或0以上(并且至多2n+2-y),并且A是除Br之外的卤素,优选地n=1-5并且更优选地n=1-3并且最优选地1-2。
根据一些实施方式,一些硅前体可以包含一种或一种以上环状溴硅烷。此类前体可以具有以下通式:
(6)H2n-y-zSinBryAz
其中式(6)化合物是环状化合物,n=3-10,y=1或1以上(并且至多2n-z),z=0或0以上(并且至多2n-y),并且A是除Br之外的卤素,优选地n=3-6。
根据一些实施方式,优选的硅前体包含一种或一种以上碘硅烷。此类前体可以具有以下通式:
(7)H2n+2-ySinIy
其中n=1-5,y=1或1以上(至多2n+2),优选地n=1-3并且更优选地n=1-2。
根据一些实施方式,优选的硅前体包含一种或一种以上溴硅烷。此类前体可以具有以下通式:
(8)H2n+2-ySinBry
其中n=1-5,y=1或1以上(至多2n+2),优选地n=1-3并且更优选地n=1-2。
根据PEALD工艺的一些实施方式,适合的硅前体可以至少包括具有通式(1)到(8)中任一个的化合物。在通式(1)到(8)中,卤化物/卤素可以包括F、Cl、Br和I。在一些实施方式中,硅前体包含SiI4、HSiI3、H2SiI2、H3SiI、Si2I6、HSi2I5、H2Si2I4、H3Si2I3、H4Si2I2、H5Si2I或Si3I8。在一些实施方式中,硅前体包含HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2和H5Si2I中的一个。在一些实施方式中,硅前体包含HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2和H5Si2I中的两个、三个、四个、五个或六个,包括其任何组合。
在某些实施方式中,Si前体是H2SiI2
在一些实施方式中,PEALD工艺中可以使用以下式(9)-(28)的Si前体。
N前体
如上文所论述,在根据本发明的PEALD工艺中第二反应物可以包含氮前体,所述氮前体可以包含反应性物质。适合的等离子体组合物包括呈一种形式或另一种形式的氮等离子体、氮自由基或氮原子。在一些实施方式中,还提供呈一种形式或另一种形式的氢等离子体、氢自由基或氢原子。并且在一些实施方式中,等离子体还可以含有呈等离子体形式、呈自由基形式或呈原子形式的惰性气体,如He、Ne、Ar、Kr和Xe,优选地Ar或He。在一些实施方式中,第二反应物不包含来自惰性气体如Ar的任何物质。因此,在一些实施方式中,在包含惰性气体的气体中不产生等离子体。
因此,在一些实施方式中,第二反应物可以包含由具有N与H的化合物如NH3和N2H4、N2/H2混合物或具有N-H键的其它前体形成的等离子体。在一些实施方式中,可以至少部分地由N2形成第二反应物。在一些实施方式中,可以至少部分地由N2和H2形成第二反应物,其中所提供的N2和H2的流量比(N2/H2)是约20:1到约1:20,优选地是约10:1到约1:10,更优选地是约5:1到约1:5,并且最优选地是约1:2到约4:1,并且在一些情况下是1:1。
在一些实施方式中,可以远离基板或反应空间通过等离子体放电来远程地形成第二反应物(“远程等离子体”)。在一些实施方式中,可以在基板附近或直接在基板上形成第二反应物(“直接等离子体”)。
图3是一般地图解根据一些实施方式可以用于沉积氮化硅薄膜的氮化硅PEALD沉积循环的流程图。根据某些实施方式,通过包含多个氮化硅沉积循环的PEALD型工艺在基板上形成氮化硅薄膜,每一个氮化硅沉积循环300均包含:
(1)使基板与气化硅前体接触310,使得硅化合物吸附在基板表面上;
(2)将氮前体引入反应空间中320;
(3)由氮前体产生反应性物质330;和
(4)使基板与反应性物质接触340,由此将所吸附的硅化合物转化成氮化硅。
氮气可以在整个循环中连续地流动,并且在适当时间形成氮等离子体以将所吸附的硅化合物转化成氮化硅。
如上文所提及,在一些实施方式中,基板可以同时与硅化合物和反应性物质接触,而在其它实施方式中,单独地提供这些反应物。
重复接触步骤350,直到获得具有期望厚度和组成的薄膜。可以在每一个接触步骤即步骤310和340之后从反应空间中吹扫过量反应物。
根据一些实施方式,使用PEALD工艺在具有三维特征的基板上如在FinFET应用中沉积氮化硅薄膜。所述工艺可以包含以下步骤:
(1)在反应空间中提供包含三维结构的基板;
(2)将含硅前体如SiI2H2引入反应空间中,使得所述含硅前体吸附到基板的表面上;
(3)从反应空间中移除过量含硅前体和反应副产物;
(4)将含氮前体如N2、NH3、N2H4或N2和H2引入反应空间中;
(5)由氮前体产生反应性物质;
(6)使基板与反应性物质接触;和
(7)移除过量氮原子、等离子体或自由基和反应副产物;
可以重复步骤(2)到(7),直到形成期望厚度的氮化硅膜。
在一些实施方式中,步骤(5)和(6)由以下步骤代替:远程形成氮原子、等离子体或自由基并将其提供到反应空间中。
在一些实施方式中,在介于约200℃到约400℃之间、约300℃与约400℃之间或在约400℃下的温度下进行PEALD工艺。
热ALD工艺
本文所呈现的方法还允许通过热ALD工艺在基板表面上沉积氮化硅膜。使用这些热工艺,几何学上具有挑战性的应用如3维结构也是可能的。根据一些实施方式,热原子层沉积(ALD)型工艺用于在如集成电路工件的基板上形成氮化硅膜。
将基板或工件放置在反应室中,并且使之经受交替重复的自限制表面反应。优选地,对形成氮化硅膜而言,每一个热ALD循环均包含至少两个不同的阶段。向反应空间中提供反应物和将其移除可以被视为一个阶段。在第一阶段中,提供包含硅的第一反应物,并且在基板表面上形成不超过约一个单层。此反应物在本文中也称为“硅前体”或“硅反应物”,并且可以是例如H2SiI2。在第二阶段中,提供包含含氮化合物的第二反应物,并且使之与所吸附的硅前体反应以形成SiN。此第二反应物也可以称为“氮前体”或“氮反应物”。第二反应物可以包含NH3或另一种适合的含氮化合物。视需要可以添加其它阶段并且可以去除阶段以调节最终膜的组成。
可以借助载气如Ar或He来提供所述反应物中的一个或一个以上。在一些实施方式中,借助载气来提供硅前体和氮前体。
在一些实施方式中,可以将所述阶段中的两者重叠或组合。举例来说,可以在部分或完全重叠的脉冲中同时提供硅前体和氮前体。另外,尽管称为第一与第二阶段和第一与第二反应物,但阶段的次序和提供反应物的次序可以变化,并且ALD循环可以从任一阶段或任一反应物开始。也就是说,除非另外指明,否则可以按任何次序提供反应物,并且所述工艺可以从任一反应物开始。
如下文更详细论述,在用于沉积氮化硅膜的一些实施方式中,一个或一个以上沉积循环通常从提供硅前体开始,继而是提供氮前体。在一些实施方式中,一个或一个以上沉积循环从提供氮前体开始,继而是提供硅前体。
再次,可以借助载气如Ar或He来提供所述反应物中的一个或一个以上。在一些实施方式中,借助载气来提供氮前体。在一些实施方式中,尽管称为第一阶段与第二阶段和第一与第二反应物,但阶段的次序可以变化,并且因此提供反应物的次序可以变化,并且ALD循环可以从任一阶段开始。
在一些实施方式中,将上面需要进行沉积的基板如半导体工件加载到反应器中。反应器可以是在形成集成电路中进行多个不同工艺的群集工具的一部分。在一些实施方式中,利用流动型反应器。在一些实施方式中,利用喷头型反应器。在一些实施方式中,利用空间分隔反应器。在一些实施方式中,使用能够大量制造的单晶片ALD反应器。在其它实施方式中,使用包含多个基板的分批反应器。对于使用分批ALD反应器的实施方式而言,基板数目优选地在10到200范围内,更优选地在50到150范围内,并且最优选地在100到130范围内。
专门被设计成用于增强ALD工艺的例示性单晶片反应器可以商品名称2000和3000购自ASM美国公司(亚利桑那州菲尼克斯)和以商品名称XP、XP8和购自ASM日本株式会社(日本东京)。专门被设计成用于增强ALD工艺的例示性分批ALD反应器可购自和以商品名称A400TM和A412TM购自ASM欧洲公司(荷兰阿尔梅勒)。
在一些实施方式中,必要时可以预处理工件的暴露表面,以提供用以与ALD工艺第一阶段物质反应的反应性位点。在一些实施方式中,不需要单独的预处理步骤。在一些实施方式中,预处理基板以提供所需表面终止状态。
在一些实施方式中,在反应物脉冲之间,从前体附近,如从基板表面移除过量反应物和反应副产物(若存在)。在一些实施方式中,在反应物脉冲之间通过例如用惰性气体吹扫来从反应室中移除过量反应物和反应副产物。每一种反应物的流动速率和时间均是可调的,吹扫步骤也是可调的,从而允许控制膜的质量和特性。在一些实施方式中,移除过量反应物和/或反应副产物包含移动基板。
如上文所提及,在一些实施方式中,在每一个沉积循环期间或在整个ALD工艺期间连续地向反应室提供气体。在其它实施方式中,气体可以是氮气、氦气或氩气。
重复ALD循环,直到获得具有期望厚度和组成的膜。在一些实施方式中,在ALD工艺期间的一个或一个以上沉积循环中,可以改变沉积参数,如流动速率、流动时间、吹扫时间和/或前体本身,以获得具有所需特性的膜。
术语“脉冲”可以理解为包含将反应物送到反应室中,持续预定量的时间。术语“脉冲”不限制脉冲的长度或持续时间,并且脉冲可以是任何长度的时间。
在一些实施方式中,首先提供硅前体。在初始表面终止状态之后,若必要或需要,将第一硅前体脉冲供应到工件上。根据一些实施方式,第一前体脉冲包含载气流和与所关注工件表面具有反应性的挥发性硅物质,如H2SiI2。因此,硅前体吸附在工件表面上。第一前体脉冲使工件表面自饱和,使得第一前体脉冲的任何过量成分实质上不进一步与由此工艺形成的分子层反应。
第一硅前体脉冲优选地以气态形式供应。出于本发明描述的目的,如果硅前体气体在工艺条件下所展现的蒸气压足以使物质以足以使暴露表面饱和的浓度传输到工件上,那么所述物质被视为“挥发性的”。
在一些实施方式中,硅前体脉冲是约0.05秒到约5.0秒、约0.1秒到约3秒、或约0.2秒到约1.0秒。在分批法中,如可以由熟练技工在考虑到特定情况所确定,硅前体脉冲可以实质上更久。
在足以使分子层吸附在基板表面上的时间之后,随后从反应空间中移除过量第一前体。在一些实施方式中,通过停止第一前体流同时使载气或吹扫用气体继续流动足以从反应空间中扩散或吹扫过量反应物和反应物副产物(若存在)的时间来吹扫过量第一前体。
在一些实施方式中,吹扫第一前体约0.1秒到约10秒、约0.3秒到约5秒或约0.3秒到约1秒。硅前体的提供和移除可以视为ALD循环的第一阶段或硅阶段。在分批法中,如可以由熟练技工考虑到特定情况所确定,第一前体吹扫可以实质上更久。
使第二氮前体以脉冲方式进入反应空间中以接触基板表面。可以借助载气来提供氮前体。氮前体可以是例如NH3或N2H4。氮前体脉冲也优选地以气态形式供应。出于本发明描述的目的,如果氮前体在工艺条件下所展现的蒸气压足以使物质以足以使暴露表面饱和的浓度传输到工件上,那么所述物质被视为“挥发性的”。
在一些实施方式中,氮前体脉冲是约0.05秒到约5.0秒、约0.1秒到约3.0秒或约0.2秒到约1.0秒。在分批法中,如可以由熟练技工考虑到特定情况所确定,氮前体脉冲可以实质上更久。
在足以使分子层在可用结合位点处吸附在基板表面上的时间之后,随后从反应空间中移除第二氮前体。在一些实施方式中,停止第二氮前体流同时使载气继续流动足以从反应空间中扩散或吹扫过量反应物和反应物副产物(若存在)的时间,优选地用大于约两个反应室体积的吹扫用气体,更优选地用大于约三个腔室体积。氮前体的提供和移除可以视为ALD循环的第二阶段或氮阶段。
在一些实施方式中,吹扫氮前体约0.1秒到约10.0秒、约0.3秒到约5.0秒或约0.3秒到约1.0秒。在分批法中,如可以由熟练技工考虑到特定情况所确定,第一前体吹扫可以实质上更久。
氮前体脉冲的流动速率和时间以及氮阶段的移除或吹扫步骤是可调的,以在氮化硅膜中获得所需组成。尽管归因于有限数目的结合位点,氮前体在基板表面上的吸附通常是自限制的,但可以调节脉冲参数以使得在一个或一个以上循环中吸附小于单层的氮。
两个阶段一起代表一个ALD循环,重复所述循环以形成期望厚度的硅氮薄膜。虽然本文中提及的ALD循环一般从硅阶段开始,但考虑在其它实施方式中循环可以从氮阶段开始。熟练技工将认识到,第一前体阶段物质一般与前一个循环中最后一个阶段留下的终止状态物质反应。在一些实施方式中,在沉积过程中提供一个或一个以上不同的ALD循环。
根据本公开的一些实施方式,ALD反应可以在约25℃到约1000℃、优选地约100℃到约800℃、更优选地约200℃到约650℃并且最优选地约300℃到约500℃范围内的温度下进行。在一些实施方式中,最佳反应器温度可以受最大允许热预算限制。因此,反应温度可以是约300℃到约400℃。在一些应用中,最大温度在约400℃附近,并且因此,所述工艺在此反应温度下进行。
Si前体
多种适合的硅前体可以用于当前公开的热工艺,如热ALD工艺。在一些实施方式中,这些前体还可以用于沉积具有所需质量(下文所描述的所需WER、WERR、图案负载效应或/和阶梯覆盖率特征中的至少一个)的膜的等离子体ALD工艺。
根据一些实施方式,一些硅前体包含碘,并且通过使用此前体沉积的膜具有至少一种所需特性,例如下文所描述的所需WER、WERR、图案负载效应或/和阶梯覆盖率特征中的至少一个。
根据一些实施方式,一些硅前体包含溴,并且通过使用此前体沉积的膜具有至少一种所需特性,例如下文所描述的所需WER、WERR、图案负载效应或/和阶梯覆盖率特征中的至少一个。
适合的前体中的至少一些可以具有以下通式:
(9)H2n+2-y-z-wSinXyAzRw
其中,n=1-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=0或0以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上环状化合物。此类前体可以具有以下通式:
(10)H2n-y-z-wSinXyAzRw
其中,n=3-10,y=1或1以上(并且至多2n-z-w),z=0或0以上(并且至多2n-y-w),w=0或0以上(并且至多2n-y-z),X是I或Br,A是除X之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=3-6。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上碘硅烷。此类前体可以具有以下通式:
(11)H2n+2-y-z-wSinIyAzRw
其中,n=1-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=0或0以上(并且至多2n+2-y-z),A是除I之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上环状碘硅烷。此类前体可以具有以下通式:
(12)H2n-y-z-wSinIyAzRw
其中,n=3-10,y=1或1以上(并且至多2n-z-w),z=0或0以上(并且至多2n-y-w),w=0或0以上(并且至多2n-y-z),A是除I之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=3-6。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上溴硅烷。此类前体可以具有以下通式:
(13)H2n+2-y-z-wSinBryAzRw
其中,n=1-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=0或0以上(并且至多2n+2-y-z),A是除Br之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上环状溴硅烷。此类前体可以具有以下通式:
(14)H2n-y-z-wSinBryAzRw
其中,n=3-10,y=1或1以上(并且至多2n-z-w),z=0或0以上(并且至多2n-y-w),w=0或0以上(并且至多2n-y-z),A是除Br之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=3-6。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
根据一些实施方式,一些硅前体包含一种或一种以上在化合物中碘或溴不与硅键合的碘硅烷或溴硅烷。因此,一些适合的化合物可以具有被碘/溴取代的烷基。此类前体可以具有以下通式:
(15)H2n+2-y-z-wSinXyAzRII w
其中,n=1-10,y=0或0以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=1或1以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,RII是含有I或Br的有机配体并且可以独立地选自由以下组成的组:被I或Br取代的醇盐、烷基硅烷基、烷基、烷基胺和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,RII是被碘取代的C1-C3烷基配体。
根据一些实施方式,一些硅前体包含一种或一种以上环状碘硅烷或溴硅烷。因此,一些适合的环状化合物可以具有被碘/溴取代的烷基。此类前体可以具有以下通式:
(16)H2n-y-z-wSinXyAzRII w
其中,n=3-10,y=0或0以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=1或1以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,RII是含有I或Br的有机配体并且可以独立地选自由以下组成的组:被I或Br取代的醇盐、烷基硅烷基、烷基、烷基胺和不饱和烃;优选地n=3-6。优选地,R是被碘取代的C1-C3烷基配体。
根据一些实施方式,一些适合的硅前体可以具有以下通式中的至少一个:
(17)H2n+2-y-z-wSinXyAz(NR1R2)w
其中,n=1-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=1或1以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,N是氮,并且R1和R2可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1和R2是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1和R2是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
(18)(H3-y-z-wXyAz(NR1R2)wSi)3-N
其中,y=1或1以上(并且至多3-z-w),z=0或0以上(并且至多3-y-w),w=1或1以上(并且至多3-y-z),X是I或Br,A是除X之外的卤素,N是氮,并且R1和R2可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃。优选地,R1和R2是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1和R2是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。三种H3-y-z-wXyAz(NR1R2)wSi配体中的每一个均可彼此独立地选择。
在一些实施方式中,一些适合的前体可以具有以下更特定化学式中的至少一个:
(19)H2n+2-y-wSinIy(NR1R2)w
其中,n=1-10,y=1或1以上(并且至多2n+2-w),w=1或1以上(并且至多2n+2-y),N是氮,并且R1和R2可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1和R2是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1和R2是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
(20)(H3-y-wIy(NR1R2)wSi)3-N
其中,y=1或1以上(并且至多3-w),w=1或1以上(并且至多3-y),N是氮,并且R1和R2可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃。优选地,R1和R2是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1和R2是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。三种H3-y-wIy(NR1R2)wSi配体中的每一个均可彼此独立地选择。
根据一些实施方式,一些适合的硅前体可以具有以下通式中的至少一个:
(21)H2n+2-y-z-wSinXyAz(NR1R2)w
其中,n=1-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=1或1以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,N是氮,R1可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃,并且R2可以独立地选自由以下组成的群组:烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。优选地,R2是C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R2是C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
(22)(H3-y-z-wXyAz(NR1R2)wSi)3-N
其中,y=1或1以上(并且至多3-z-w),z=0或0以上(并且至多3-y-w),w=1或1以上(并且至多3-y-z),X是I或Br,A是除X之外的卤素,N是氮,R1可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃,并且R2可以独立地选自由以下组成的组:烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。优选地,R2是C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R2是C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
在一些实施方式中,一些适合的前体可以具有以下更特定化学式中的至少一个:
(23)H2n+2-y-wSinIy(NR1R2)w
其中,n=1-10,y=1或1以上(并且至多2n+2-w),w=1或1以上(并且至多2n+2-y),N是氮,R1可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃,并且R2可以独立地选自由以下组成的组:烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。优选地,R2是C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R2是C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
(24)(H3-y-wIy(NR1R2)wSi)3-N
其中,y=1或1以上(并且至多3-w),w=1或1以上(并且至多3-y),N是氮,R1可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃,并且R2可以独立地选自由以下组成的组:烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃;优选地n=1-5,并且更优选地n=1-3并且最优选地1-2。优选地,R1是氢或C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R1是氢或C1-C3烷基,如甲基、乙基、正丙基或异丙基。优选地,R2是C1-C4烷基,如甲基、乙基、正丙基、异丙基、叔丁基、异丁基、仲丁基和正丁基。更优选地,R2是C1-C3烷基,如甲基、乙基、正丙基或异丙基。(NR1R2)w配体中的每一个均可彼此独立地选择。
根据热ALD工艺的一些实施方式,适合的硅前体可以至少包括具有通式(9)到(24)中任一个的化合物。在通式(9)到(18)中以及在通式(21)和(22)中,卤化物/卤素可以包括F、Cl、Br和I。
在一些实施方式中,硅前体包含以下中的一个或一个以上:SiI4、HSiI3、H2SiI2、H3SiI、Si2I6、HSi2I5、H2Si2I4、H3Si2I3、H4Si2I2、H5Si2I、Si3I8、HSi2I5、H2Si2I4、H3Si2I3、H4Si2I2、H5Si2I、MeSiI3、Me2SiI2、Me3SiI、MeSi2I5、Me2Si2I4、Me3Si2I3、Me4Si2I2、Me5Si2I、HMeSiI2、HMe2SiI、HMeSi2I4、HMe2Si2I3、HMe3Si2I2、HMe4Si2I、H2MeSiI、H2MeSi2I3、H2Me2Si2I2、H2Me3Si2I、H3MeSi2I2、H3Me2Si2I、H4MeSi2I、EtSiI3、Et2SiI2、Et3SiI、EtSi2I5、Et2Si2I4、Et3Si2I3、Et4Si2I2、Et5Si2I、HEtSiI2、HEt2SiI、HEtSi2I4、HEt2Si2I3、HEt3Si2I2、HEt4Si2I、H2EtSiI、H2EtSi2I3、H2Et2Si2I2、H2Et3Si2I、H3EtSi2I2、H3Et2Si2I和H4EtSi2I。
在一些实施方式中,硅前体包含以下中的一个或一个以上:EtMeSiI2、Et2MeSiI、EtMe2SiI、EtMeSi2I4、Et2MeSi2I3、EtMe2Si2I3、Et3MeSi2I2、Et2Me2Si2I2、EtMe3Si2I2、Et4MeSi2I、Et3Me2Si2I、Et2Me3Si2I、EtMe4Si2I、HEtMeSiI、HEtMeSi2I3、HEt2MeSi2I2、HEtMe2Si2I2、HEt3MeSi2I、HEt2Me2Si2I、HEtMe3Si2I、H2EtMeSi2I2、H2Et2MeSi2I、H2EtMe2Si2I、H3EtMeSi2I。
在一些实施方式中,硅前体包含以下中的一个或一个以上:HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2、H5Si2I、MeSiI3、Me2SiI2、Me3SiI、Me2Si2I4、Me4Si2I2、HMeSiI2、H2Me2Si2I2、EtSiI3、Et2SiI2、Et3SiI、Et2Si2I4、Et4Si2I2和HEtSiI2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种、十六种、十七种、十八种、十九种或十九种以上选自以下的化合物:HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2、H5Si2I、MeSiI3、Me2SiI2、Me3SiI、Me2Si2I4、Me4Si2I2、HMeSiI2、H2Me2Si2I2、EtSiI3、Et2SiI2、Et3SiI、Et2Si2I4、Et4Si2I2和HEtSiI2,包括其任何组合。在某些实施方式中,硅前体是H2SiI2
在一些实施方式中,硅前体包含与硅键合的三个碘和一个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiI3)NH2、(SiI3)NHMe、(SiI3)NHEt、(SiI3)NHiPr、(SiI3)NHtBu、(SiI3)NMe2、(SiI3)NMeEt、(SiI3)NMeiPr、(SiI3)NMetBu、(SiI3)NEt2、(SiI3)NEtiPr、(SiI3)NEttBu、(SiI3)NiPr2、(SiI3)NiPrtBu和(SiI3)NtBu2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiI3)NH2、(SiI3)NHMe、(SiI3)NHEt、(SiI3)NHiPr、(SiI3)NHtBu、(SiI3)NMe2、(SiI3)NMeEt、(SiI3)NMeiPr、(SiI3)NMetBu、(SiI3)NEt2、(SiI3)NEtiPr、(SiI3)NEttBu、(SiI3)NiPr2、(SiI3)NiPrtBu、(SiI3)NtBu2和其组合。在一些实施方式中,硅前体包含与硅键合的两个碘和两个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiI2)(NH2)2、(SiI2)(NHMe)2、(SiI2)(NHEt)2、(SiI2)(NHiPr)2、(SiI2)(NHtBu)2、(SiI2)(NMe2)2、(SiI2)(NMeEt)2、(SiI2)(NMeiPr)2、(SiI2)(NMetBu)2、(SiI2)(NEt2)2、(SiI2)(NEtiPr)2、(SiI2)(NEttBu)2、(SiI2)(NiPr2)2、(SiI2)(NiPrtBu)2和(SiI2)(NtBu)2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiI2)(NH2)2、(SiI2)(NHMe)2、(SiI2)(NHEt)2、(SiI2)(NHiPr)2、(SiI2)(NHtBu)2、(SiI2)(NMe2)2、(SiI2)(NMeEt)2、(SiI2)(NMeiPr)2、(SiI2)(NMetBu)2、(SiI2)(NEt2)2、(SiI2)(NEtiPr)2、(SiI2)(NEttBu)2、(SiI2)(NiPr2)2、(SiI2)(NiPrtBu)2、(SiI2)(NtBu)2和其组合。
在一些实施方式中,硅前体包含与硅键合的两个碘、一个氢和一个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiI2H)NH2、(SiI2H)NHMe、(SiI2H)NHEt、(SiI2H)NHiPr、(SiI2H)NHtBu、(SiI2H)NMe2、(SiI2H)NMeEt、(SiI2H)NMeiPr、(SiI2H)NMetBu、(SiI2H)NEt2、(SiI2H)NEtiPr、(SiI2H)NEttBu、(SiI2H)NiPr2、(SiI2H)NiPr tBu和(SiI2H)NtBu2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiI2H)NH2、(SiI2H)NHMe、(SiI2H)NHEt、(SiI2H)NHiPr、(SiI2H)NHtBu、(SiI2H)NMe2、(SiI2H)NMeEt、(SiI2H)NMeiPr、(SiI2H)NMetBu、(SiI2H)NEt2、(SiI2H)NEtiPr、(SiI2H)NEttBu、(SiI2H)NiPr2、(SiI2H)NiPrtBu、(SiI2H)NtBu2和其组合。
在一些实施方式中,硅前体包含与硅键合的一个碘、一个氢和两个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiIH)(NH2)2、(SiIH)(NHMe)2、(SiIH)(NHEt)2、(SiIH)(NHiPr)2、(SiIH)(NHtBu)2、(SiIH)(NMe2)2、(SiIH)(NMeEt)2、(SiIH)(NMeiPr)2、(SiIH)(NMetBu)2、(SiIH)(NEt2)2、(SiIH)(NEtiPr)2、(SiIH)(NEttBu)2、(SiIH)(NiPr2)2、(SiIH)(NiPrtBu)2和(SiIH)(NtBu)2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiIH)(NH2)2、(SiIH)(NHMe)2、(SiIH)(NHEt)2、(SiIH)(NHiPr)2、(SiIH)(NHtBu)2、(SiIH)(NMe2)2、(SiIH)(NMeEt)2、(SiIH)(NMeiPr)2、(SiIH)(NMetBu)2、(SiIH)(NEt2)2、(SiIH)(NEtiPr)2、(SiIH)(NEttBu)2、(SiIH)(NiPr2)2、(SiIH)(NiPrtBu)2和(SiIH)(NtBu)2和其组合。
在一些实施方式中,硅前体包含与硅键合的一个碘、两个氢和一个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiIH2)NH2、(SiIH2)NHMe、(SiIH2)NHEt、(SiIH2)NHiPr、(SiIH2)NHtBu、(SiIH2)NMe2、(SiIH2)NMeEt、(SiIH2)NMeiPr、(SiIH2)NMetBu、(SiIH2)NEt2、(SiIH2)NEtiPr、(SiIH2)NEttBu、(SiIH2)NiPr2、(SiIH2)NiPrtBu和(SiIH2)NtBu2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiIH2)NH2、(SiIH2)NHMe、(SiIH2)NHEt、(SiIH2)NHiPr、(SiIH2)NHtBu、(SiIH2)NMe2、(SiIH2)NMeEt、(SiIH2)NMeiPr、(SiIH2)NMetBu、(SiIH2)NEt2、(SiIH2)NEtiPr、(SiIH2)NEttBu、(SiIH2)NiPr2、(SiIH2)NiPrtBu、(SiIH2)NtBu2和其组合。
在一些实施方式中,硅前体包含与硅键合的一个碘和三个胺或烷基胺配体。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiI)(NH2)3、(SiI)(NHMe)3、(SiI)(NHEt)3、(SiI)(NHiPr)3、(SiI)(NHtBu)3、(SiI)(NMe2)3、(SiI)(NMeEt)3、(SiI)(NMeiPr)3、(SiI)(NMetBu)3、(SiI)(NEt2)3、(SiI)(NEtiPr)3、(SiI)(NEttBu)3、(SiI)(NiPr2)3、(SiI)(NiPrtBu)3和(SiI)(NtBu)3。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种、十三种、十四种、十五种或十五种以上选自以下的化合物:(SiI)(NH2)3、(SiI)(NHMe)3、(SiI)(NHEt)3、(SiI)(NHiPr)3、(SiI)(NHtBu)3、(SiI)(NMe2)3、(SiI)(NMeEt)3、(SiI)(NMeiPr)3、(SiI)(NMetBu)3、(SiI)(NEt2)3、(SiI)(NEtiPr)3、(SiI)(NEttBu)3、(SiI)(NiPr2)3、(SiI)(NiPrtBu)3、(SiI)(NtBu)3和其组合。
在某些实施方式中,硅前体包含与硅键合的两个碘、氢和一个胺或烷基胺配体,或与硅键合的两个碘和两个烷基胺配体,并且其中胺或烷基胺配体选自:胺NH2-、甲胺MeNH-、二甲胺Me2N-、乙基甲胺EtMeN-、乙胺EtNH-和二乙胺Et2N-。在一些实施方式中,硅前体包含以下中的一个或一个以上:(SiI2H)NH2、(SiI2H)NHMe、(SiI2H)NHEt、(SiI2H)NMe2、(SiI2H)NMeEt、(SiI2H)NEt2、(SiI2)(NH2)2、(SiI2)(NHMe)2、(SiI2)(NHEt)2、(SiI2)(NMe2)2、(SiI2)(NMeEt)2和(SiI2)(NEt2)2。在一些实施方式中,硅前体包含两种、三种、四种、五种、六种、七种、八种、九种、十种、十一种、十二种或十二种以上选自以下的化合物:(SiI2H)NH2、(SiI2H)NHMe、(SiI2H)NHEt、(SiI2H)NMe2、(SiI2H)NMeEt、(SiI2H)NEt2、(SiI2)(NH2)2、(SiI2)(NHMe)2、(SiI2)(NHEt)2、(SiI2)(NMe2)2、(SiI2)(NMeEt)2、(SiI2)(NEt2)2和其组合。
含有I或Br的其它类型Si前体
多种适合的含氮硅前体如被碘或溴取代的硅氮烷或含硫硅前体可以用于当前公开的热ALD工艺和等离子体ALD工艺。在一些实施方式中,含氮硅前体如被碘或溴取代的硅氮烷可以用于当前公开的有待于沉积具有所需质量(例如下文所描述的所需WER、WERR、图案负载效应或/和阶梯覆盖率特征中的至少一个)的膜的热ALD工艺和等离子体ALD工艺。
适合的被碘或溴取代的硅前体中的至少一些可以具有以下通式:
(25)H2n+2-y-z-wSin(EH)n-1XyAzRw
其中,n=2-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=0或0以上(并且至多2n+2-y-z),X是I或Br,E是N或S(优选地是N),A是除X之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=2-5,并且更优选地n=2-3并且最优选地1-2。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
适合的被碘或溴取代的硅氮烷前体中的至少一些可以具有以下通式:
(26)H2n+2-y-z-wSin(NH)n-1XyAzRw
其中,n=2-10,y=1或1以上(并且至多2n+2-z-w),z=0或0以上(并且至多2n+2-y-w),w=0或0以上(并且至多2n+2-y-z),X是I或Br,A是除X之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃;优选地n=2-5,并且更优选地n=2-3并且最优选地2。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。
在一些实施方式中,硅前体包含含有I或Br的Si化合物,如杂环Si化合物。此类环状前体可以包含以下子结构:
(27)-Si-E-Si-
其中,E是N或S,优选地N。
在一些实施方式中,硅前体包含根据式(27)的子结构,并且此类化合物的实例是例如被碘或溴取代的环硅氮烷,如被碘或溴取代的环三硅氮烷。
在一些实施方式中,硅前体包含含有I或Br的Si化合物,如基于硅烷胺的化合物。此类基于硅烷胺的Si前体可以具有以下通式:
(28)(H3-y-z-wXyAzRwSi)3-N
其中,y=1或1以上(并且至多3-z-w),z=0或0以上(并且至多3-y-w),w=0或0以上(并且至多3-y-z),X是I或Br,A是除X之外的卤素,R是有机配体并且可以独立地选自由以下组成的组:醇盐、烷基硅烷基、烷基、被取代的烷基、烷基胺和不饱和烃。优选地,R是C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。三种H3-y-z-wXyAzRwSi配体中的每一个均可彼此独立地选择。
N前体
根据一些实施方式,热ALD工艺中的第二反应物或氮前体可以是NH3、N2H4或许多其它适合的具有N-H键的氮化合物。
图4是一般地图解根据一些实施方式可以用于沉积氮化硅薄膜的氮化硅热ALD沉积循环的流程图。根据某些实施方式,通过包含多个氮化硅沉积循环的ALD型工艺来在基板上形成氮化硅薄膜,每一个氮化硅沉积循环400均包含:
(1)使基板与气化硅前体接触410,使得硅化合物吸附在基板表面上;
(2)移除过量硅前体和任何副产物420;
(3)使基板与氮前体接触430;和
(4)移除过量氮前体和反应副产物440。
重复接触步骤450,直到获得具有期望厚度和组成的薄膜。如上文所提及,在一些实施方式中,基板可以同时与硅化合物和氮前体接触,而在其它实施方式中,单独地提供这些反应物。
根据一些实施方式,使用热ALD工艺在具有三维特征的基板上如在FinFET应用中沉积氮化硅薄膜。所述工艺可以包含以下步骤,不必以此次序进行:
(1)将基板加载到反应空间中;
(2)将含硅前体如H2SiI2引入反应空间中,使得含硅前体吸附到基板的表面上;
(3)如通过吹扫移除过量含硅前体,并且移除反应副产物;
(4)将含氮前体如NH3或N2H4引入反应空间中,以与基板上的含硅前体反应;
(5)如通过吹扫移除过量含氮前体和反应副产物;和
(6)可以重复步骤(2)到(5),直到形成期望厚度的氮化硅膜。
在一些实施方式中,在介于约100℃到约800℃之间或介于约200℃与约600℃之间或介于约300℃到约500之间的温度下进行ALD工艺。在一些应用中,反应温度是约400℃。
SiN膜特性
根据本文所论述的一些实施方式而沉积的氮化硅薄膜(不论硅前体是否含有溴或碘)可以实现约3at%以下、优选地约1at%以下、更优选地约0.5at%以下并且最优选地约0.1at%以下的杂质含量或浓度。在一些薄膜中,除氢外的总杂质含量可以在约5at%以下,优选地在约2at%以下,更优选地在约1at%以下,并且最优选地在约0.2at%以下。并且在一些薄膜中,氢含量可以在约30at%以下,优选地在20at%以下,更优选地在约15at%以下,并且最优选地在10at%以下。
在一些实施方式中,所沉积的SiN膜不包含可感知量的碳。然而,在一些实施方式中,沉积包含碳的SiN膜。举例来说,在一些实施方式中,使用包含碳的硅前体来进行ALD反应,并且沉积包含碳的薄氮化硅膜。在一些实施方式中,使用包含烷基或其它含碳配体的前体来沉积包含碳的SiN膜。在一些实施方式中,在如上文所述的PEALD或热ALD工艺中使用具有式(9)-(28)中的一个并且包含烷基的硅前体来沉积包含碳的SiN膜。因为反应机理不同,所以不同的烷基如Me或Et或其它含碳配体可以在膜中产生不同的碳浓度。因此,可以选择不同前体来在所沉积的SiN膜中产生不同的碳浓度。在一些实施方式中,包含碳的薄SiN膜可以用作例如低k隔片。在一些实施方式中,薄膜不包含氩。
图5A-5B显示根据本发明沉积的各种氮化硅薄膜的FESEM图像。在膜沉积之后,对其进行HF浸渍2分钟。图6A-6C显示在dHF滴落工艺之后的相同氮化硅膜。可以看到均匀蚀刻。
根据一些实施方式,氮化硅薄膜可以展现大于约50%、优选地大于约80%、更优选地大于约90%并且最优选地大于约95%的阶梯覆盖率和图案负载效应。在一些情况下,阶梯覆盖率和图案负载效应可以大于约98%,并且在某种情况下约100%(在测量工具或方法的精度内)。在超过2的纵横比、优选地超过3的纵横比、更优选地超过5的纵横比并且最优选地超过8的纵横比情况下可以实现这些值。
如本文所用,“图案负载效应”根据其在此领域中的普通含义加以使用。虽然图案负载效应可以被视为与杂质含量、密度、电学特性和蚀刻速率有关,但除非另外指明,否则在用于本文中时术语图案负载效应是指基板中存在结构的区域中的膜厚度变化。因此,图案负载效应可以表示为三维结构内部特征的侧壁或底部中的膜厚度相对于三维结构/特征中面向开放区域的侧壁或底部上的膜厚度的比值。如本文所用,100%图案负载效应(或比值1)将表示关于在整个基板中完全均匀的膜特性(无论什么特征),即换句话说,不存在图案负载效应(特征中的特定膜特性如厚度与开放区域相比的差异)。
在一些实施方式中,沉积氮化硅膜达到约3nm到约50nm、优选地约5nm到约30nm、更优选地约5nm到约20nm的厚度。可以获得这些厚度的特征尺寸(宽度)在约100nm以下,优选地是约50nm,更优选地在约30nm以下,最优选地在约20nm以下,并且在一些情况下在约15nm以下。根据一些实施方式,在三维结构上沉积SiN膜,并且侧壁处的厚度可以甚至稍微超过10nm。
根据一些实施方式,可以沉积具有各种湿式蚀刻速率(WER)的氮化硅膜。当在0.5%稀HF中使用毯覆式WER(nm/min)时,氮化硅膜的WER值可以小于约5,优选地小于约4,更优选地小于约2,并且最优选地小于约1。在一些实施方式中,其可以小于约0.3。
0.5%稀HF中的毯覆式WER(nm/min)相对于热氧化物WER的比值可以小于约3,优选地小于约2,更优选地小于约1,并且最优选地小于约0.5。
并且在一些实施方式中,三维特征(如翅片或沟槽)的侧壁WER相对于三维特征(如翅片或沟槽)的顶部区WER的比值可以小于约4,优选地小于约3,更优选地小于约2,最优选地是约1。
已经发现在使用本发明的氮化硅薄膜时,顶部与侧面之间的厚度差对一些应用而言可能不是关键的,这归因于改进的膜质量和蚀刻特性。尽管如此,在一些实施方式中,沿侧壁的厚度梯度对于后续应用或工艺可能非常重要。
在一些实施方式中,在0.5%HF浸渍工艺中,根据本公开的氮化硅膜的蚀刻量可以是关于热SiO2(TOX)所观测到的蚀刻量的约一倍或二分之一(举例而言,在移除约2到约3nm TOX的工艺中,当根据本文公开的方法沉积SiN时移除一倍或二分之一的SiN)。优选氮化硅膜的WER可以小于先前技术热氧化物膜的WER。
使用SiN膜的特定情形
本文所描述的方法和材料不仅可以为具有水平源极/汲极(S/D)和栅极表面的传统横向晶体管设计提供质量提高并且蚀刻特性得到改进的膜,并且可以提供用于非水平(例如竖直)表面上和复杂三维(3D)结构上的改进的SiN膜。在某些实施方式中,在集成电路制造期间通过所揭示的方法在三维结构上沉积SiN膜。三维晶体管可以包括例如双栅极场效应晶体管(DG FET)和其它类型的多栅极FET,包括FinFET。举例来说,本发明的氮化硅薄膜可以适用于非平面多栅极晶体管(如FinFET),其中除栅极、源极和汲极区的顶部之外,还可能需要在竖直壁上形成硅化物。
本文所教导的SiN沉积技术尤其适用的另一种3D结构是如席夫兰(Shifren)等人的美国专利公开第2009/0315120A1号中所教导的抬高的3D源极/汲极结构,其公开内容以全文引用的方式并入本文中。席夫兰等人教导了包括竖直侧壁的抬高的源极/汲极结构。
实施例1
在400℃下,根据本发明通过PEALD工艺使用H2SiI2作为硅烷前体和H2+N2等离子体作为氮前体来沉积氮化硅薄膜。此膜展现两种ALD反应类型的一些最佳质量的组合:PEALD SiN膜的典型高质量和热ALD膜的各向同性蚀刻特征。虽然这些结果未得到充分理解,但膜特性和蚀刻特征仍然在高质量隔片层应用的规格内。
对此应用而言,纵横比为2的沟槽结构上的阶梯覆盖率和图案负载效应应在95%以上,湿式蚀刻速率(WER)应小于热氧化硅(SiO2,TOX)的WER的50%,并且蚀刻速率在沟槽结构的水平和竖直壁上应大致相同。最后,生长速率应在0.5nm/min以上,并且杂质含量尽可能低。
在400℃下,膜生长速率是循环,并且厚度不均匀性是6.2%(1-σ)。不均匀性是0.7%(1-σ)情况下的折射率是2.04。每分钟生长速率未优化,并且是0.13nm/min。
平面膜的湿式蚀刻速率是1.13纳米/分钟,其是Tox的WER(2.43nm/min)的46.7%。在沟槽结构上,随着沉积进行(在蚀刻之前),膜保形性是约91.0%到约93.1%,并且图案负载效应是约95.7%到约99.3%。在2分钟(0.5%)稀HF蚀刻之后,保形性值是约91.5%到约94.6%,并且图案负载效应是约97.4%到约99.5%。沟槽顶部区的湿式蚀刻速率是A4.32nm/min,在沟槽侧壁上是B2.98nm/min,并且在沟槽底部上是C3.03nm/min。场区域显示D2.63nm/min蚀刻速率。
不受任何特定理论束缚,认为在等离子体放电之前完成碘或溴的配体移除步骤是有益的。这可以避免剩余配体分解并作为杂质再进入膜中,并且在卤化物的情况下,还避免形成等离子体活化的卤化物。
通过HFS-RBS分析根据本发明沉积的氮化硅薄膜的组成。结果显示在下表1中。另外,获得相同膜的XRR数据。测得膜的厚度是约117nm。测得质量密度是2.63(±0.1)g/cm3。并且测得表面RMS粗糙度是1.76(±0.1)nm。
表1:通过HFS-RBS测量的膜组成
实施例2
根据本发明,在直接等离子体ALD喷头反应器中通过PEALD工艺来沉积蚀刻特性和杂质含量得到改进(与实施例1相比)的氮化硅薄膜。使用200℃和400℃的基座温度。使用H2SiI2作为硅前体,并且使用H2+N2等离子体作为氮前体。等离子体功率是约200W到约220W,并且喷头板与基座之间的间隙(即产生等离子体的空间)是10mm。等离子体不含Ar。使用氮气作为载气,并且使之在整个沉积过程中流动。H2SiI2消耗是约9.0毫克/循环。
在400℃下,膜生长速率是循环,并且所沉积的膜是保形的。折射率是1.92-1.93。平面膜在100:1稀HF中的湿式蚀刻速率(WER)是热氧化物(SiO2)的WER的约20%到30%。在沟槽结构上,沟槽侧壁与沟槽顶部的膜湿式蚀刻速率比值在约0.8到约1.0之间变化。
通过TXRF分析在200℃下沉积的氮化硅薄膜的杂质含量。膜含有每平方厘米8.43×1012个碘原子,其稍微小于除H2+N2等离子体之外还使用含Ar等离子体所沉积的膜的杂质含量(每平方厘米1.418×1013个碘原子)。另外,通过TXRF分析证明,在400℃下使用含Ar等离子体沉积的膜具有作为杂质的Ar(每平方厘米8.067×1013个氩原子)。在不受任何特定理论束缚的情况下,相信氩气可以截留在膜内部,并且通过使用不含氩的等离子体可以避免此现象。
所属领域的技术人员应了解,可以在不背离本发明的精神的情况下作出许多和各种修改。所描述的特征、结构、特性和前体可以任何适合的方式组合。因此,应清楚地了解,本发明的形式仅是说明性的,并且并不意在限制本发明的范围。所有修改和改变均意在属于如由所附权利要求书限定的本发明范围内。

Claims (36)

1.一种在反应空间中的基板上沉积氮化硅的方法,包括:
(a)将气相硅反应物引入所述反应空间中,使得硅前体吸附到所述基板的表面上;
(b)移除过量硅反应物和反应副产物;
(c)使所吸附的硅前体与由来自氮前体的等离子体所产生的反应性物质接触;
(d)移除过量反应性物质和反应副产物;
其中重复步骤(a)到(d),直到形成期望厚度的氮化硅膜;并且
其中所述硅反应物包含碘或溴。
2.根据权利要求1所述的方法,其中所述硅反应物所包含的前体具有如式(1)到(8)中的一个中的化学式。
3.根据权利要求1所述的方法,其中所述反应性物质包含氢气、氢原子、氢等离子体、氢自由基、N*、NH*或NH2*自由基。
4.根据权利要求1所述的方法,其中所述反应性物质直接在所述基板上方产生。
5.根据权利要求1所述的方法,其中所述反应性物质远离所述基板产生。
6.根据权利要求5所述的方法,其中远程等离子体发生器用于产生所述反应性物质。
7.根据权利要求1所述的方法,其中所述硅反应物选自由以下组成的组:HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2和H5Si2I。
8.根据权利要求7所述的方法,其中所述硅反应物是H2SiI2
9.根据权利要求1所述的方法,其中所述方法在介于约300℃与约400℃之间的温度下进行。
10.根据权利要求1所述的方法,其中所述氮前体选自由以下组成的组:NH3、N2H4、N2/H2混合物、N2和其任何混合物。
11.根据权利要求1所述的方法,其中所述氮化硅薄膜展现至少约80%的阶梯覆盖率和图案负载效应。
12.根据权利要求1所述的方法,其中所述氮化硅薄膜在三维结构上形成。
13.根据权利要求12所述的方法,其中所述结构包含侧壁和顶部区,并且在0.5%稀HF中,所述氮化硅膜的侧壁湿式蚀刻速率(WER)相对于顶部区WER小于约3。
14.根据权利要求1所述的方法,其中在0.5%HF水溶液中,所述氮化硅薄膜的蚀刻速率小于约4nm/min。
15.根据权利要求1所述的方法,其中所述氮化硅薄膜在形成FinFET期间沉积。
16.根据权利要求1所述的方法,其中所述所吸附的硅前体不与由来自Ar的等离子体所产生的反应性物质接触。
17.根据权利要求1所述的方法,其中所述反应空间是喷头反应器的一部分并且包含喷头和基座。
18.根据权利要求17所述的方法,其中在所述喷头与基座之间存在约0.5cm到约5cm的间隙。
19.一种沉积氮化硅薄膜的方法,包括:
(a)将具有至少一个三维特征的基板加载到反应空间中;
(b)将硅前体引入所述反应空间中,使得所述硅前体吸附到所述基板的表面上;
(c)吹扫所述反应空间的过量含硅前体和反应副产物;
(d)将氮前体引入所述反应空间中;
(e)吹扫所述反应空间的过量氮前体和反应副产物;和
重复步骤(b)到(e),直到形成期望厚度的氮化硅薄膜;
其中所述含硅前体包含碘或溴,
其中在所述至少一个三维特征上,所述氮化硅薄膜的阶梯覆盖率超过约50%;
其中在0.5%HF水溶液中,所述氮化硅薄膜的蚀刻速率小于约5nm/min;并且
其中所述氮化硅薄膜在所述至少一个三维特征的侧壁中的蚀刻速率与所述氮化硅薄膜在所述至少一个三维特征的顶部表面上的蚀刻速率的比值小于约4。
20.根据权利要求19所述的方法,其中所述氮化硅薄膜的阶梯覆盖率超过约80%。
21.根据权利要求19所述的方法,其中所述氮化硅薄膜的阶梯覆盖率超过约90%。
22.根据权利要求19所述的方法,其中所述工艺是热原子层沉积工艺。
23.根据权利要求19所述的方法,其中所述工艺是等离子体增强型原子层沉积工艺。
24.根据权利要求19所述的方法,其中所述含硅前体具有如在通式(9)到(20)中的一个中的化学式。
25.根据权利要求19所述的方法,其中所述含硅前体选自由以下组成的组:HSiI3、H2SiI2、H3SiI、H2Si2I4、H4Si2I2、H5Si2I、MeSiI3、Me2SiI2、Me3SiI、Me2Si2I4、Me4Si2I2、HMeSiI2、H2Me2Si2I2、EtSiI3、Et2SiI2、Et3SiI、Et2Si2I4、Et4Si2I2和HEtSiI2
26.根据权利要求25所述的方法,其中所述含硅前体是H2SiI2
27.根据权利要求19所述的方法,其中所述方法在介于约300℃与约400℃之间的温度下进行。
28.根据权利要求19所述的方法,其中所述氮化硅薄膜展现至少约80%的阶梯覆盖率和图案负载效应。
29.根据权利要求19所述的方法,其中所述氮化硅薄膜在所述至少一个三维特征的侧壁中的蚀刻速率与所述氮化硅薄膜在所述至少一个三维特征的顶部表面/区上的蚀刻速率的比值小于约3。
30.根据权利要求19所述的方法,其中所述氮化硅薄膜在所述至少一个三维特征的侧壁中的蚀刻速率与所述氮化硅薄膜在所述至少一个三维特征的顶部表面/区上的蚀刻速率的比值是约1。
31.根据权利要求19所述的方法,其中所述蚀刻速率小于约3nm/min。
32.一种在基板上沉积氮化硅薄膜的方法,包括:
(a)将所述基板暴露于气相硅前体中,使得所述硅前体吸附到所述基板的表面上;
(b)将所述基板暴露于吹扫用气体和/或真空中,以从所述基板表面移除过量硅前体和反应副产物;
(c)使所吸附的硅前体与由含氮等离子体所产生的物质接触;和
(d)将所述基板暴露于吹扫用气体和/或真空中,以从所述基板表面并从所述基板表面附近移除含氮等离子体物质和反应副产物;
其中重复步骤(a)到(d),直到形成期望厚度的氮化硅膜;并且
其中所述硅反应物包含碘或溴。
33.一种在包含至少一个三维特征的基板上沉积氮化硅薄膜的方法,包括:
(a)将所述基板暴露于气相硅反应物中,使得所述硅反应物吸附到所述基板的表面上;
(b)将所述基板暴露于吹扫用气体和/或真空中,以从所述基板表面移除过量硅反应物和反应副产物;
(c)使所吸附的硅反应物与氮前体接触;
(d)将所述基板暴露于吹扫用气体和/或真空中,以从所述基板表面并从所述基板表面附近移除过量氮前体;
重复步骤(a)到(d),直到形成期望厚度的氮化硅薄膜;其中所述含硅前体含有碘或溴;
其中所述氮化硅薄膜的阶梯覆盖率超过约50%;
其中在0.5%HF水溶液中,所述氮化硅薄膜的蚀刻速率小于约5nm/min;并且
其中所述氮化硅薄膜在所述至少一个三维特征的侧壁中的蚀刻速率与所述氮化硅薄膜在所述至少一个三维特征的顶部表面上的蚀刻速率的比值小于约4。
34.一种用于形成氮化硅薄膜的原子层沉积(ALD)方法,所述方法包括多个循环,每一个循环包括交替或依序地使反应空间中的基板与硅反应物和第二反应物的气相脉冲接触,其中所述硅反应物包含具有下式的前体:
H2n+2-y-wSinIy(NR1R2)w
其中,n=1-10,y=1或1以上(并且至多2n+2-w),w=1或1以上(并且至多2n+2-y),N是氮,并且R1和R2可以独立地选自由以下组成的组:氢、烷基、被取代的烷基、硅烷基、烷基硅烷基和不饱和烃。
35.根据权利要求34所述的方法,其中所述第二反应物包含氮前体。
36.根据权利要求35所述的方法,其中所述氮前体包含来自含氮等离子体的反应性物质。
CN201410092569.5A 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体 Active CN104046955B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811364487.6A CN109252145B (zh) 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/830,084 US9824881B2 (en) 2013-03-14 2013-03-14 Si precursors for deposition of SiN at low temperatures
US13/830,084 2013-03-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811364487.6A Division CN109252145B (zh) 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体

Publications (2)

Publication Number Publication Date
CN104046955A true CN104046955A (zh) 2014-09-17
CN104046955B CN104046955B (zh) 2018-12-07

Family

ID=51500341

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811364487.6A Active CN109252145B (zh) 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体
CN201410092569.5A Active CN104046955B (zh) 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201811364487.6A Active CN109252145B (zh) 2013-03-14 2014-03-13 用于在低温下沉积SiN的Si前体

Country Status (5)

Country Link
US (3) US9824881B2 (zh)
JP (6) JP6452292B2 (zh)
KR (5) KR102039035B1 (zh)
CN (2) CN109252145B (zh)
TW (9) TWI652276B (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017147444A (ja) * 2016-02-19 2017-08-24 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面に選択的に窒化ケイ素膜を形成する方法
CN107731659A (zh) * 2016-08-12 2018-02-23 美光科技公司 形成氮化硅的方法、相关半导体结构及硅前体
CN107889510A (zh) * 2015-06-16 2018-04-06 弗萨姆材料美国有限责任公司 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
CN108220918A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 改良型等离子强化原子层沉积方法
CN108780735A (zh) * 2016-03-13 2018-11-09 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
CN108930026A (zh) * 2017-05-24 2018-12-04 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
CN110408906A (zh) * 2018-04-30 2019-11-05 Asm Ip 控股有限公司 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
CN110546302A (zh) * 2017-05-05 2019-12-06 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TWI695082B (zh) * 2014-11-24 2020-06-01 美商蘭姆研究公司 無氨無氯保形氮化矽膜的沉積方法
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
TWI714534B (zh) * 2014-09-24 2021-01-01 美商蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TWI719015B (zh) * 2015-04-01 2021-02-21 美商應用材料股份有限公司 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
CN112908846A (zh) * 2019-12-02 2021-06-04 财团法人金属工业研究发展中心 形成半导体结构的方法及半导体结构
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102410146B1 (ko) 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR102505242B1 (ko) 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170053792A1 (en) * 2015-08-21 2017-02-23 Applied Materials, Inc. High Temperature Thermal ALD Silicon Nitride Films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110114853A (zh) * 2016-12-21 2019-08-09 应用材料公司 通过化学气相沉积的保形密封膜沉积
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
CN110352474B (zh) * 2017-02-14 2023-03-17 东芝三菱电机产业系统株式会社 氮化膜成膜方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10580642B2 (en) * 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10640523B2 (en) * 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11393674B2 (en) 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
JP6921799B2 (ja) * 2018-11-30 2021-08-18 東京エレクトロン株式会社 基板処理方法および基板処理システム
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210106003A (ko) * 2019-02-14 2021-08-27 엔테그리스, 아이엔씨. 질화규소의 선택적 증착
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202111825A (zh) 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) * 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
JP2022113560A (ja) * 2021-01-25 2022-08-04 東京エレクトロン株式会社 成膜方法及び成膜装置
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240057450A (ko) * 2021-09-23 2024-05-02 램 리써치 코포레이션 정전 클램핑을 사용한 리모트 플라즈마 증착
US20230132200A1 (en) 2021-10-27 2023-04-27 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230369031A1 (en) * 2022-05-12 2023-11-16 Applied Materials, Inc. Integrated method and tool for high quality selective silicon nitride deposition
CN117219506B (zh) * 2023-11-09 2024-03-12 深圳基本半导体有限公司 一种消除刻蚀负载效应的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1392288A (zh) * 2001-06-20 2003-01-22 三星电子株式会社 通过使用三(二甲基氨基)硅烷的原子层沉积形成含硅薄膜的方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
CN1705767A (zh) * 2002-10-18 2005-12-07 应用材料有限公司 采用硅化合物进行的含硅层沉积
WO2012144523A1 (ja) * 2011-04-18 2012-10-26 東京エレクトロン株式会社 プラズマ評価方法、プラズマ処理方法及びプラズマ処理装置

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4683147A (en) * 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) * 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) * 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) * 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
JP3063840B2 (ja) * 1997-11-19 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
KR20010032764A (ko) * 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
KR20010074387A (ko) * 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) * 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) * 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
KR101082921B1 (ko) 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) * 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) * 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110272008A1 (en) 2010-05-07 2011-11-10 Applied Materials, Inc. Oxide nitride stack for backside reflector of solar cell
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
DE112011102417T5 (de) * 2010-07-19 2013-05-16 Rec Silicon Inc. Herstellung von polykristallinem Silizium
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
KR101657341B1 (ko) 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
WO2012167060A2 (en) * 2011-06-03 2012-12-06 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) * 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) * 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9938303B2 (en) * 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
CN104302810B (zh) * 2012-12-21 2017-03-29 陕西有色天宏瑞科硅材料有限责任公司 流化床反应器设备用的高温级钢
CN103915341B (zh) * 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1392288A (zh) * 2001-06-20 2003-01-22 三星电子株式会社 通过使用三(二甲基氨基)硅烷的原子层沉积形成含硅薄膜的方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
CN1705767A (zh) * 2002-10-18 2005-12-07 应用材料有限公司 采用硅化合物进行的含硅层沉积
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
WO2012144523A1 (ja) * 2011-04-18 2012-10-26 東京エレクトロン株式会社 プラズマ評価方法、プラズマ処理方法及びプラズマ処理装置

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI714534B (zh) * 2014-09-24 2021-01-01 美商蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TWI695082B (zh) * 2014-11-24 2020-06-01 美商蘭姆研究公司 無氨無氯保形氮化矽膜的沉積方法
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI766525B (zh) * 2015-04-01 2022-06-01 美商應用材料股份有限公司 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
US11365476B2 (en) 2015-04-01 2022-06-21 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
TWI719015B (zh) * 2015-04-01 2021-02-21 美商應用材料股份有限公司 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
CN107889510A (zh) * 2015-06-16 2018-04-06 弗萨姆材料美国有限责任公司 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
JP2017147444A (ja) * 2016-02-19 2017-08-24 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面に選択的に窒化ケイ素膜を形成する方法
CN107104036B (zh) * 2016-02-19 2024-03-29 Asmip控股有限公司 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
CN107104036A (zh) * 2016-02-19 2017-08-29 Asm Ip控股有限公司 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
CN108780735B (zh) * 2016-03-13 2023-04-21 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
CN108780735A (zh) * 2016-03-13 2018-11-09 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US11651955B2 (en) 2016-08-12 2023-05-16 Micron Technology, Inc. Methods of forming silicon nitride including plasma exposure
CN107731659A (zh) * 2016-08-12 2018-02-23 美光科技公司 形成氮化硅的方法、相关半导体结构及硅前体
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN108220918A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 改良型等离子强化原子层沉积方法
CN110546302A (zh) * 2017-05-05 2019-12-06 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN108930026A (zh) * 2017-05-24 2018-12-04 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
CN108930026B (zh) * 2017-05-24 2021-07-09 东京毅力科创株式会社 氮化硅膜的成膜方法、成膜装置以及存储介质
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN110408906B (zh) * 2018-04-30 2021-08-17 Asm Ip 控股有限公司 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
CN110408906A (zh) * 2018-04-30 2019-11-05 Asm Ip 控股有限公司 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
CN112908846A (zh) * 2019-12-02 2021-06-04 财团法人金属工业研究发展中心 形成半导体结构的方法及半导体结构
CN112908846B (zh) * 2019-12-02 2024-06-11 财团法人金属工业研究发展中心 形成半导体结构的方法及半导体结构

Also Published As

Publication number Publication date
JP7219738B2 (ja) 2023-02-08
TWI748762B (zh) 2021-12-01
KR20140113477A (ko) 2014-09-24
JP2023027062A (ja) 2023-03-01
TW202031929A (zh) 2020-09-01
TW202111155A (zh) 2021-03-16
CN109252145B (zh) 2021-01-22
JP2024023223A (ja) 2024-02-21
US11289327B2 (en) 2022-03-29
JP2020145461A (ja) 2020-09-10
TW201920757A (zh) 2019-06-01
TWI668325B (zh) 2019-08-11
TWI660067B (zh) 2019-05-21
KR20200143312A (ko) 2020-12-23
TW202113970A (zh) 2021-04-01
JP2014179607A (ja) 2014-09-25
US20180151344A1 (en) 2018-05-31
US9824881B2 (en) 2017-11-21
JP6452292B2 (ja) 2019-01-16
CN109252145A (zh) 2019-01-22
TWI652276B (zh) 2019-03-01
US20140273528A1 (en) 2014-09-18
JP7123115B2 (ja) 2022-08-22
TWI695905B (zh) 2020-06-11
TW201923142A (zh) 2019-06-16
KR102039035B1 (ko) 2019-10-31
TW202000978A (zh) 2020-01-01
KR20190124184A (ko) 2019-11-04
JP2021061414A (ja) 2021-04-15
KR102514553B1 (ko) 2023-03-27
TW202224020A (zh) 2022-06-16
US10424477B2 (en) 2019-09-24
TWI773572B (zh) 2022-08-01
JP7383106B2 (ja) 2023-11-17
KR20200127949A (ko) 2020-11-11
CN104046955B (zh) 2018-12-07
KR20230043095A (ko) 2023-03-30
US20200013611A1 (en) 2020-01-09
JP2019062225A (ja) 2019-04-18
TWI680202B (zh) 2019-12-21
TW201940734A (zh) 2019-10-16
TW201439105A (zh) 2014-10-16
KR102176030B1 (ko) 2020-11-09
KR102319525B1 (ko) 2021-11-01
TWI748792B (zh) 2021-12-01
TWI714504B (zh) 2020-12-21

Similar Documents

Publication Publication Date Title
CN104046955A (zh) 用于在低温下沉积SiN的Si前体
US11587783B2 (en) Si precursors for deposition of SiN at low temperatures
TWI725985B (zh) 在反應空間中在基板上形成氮化矽薄膜的方法
TWI728941B (zh) 在反應空間中的基板的表面上形成氮化矽薄膜之方法
TWI645067B (zh) 含硼與碳材料的沈積
US20140273531A1 (en) Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant