TWI748792B - 沉積氮化矽薄膜的方法 - Google Patents

沉積氮化矽薄膜的方法 Download PDF

Info

Publication number
TWI748792B
TWI748792B TW109143639A TW109143639A TWI748792B TW I748792 B TWI748792 B TW I748792B TW 109143639 A TW109143639 A TW 109143639A TW 109143639 A TW109143639 A TW 109143639A TW I748792 B TWI748792 B TW I748792B
Authority
TW
Taiwan
Prior art keywords
silicon
sii
silicon nitride
reactant
nitrogen
Prior art date
Application number
TW109143639A
Other languages
English (en)
Other versions
TW202113970A (zh
Inventor
安提 J. 尼斯卡嫩
陳尚
維爾傑米 波爾
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202113970A publication Critical patent/TW202113970A/zh
Application granted granted Critical
Publication of TWI748792B publication Critical patent/TWI748792B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

提供用於藉由原子層沉積(ALD)來沉積氮化矽膜的方法以及前驅物。在一些實施例中,矽前驅物包括碘配位體。所述氮化矽膜在沉積至諸如FinFET或其他類型的多閘極FET的三維特徵上時針對垂直部分與水平部分兩者具有相對均勻的蝕刻速率。在一些實施例中,本揭露的各種氮化矽膜針對稀釋的HF(0.5%)具有小於熱氧化物移除速率的一半的蝕刻速率。

Description

沉積氮化矽薄膜的方法
本揭露大體上是關於半導體元件製造的領域,且更特定言之,是關於氮化矽膜的低溫沉積以及用於氮化矽膜的沉積的前驅物。
間隔物(spacer)廣泛用於半導體製造中,作為用於防護後續處理步驟的結構。舉例而言,形成於閘電極旁邊的氮化物間隔物可用作罩幕以在摻雜或植入步驟期間保護下伏源極/汲極區域。
隨著半導體元件的實體幾何尺寸縮小,閘電極間隔物變得愈來愈小。間隔物寬度受可在密集閘電極線上方共形地沉積的氮化物厚度限制。因此,氮化物間隔物蝕刻製程對於在已沉積時具有間隔物寬度與氮化物層厚度的高比率是較佳的。
當前電漿加強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)氮化矽製程通常在沉積於三維特徵(諸如,渠溝結構)上時遭受各向異性蝕刻行為。換言之,沉積於渠溝或鰭或另一三維特徵的側壁上的膜與所述特徵的頂部區域上的膜相比顯現次等膜(inferior film)性質。膜品質對於渠溝的頂部或結構化晶圓的平面區域上的目標塗覆可為充足的,但對於側壁或其他非水平或垂直表面上的目標塗覆則不充足。
圖1A及圖1B說明可用於間隔物塗覆的氮化矽膜的典型實例。使用除本申請案中所描述的製程外的PEALD製程在400℃下沉積膜。圖1A說明在沉積於三維表面上之後但在被HF蝕刻之前的膜。接著,藉由將工件浸漬於0.5% HF中持續約60秒來執行蝕刻製程。圖1B說明氮化矽膜的垂直部分蝕刻的程度大於膜的水平部分的蝕刻的程度。膜厚度是以奈米為單位來指示。諸如此等結構的結構將在後續處理中(諸如,在FinFET間隔物塗覆中)不復存在。
在一些態樣中,提供形成氮化矽膜的原子層沉積(atomic layer deposition, ALD)方法。ALD方法可為電漿增強ALD方法或熱ALD方法。所述方法實現具有所要品質(諸如,良好的階梯覆蓋及圖案負載效應)以及所要蝕刻特性的氮化矽膜的沉積。根據一些實施例,所述氮化矽膜在沉積至三維特徵上時針對垂直部分與水平部分兩者具有相對均勻的蝕刻速率。此等三維特徵可包含(例如,但不限於)FinFET或其他類型的多閘極FET。在一些實施例中,本揭露的各種氮化矽膜針對稀釋的HF(0.5%)的蝕刻速率小於熱氧化物移除速率的一半,其中熱氧化物移除速率為約2奈米/分至3奈米/分。
在一些實施例中,在反應腔室中在基板上沉積氮化矽膜的方法包括:將氣相矽反應物引入至反應空間中,以使得矽前驅物吸附於基板表面上;移除過量的矽反應物;使所述所吸附的矽反應物與由電漿自氮前驅物產生的反應性物質接觸;以及移除過量的反應性物質以及反應副產物。重複此等步驟以達成所要厚度的氮化矽膜。
在一些實施例中,矽前驅物包括如本文所述的式(1)至(8)的前驅物。在一些實施例中,矽前驅物是選自由以下各者組成的群組:HSiI3 、H2 SiI2 、H3 SiI、H2 Si2 I4 、H4 Si2 I2 及H5 Si2 I。在一些實施例中,矽前驅物是H2 SiI2 。所述反應性物質可包括(例如)氫氣、氫原子、氫電漿、氫自由基、N*、NH*或NH2 *自由基。
在一些實施例中,所述氮化矽膜沉積於三維特徵上。在一些實施例中,所述氮化矽膜展現至少約80%的階梯覆蓋及圖案負載效應。在一些實施例中,所述結構包括側壁及頂部區域,且在0.5% dHF(diluted HF, 稀釋的HF)中,氮化矽膜的側壁濕式蝕刻速率(WER)與頂部區域WER的比率小於約3。在一些實施例中,在0.5% HF水溶液中,所述氮化矽膜的蝕刻速率小於約0.4奈米/分。
在一些實施例中,沉積氮化矽膜的方法包括:將包括至少一個三維特徵的基板裝載至反應空間中;將矽前驅物引入至所述反應空間中,以使得所述矽前驅物吸附於所述基板的表面上;淨化所述反應空間以清除過量的矽前驅物;將氮前驅物引入至所述反應空間中;淨化所述反應空間以清除過量的氮前驅物;以及重複所述步驟以產生所要厚度的膜。在一些實施例中,所述膜在所述三維特徵上具有大於約50%的階梯覆蓋。在一些實施例中,在5% HF水溶液中,所述氮化矽膜的濕式蝕刻速率小於約5奈米/分。在一些實施例中,三維特徵的側壁中的所述氮化矽膜的蝕刻速率與頂表面上的蝕刻速率的比率小於約4。在一些實施例中,所述階梯覆蓋為至少約80%或90%。
在一些實施例中,在基板上沉積氮化矽膜的方法包括:將所述基板暴露於氣相矽前驅物,以使得所述矽前驅物吸附於所述基板的表面上;將所述基板暴露於淨化氣體及/或真空以自所述基板表面移除過量的前驅物以及反應副產物;使所述所吸附的矽前驅物與由氮電漿產生的物質接觸;將所述基板暴露於淨化氣體及/或真空以自所述基板表面以及所述基板表面附近移除含氮電漿物質以及反應副產物;以及重複所述步驟以產生所要厚度的膜。
在一些實施例中,在基板上沉積氮化矽膜的方法包括:將所述基板暴露於氣相矽反應物,以使得所述矽反應物吸附於所述基板的表面上;將所述基板暴露於淨化氣體及/或真空以自所述基板表面移除過量的前驅物以及反應副產物;使所述所吸附的矽反應物與氮前驅物接觸;將所述基板暴露於淨化氣體及/或真空以自所述基板表面以及所述基板表面附近移除過量的氮前驅物以及反應副產物;以及重複所述步驟以產生所要厚度的膜。
在一些實施例中,所述矽前驅物包括碘或溴。在一些實施例中,所述膜具有大於約50%的階梯覆蓋。在一些實施例中,在0.5% HF水溶液中,所述氮化矽的蝕刻速率小於約5奈米/分。在一些實施例中,三維特徵的側壁上的所述氮化矽的蝕刻速率與所述三維特徵的頂表面上的蝕刻速率的比率小於約4。
對於熟習此項技術者而言將顯而易見的是,氮化矽膜具有廣泛各種應用,諸如,用於平面邏輯、DRAM以及「反及」快閃元件中。更具體言之,顯現均勻蝕刻行為的共形氮化矽薄膜具有廣泛各種應用,諸如,用於半導體產業中且亦用於半導體產業之外。根據本揭露的一些實施例,提供各種氮化矽膜及前驅物以及用於藉由原子層沉積(ALD)來沉積此等膜的方法。重要的是,在一些實施例中,所述氮化矽膜在沉積至三維特徵上時針對垂直部分與水平部分兩者具有相對均勻的蝕刻速率。此等三維特徵可包含(例如,但不限於)FinFET或其他類型的多閘極FET。在一些實施例中,本揭露的各種氮化矽膜針對稀釋的HF(0.5%)的蝕刻速率小於熱氧化物移除速率的一半,其中熱氧化物移除速率為約2奈米/分至3奈米/分。
包括氮化矽的薄膜層可藉由電漿增強原子層沉積(plasma-enhanced atomic layer deposition, PEALD)型製程或熱ALD製程來沉積。在一些實施例中,氮化矽薄膜藉由PEALD而沉積於基板上。在一些實施例中,氮化矽薄膜藉由熱ALD製程而沉積於基板上。在一些實施例中,氮化矽薄膜沉積於三維特徵(諸如,finFET元件的形成中的鰭)上方。
為了便利及清楚起見,氮化矽的化學式在本文中大體上稱為SiN。然而,熟習此項技術者應理解,表示膜中的Si:N比率且排除氫或其他雜質的氮化矽的實際式可表示為SiNx ,其中只要形成一些Si-N鍵結,x便為約0.5至約2.0。在一些狀況下,x可為約0.9至約1.7,約1.0至約1.5,或約1.2至約1.4。在一些實施例中,形成了氮化矽,其中Si具有+IV的氧化狀態,且材料中的氮化物的量可變化。
ALD型製程是以受控的、大體上自限制的表面反應為主。通常藉由使基板交替且依序與反應物接觸來避免氣相反應。氣相反應物(例如)藉由在反應物脈衝之間移除過量的反應物及/或反應物副產物而在反應腔室中彼此分離。可藉由淨化氣體及/或真空而自基板表面附近移除反應物。在一些實施例中,藉由(例如)以惰性氣體來淨化而自反應空間移除過量的反應物及/或反應物副產物。
本文所呈現的方法實現基板表面上的SiN薄膜的沉積。由於ALD型製程的性質,幾何上有挑戰性的塗覆亦是可行的。根據一些實施例,ALD型製程用於在諸如積體電路工件的基板上形成SiN薄膜,且在一些實施例中,在基板上的三維特徵上形成SiN薄膜。
圖2為大體上說明根據一些實施例的可用於沉積氮化矽薄膜的氮化矽ALD沉積循環的流程圖。根據某些實施例,氮化矽薄膜藉由包括多個氮化矽沉積循環的ALD型製程而形成於基板上,每一氮化矽沉積循環200包括:
(1)   使基板與矽前驅物接觸(210),以使得矽前驅物吸附於基板表面上;
(2)   使基板與氮前驅物接觸(220);以及
(3)   重複步驟210及220,重複次數為達成所要厚度以及組成的薄膜所需的次數。
可(例如)藉由在每一接觸步驟之後以惰性氣體自反應空間淨化而自基板附近移除過量的反應物。下文的論述更詳細地解釋此等步驟中的每一者。 PEALD製程
在一些實施例中,電漿增強ALD(PEALD)製程用於沉積SiN膜。簡言之,基板或工件置放於反應腔室中且經受交替重複的表面反應。在一些實施例中,薄SiN膜藉由自限制的ALD循環的重複而形成。較佳地,為了形成SiN膜,每一ALD循環包括至少兩個不同階段。反應物的提供以及自反應空間的反應物的移除可被視為一階段。在第一階段中,包括矽的第一反應物得以提供,且在基板表面上形成不超過約一個單層。此反應物在本文中亦稱為「矽前驅物」、「含矽前驅物」或「矽反應物」,且可為(例如)H2 SiI2
在第二階段中,包括反應性物質的第二反應物得以提供且可將所吸附的矽轉化為氮化矽。在一些實施例中,第二反應物包括氮前驅物。在一些實施例中,反應性物質包括所激發的物質。在一些實施例中,第二反應物包括來自含氮電漿的物質。在一些實施例中,第二反應物包括氮自由基、氮原子及/或氮電漿。第二反應物可包括並非氮前驅物的其他物質。在一些實施例中,第二反應物可包括呈某種形式的氫的電漿、氫的自由基或原子氫。在一些實施例中,第二反應物可包括來自稀有氣體的物質,諸如,He、Ne、Ar、Kr或Xe,較佳為Ar或He,例如,作為自由基、呈電漿形式或呈元素形式。來自稀有氣體的此等反應性物質未必對所沉積的膜貢獻材料,但在一些情形下,可促進膜生長且幫助形成及點燃電漿。在一些實施例中,用於形成電漿的氣體可遍及沉積製程恆定地流動,但僅間歇性地活化(activated intermittently)。在一些實施例中,第二反應物不包括來自稀有氣體的物質,諸如,Ar。因此,在一些實施例中,所吸附的矽前驅物不與由電漿自Ar產生的反應性物質接觸。
視需要,可添加額外階段,且可移除階段,以調整最終膜的組成。
可藉由載體氣體(諸如,Ar或He)來提供反應物中的一或多者。在一些實施例中,藉由載體氣體來提供矽前驅物以及第二反應物。
在一些實施例中,所述階段中的兩者可重疊或組合。舉例而言,可按照部分或完全重疊的脈衝來同時提供矽前驅物以及第二反應物。此外,雖然被稱為第一階段及第二階段,以及第一反應物及第二反應物,但階段的次序可變化,且ALD循環可始於所述階段中的任一者。亦即,除非另有規定,否則反應物可按照任何次序來提供,且所述製程可始於所述反應物中的任一者。
如下文更詳細地論述,在一些實施例中,為了沉積氮化矽膜,一或多個沉積循環始於矽前驅物的提供,接著是第二前驅物的提供。在其他實施例中,沉積可始於第二前驅物的提供,接著是矽前驅物的提供。
在一些實施例中,將需要進行沉積的基板(諸如,半導體工件)裝載至反應器中。反應器可為叢集工具的一部分,在所述叢集工具中,執行了在積體電路的形成的過程中的各種不同製程。在一些實施例中,利用流式反應器。在一些實施例中,利用蓮蓬頭式反應器。在一些實施例中,利用空間劃分式反應器。在一些實施例中,使用能夠大量製造的單晶圓ALD反應器。在其他實施例中,使用包括多個基板的分批式反應器。針對使用分批式ALD反應器的實施例,基板的數目較佳介於10至200的範圍中,更佳介於50至150的範圍中,且最佳介於100至130的範圍中。
經特別設計而增強ALD製程的例示性單晶圓反應器可以商品名稱Pulsar® 2000及Pulsar® 3000購自ASM美國公司(AZ,菲尼克斯)(ASM America, Inc. (Phoenix, AZ))以及以商品名稱Eagle® XP、XP8及Dragon® 購自日本ASM股份有限公司(日本東京)(ASM Japan K.K (Tokyo, Japan))。經特別設計而增強ALD製程的例示性分批式ALD反應器可以商品名稱A400™及A412™購自ASM歐洲公司(荷蘭阿美雷)(ASM Europe B.V (Almere, Netherlands))。
在一些實施例中,必要時,可對工件的暴露表面預處理以提供與ALD製程的第一階段反應的反應性位置。在一些實施例中,不需要獨立預處理步驟。在一些實施例中,對基板預處理以提供所要表面終止狀態(surface termination)。在一些實施例中,以電漿來對基板預處理。
若存在過量的反應物以及反應副產物,則在反應物脈衝之間,自基板附近,且尤其自基板表面移除過量的反應物以及反應副產物。在一些實施例中,在反應物脈衝之間,諸如藉由以惰性氣體進行淨化而淨化反應腔室。如同移除步驟,每一反應物的流率以及時間是可調諧的,從而實現膜的品質以及各種性質的控制。
如上所述,在一些實施例中,在每一沉積循環期間或在整個ALD製程期間,氣體連續提供至反應腔室,且反應性物質藉由在反應腔室中或在反應腔室的上游在氣體中產生電漿而提供。在一些實施例中,氣體包括氮氣。在一些實施例中,氣體為氮氣。在其他實施例中,氣體可包括氦氣或氬氣。在一些實施例中,氣體為氦氣或氬氣。流動氣體亦可充當第一及/或第二反應物(或反應性物質)的淨化氣體。舉例而言,流動氮氣可充當第一矽前驅物的淨化氣體,且亦充當第二反應物(作為反應性物質的來源)。在一些實施例中,氮氣、氬氣或氦氣亦可充當第一前驅物的淨化氣體,以及用於將矽前驅物轉化為氮化矽膜的所激發的物質的來源。在一些實施例中,產生電漿的氣體不包括氬氣,且所吸附的矽前驅物不與由電漿自Ar產生的反應性物質接觸。
重複循環,直至獲得所要厚度以及組成的膜為止。在一些實施例中,沉積參數(諸如,流率、流動時間、淨化時間及/或反應物自身)可在ALD製程期間在一或多個沉積循環中變化,以便獲得具有所要特性的膜。在一些實施例中,未在沉積循環或沉積製程中提供氫及/或氫電漿。
術語「脈衝」可被理解為包括將反應物饋送至反應腔室中持續預定量的時間。術語「脈衝」不限制脈衝的長度或持續時間,且脈衝可為任何時間長度。
在一些實施例中,首先提供矽反應物。在初始表面終止狀態之後,必要時,將第一矽反應物脈衝供應至工件。根據一些實施例,第一反應物脈衝包括載體氣流以及揮發性矽物質(諸如,H2 SiI2 ),所述揮發性矽物質與所涉及的工件表面反應。因此,矽反應物吸附於此等工件表面上。第一反應物脈衝使工件表面自飽和,以使得第一反應物脈衝的任何過量的成分不進一步與此製程所形成的分子層反應。
第一矽反應物脈衝較佳是以氣體形式供應。出於本說明書的目的,若物質在製程條件下展現足夠蒸氣壓力以在足以使暴露的表面飽和的濃度下將物質傳送至物件,則矽前驅物氣體被視為「揮發性的」。
在一些實施例中,矽反應物脈衝為約0.05秒至約5.0秒、約0.1秒至約3秒,或約0.2秒至約1.0秒。最佳脈衝時間可容易由熟習此項技術者基於特定情形來判定。
在一些實施例中,選擇矽反應物消耗速率以將所要劑量的前驅物提供至反應空間。反應物消耗指自反應物源(諸如,反應物源瓶)消耗的反應物的量,且可藉由在某數目的沉積之前以及之後對反應物源稱重且將質量差除以循環的數目來判定。在一些實施例中,矽反應物消耗大於約0.1毫克/循環。在一些實施例中,矽反應物消耗為約0.1毫克/循環至約50毫克/循環、約0.5毫克/循環至約30毫克/循環,或約2毫克/循環至約20毫克/循環。在一些實施例中,最小較佳矽反應物消耗可至少部分藉由反應器尺寸(諸如,反應器的加熱表面積)來界定。在一些實施例中,在針對300毫米矽晶圓設計的蓮蓬頭反應器中,矽反應物消耗大於約0.5毫克/循環,或大於約2.0毫克/循環。在一些實施例中,在針對300毫米矽晶圓設計的蓮蓬頭反應器中,矽反應物消耗大於約5毫克/循環。在一些實施例中,在針對300毫米矽晶圓設計的蓮蓬頭反應器中,在低於約400℃的反應溫度下,矽反應物消耗大於約1毫克/循環,較佳大於5毫克/循環。
在足以使分子層吸附於基板表面上的時間之後,接著自反應空間移除過量的第一矽反應物。在一些實施例中,藉由停止第一化學物的流動同時繼續使載體氣體或淨化氣體流動持續足以使過量的反應物以及反應物副產物(若存在)自反應空間擴散或清除的時間來清除過量的第一反應物。在一些實施例中,藉由遍及ALD循環而流動的惰性氣體(諸如,氮氣或氬氣)來清除過量的第一前驅物。
在一些實施例中,清除第一反應物持續約0.1秒至約10秒、約0.3秒至約5秒,或約0.3秒至約1秒。矽反應物的提供以及移除可被視為ALD循環的第一或矽階段。
在第二階段中,將包括反應性物質的第二反應物(諸如,氮電漿)提供至工件。在一些實施例中,在每一ALD循環期間使氮氣(N2 )連續流動至反應腔室。可藉由(例如)藉由使氮氣流經遠端電漿產生器而在反應腔室中或在反應腔室的上游在氮氣中產生電漿而形成氮電漿。
在一些實施例中,可在流動的H2 及N2 氣體中產生電漿。在一些實施例中,在點燃電漿或形成氮及氫原子或自由基之前,將H2 及N2 提供至反應腔室。不限於任何理論,咸信氫可對配位體移除步驟具有有利影響,亦即,氫可移除剩餘配位體中的一些,或對膜品質具有其他有利影響。在一些實施例中,將H2 及N2 連續提供至反應腔室,且在需要時產生或供應含氮及氫的電漿、原子或自由基。
通常,提供第二反應物(例如,包括氮電漿)持續約0.1秒至約10秒。在一些實施例中,提供第二反應物(諸如,氮電漿)持續約0.1秒至約10秒、0.5秒至約5秒,或0.5秒至約2.0秒。然而,取決於反應物類型、基板類型以及其表面積,第二反應物脈衝時間可甚至高於約10秒。在一些實施例中,脈衝時間可為大致數分鐘。最佳脈衝時間可容易由熟習此項技術者基於特定情形來判定。
在一些實施例中,在兩個或兩個以上不同脈衝中提供第二反應物,而在所述兩個或兩個以上脈衝中的任何脈衝之間不引入另一反應物。舉例而言,在一些實施例中,在兩個或兩個以上(較佳兩個)連續脈衝中提供氮電漿,而在連續脈衝之間不引入Si前驅物。在一些實施例中,在氮電漿的提供期間,藉由以下方式來產生兩個或兩個以上連續電漿脈衝:提供電漿放電持續第一時段;停止電漿放電持續第二時段,例如,約0.1秒至約10秒、約0.5秒至約5秒或約1.0秒至約4.0秒;以及在引入另一前驅物或移除步驟之前,諸如,在Si前驅物或淨化步驟之前,再次激發電漿持續第三時段。可按照相同方式來引入額外電漿脈衝。在一些實施例中,在脈衝中的每一者中,點燃電漿持續相等時段。
在一些實施例中,可藉由施加約10瓦至約2000瓦、較佳約50瓦至約1000瓦、更佳約100瓦至約500瓦的RF功率來產生氮電漿。在一些實施例中,RF功率密度可為約0.02瓦/平方公分至約2.0瓦/平方公分,較佳約0.05瓦/平方公分至約1.5瓦/平方公分。RF功率可施加至氮氣,所述氮氣在氮電漿脈衝時間期間流動,連續流經反應腔室及/或流經遠端電漿產生器。因此,在一些實施例中,在原位產生電漿,而在其他實施例中,在遠端產生電漿。在一些實施例中,利用蓮蓬頭反應器,且在基座(基板位於所述基座的頂部上)與蓮蓬頭板之間產生電漿。在一些實施例中,基座與蓮蓬頭板之間的間隙為約0.1公分至約20公分、約0.5公分至約5公分,或約0.8公分至約3.0公分。
在足以完全飽和且使先前吸附的分子層與氮電漿脈衝反應的時段之後,自反應空間移除任何過量的反應物以及反應副產物。與第一反應物的移除一樣,此步驟可包含停止反應性物質的產生且繼續使惰性氣體流動(諸如,氮氣或氬氣)持續足以使過量的反應性物質以及揮發性反應副產物自反應空間擴散及排除的時段。在其他實施例中,可使用獨立淨化氣體。在一些實施例中,淨化可為約0.1秒至約10秒、約0.1秒至約4秒,或約0.1秒至約0.5秒。在氮化矽原子層沉積循環期間,氮電漿提供以及移除一起表示第二反應性物質階段。
兩個階段一起表示一個ALD循環,所述ALD循環重複以形成所要厚度的氮化矽薄膜。雖然ALD循環在本文中大體上被稱為始於矽階段,但預期在其他實施例中,所述循環可始於反應性物質階段。熟習此項技術者將認識到,第一前驅物階段通常與前一循環中的最後階段留下的終止狀態反應。因此,雖然若反應性物質階段為第一ALD循環中的第一階段,則可能先前未有反應物吸附於基板表面上或存在於反應空間中,但在後續循環中,反應性物質階段將實際上在矽階段之後。在一些實施例中,在沉積製程中提供一或多個不同ALD循環。
根據本揭露的一些實施例,在範圍為約25℃至約700℃、較佳約50℃至約600℃、更佳約100℃至約450℃且最佳約200℃至約400℃的溫度下執行PEALD反應。在一些實施例中,最佳反應器溫度可受最大允許熱預算限制。因此,在一些實施例中,反應溫度為約300℃至約400℃。在一些應用中,最大溫度為約400℃,且因此PEALD製程是在此反應溫度下執行。
根據本揭露的一些實施例,處理期間的反應腔室的壓力維持為約0.01托至約50托,較佳約0.1托至約10托。 Si前驅物
許多適當矽前驅物可用於當前揭露的PEALD製程中。適當前驅物中的至少一些可具有以下通式: (1)   H2n+2-y-z Sin Xy Az 其中,n=1至10,y=1或1以上(且多達2n+2-z),z=0或0以上(且多達2n+2-y),X為I或Br,且A為除X以外的鹵素,較佳n=1至5,且更佳n=1至3,且最佳1至2。
根據一些實施例,矽前驅物可包括一或多種環狀化合物。此等前驅物可具有以下通式: (2)   H2n-y-z Sin Xy Az 其中,式(2)化合物為環狀化合物,n=3至10,y=1或1以上(且多達2n-z),z=0或0以上(且多達2n-y),X為I或Br,且A為除X以外的鹵素,較佳n=3至6。
根據一些實施例,矽前驅物可包括一或多種碘矽烷。此等前驅物可具有以下通式: (3)   H2n+2-y-z Sin Iy Az 其中,n=1至10,y=1或1以上(且多達2n+2-z),z=0或0以上(且多達2n+2-y),且A為除I以外的鹵素,較佳n=1至5,且更佳n=1至3,且最佳1至2。
根據一些實施例,一些矽前驅物可包括一或多種環狀碘矽烷。此等前驅物可具有以下通式: (4)   H2n-y-z Sin Iy Az 其中,式(4)化合物為環狀化合物,n=3至10,y=1或1以上(且多達2n-z),z=0或0以上(且多達2n-y),且A為除I以外的鹵素,較佳n=3至6。
根據一些實施例,一些矽前驅物可包括一或多種溴矽烷。此等前驅物可具有以下通式: (5)   H2n+2-y-z Sin Bry Az 其中,n=1至10,y=1或1以上(且多達2n+2-z),z=0或0以上(且多達2n+2-y),且A為除Br以外的鹵素,較佳n=1至5,且更佳n=1至3,且最佳1至2。
根據一些實施例,一些矽前驅物可包括一或多種環狀溴矽烷。此等前驅物可具有以下通式: (6)   H2n-y-z Sin Bry Az 其中,式(6)化合物為環狀化合物,n=3至10,y=1或1以上(且多達2n-z),z=0或0以上(且多達2n-y),且A為除Br以外的鹵素,較佳n=3至6。
根據一些實施例,較佳矽前驅物包括一或多種碘矽烷。此等前驅物可具有以下通式: (7)   H2n+2-y Sin Iy 其中,n=1至5,y=1或1以上(且多達2n+2),較佳n=1至3,且更佳n=1至2。
根據一些實施例,較佳矽前驅物包括一或多種溴矽烷。此等前驅物可具有以下通式: (8)   H2n+2-y Sin Bry 其中,n=1至5,y=1或1以上(且多達2n+2),較佳n=1至3,且更佳n=1至2。
根據PEALD製程的一些實施例,適當矽前驅物可至少包含具有通式(1)至(8)中的任一者的化合物。在通式(1)至(8)中,鹵化物/鹵素可包含F、Cl、Br及I。在一些實施例中,矽前驅物包括SiI4 、HSiI3 、H2 SiI2 、H3 SiI、Si2 I6 、HSi2 I5 、H2 Si2 I4 、H3 Si2 I3 、H4 Si2 I2 、H5 Si2 I或Si3 I8 。在一些實施例中,矽前驅物包括以下各者中的一者:HSiI3 、H2 SiI2 、H3 SiI、H2 Si2 I4 、H4 Si2 I2 及H5 Si2 I。在一些實施例中,矽前驅物包括HSiI3 、H2 SiI2 、H3 SiI、H2 Si2 I4 、H4 Si2 I2 及H5 Si2 I中的兩者、三者、四者、五者或六者,包含其任何組合。
在某些實施例中,Si前驅物是H2 SiI2
在一些實施例中,下文的式(9)至(28)的Si前驅物可用於PEALD製程中。 N前驅物
如上文所論述,根據本揭露的PEALD製程中的第二反應物可包括氮前驅物,所述氮前驅物可包括反應性物質。適當電漿組成包含呈某種形式的氮電漿、氮的自由基或原子氮。在一些實施例中,亦提供呈某種形式的氫電漿、氫的自由基或原子氫。且在一些實施例中,電漿亦可含有稀有氣體,諸如,He、Ne、Ar、Kr或Xe,較佳為Ar或He,呈電漿形式、作為自由基或呈元素形式。在一些實施例中,第二反應物不包括來自含稀有氣體的任何物質,諸如,Ar。因此,在一些實施例中,電漿不產生於包括稀有氣體的氣體中。
因此,在一些實施例中,第二反應物可包括由具有N與H兩者的化合物(諸如,NH3 及N2 H4 、N2 /H2 的混合物或具有N-H鍵結的其他前驅物)形成的電漿。在一些實施例中,第二反應物可至少部分由N2 形成。在一些實施例中,第二反應物可至少部分由N2 及H2 形成,其中N2 及H2 是以約20:1至約1:20、較佳約10:1至約1:10、更佳約5:1至約1:5且最佳約1:2至約4:1且在一些狀況下1:1的流量比率(N2 /H2 )提供。
在一些實施例中,可遠離基板或反應空間經由電漿放電(「遠端電漿」)在遠端形成第二反應物。在一些實施例中,可在基板附近或直接在基板上方(「直接電漿」)形成第二反應物。
圖3為大體上說明根據一些實施例的可用於沉積氮化矽薄膜的氮化矽PEALD沉積循環的流程圖。根據某一實施例,氮化矽薄膜藉由包括多個氮化矽沉積循環的PEALD型製程而形成於基板上,每一氮化矽沉積循環300包括:
(1)   使基板與氣化矽前驅物接觸(310),以使得矽化合物吸附於基板表面上;
(2)   將氮前驅物引入至反應空間中(320);
(3)   自氮前驅物產生反應性物質(330);以及
(4)   使所述基板與所述反應性物質接觸(340),藉此將所吸附的矽化合物轉化為氮化矽。
氮氣可遍及所述循環而連續流動,其中氮電漿在適當時間形成以將所吸附的矽化合物轉化為氮化矽。
如上所述,在一些實施例中,基板可同時與矽化合物以及反應性物質接觸,而在其他實施例中,此等反應物可被獨立地提供。
重複接觸步驟(350),直至獲得所要厚度以及組成的薄膜為止。可在每一接觸步驟(亦即,接觸步驟310及340)之後自反應空間排除過量的反應物。
根據一些實施例,諸如在FinFET應用中,在具有三維特徵的基板上使用PEALD製程而沉積氮化矽薄膜。所述製程可包括以下步驟:
(1)   在反應空間中提供包括三維特徵的基板;
(2)   將含矽前驅物(諸如,SiI2 H2 )引入至反應空間中,以使得含矽前驅物被吸附至基板的表面;
(3)   自反應空間移除過量的含矽前驅物以及反應副產物;
(4)   將含氮前驅物(諸如,N2 、NH3 、N2 H4 或N2 及H2 )引入至反應空間中;
(5)   自氮前驅物產生反應性物質;
(6)   使基板與反應性物質接觸;以及
(7)   移除過量的氮原子、電漿或自由基以及反應副產物;
可重複步驟(2)至(7),直至形成所要厚度的氮化矽膜為止。
在一些實施例中,步驟(5)及(6)替換為遠端地形成氮原子、電漿或自由基且將其提供至反應空間的步驟。
在一些實施例中,在約200℃至約400℃、約300℃至約400℃或約400℃的溫度下執行PEALD製程。 熱ALD製程
本文中所呈現的方法亦允許藉由熱ALD製程而在基板表面上沉積氮化矽膜。藉由此等熱製程,幾何上有挑戰性的塗覆(諸如,三維特徵)亦可行。根據一些實施例,熱原子層沉積(ALD)型製程用於在諸如積體電路工件的基板上形成氮化矽膜。
基板或工件置放於反應腔室中且經受交替重複的自限制的表面反應。較佳地,為了形成氮化矽膜,每一熱ALD循環包括至少兩個不同階段。反應物的提供以及自反應空間的反應物的移除可被視為一階段。在第一階段中,包括矽的第一反應物得以提供,且在基板表面上形成不超過約一個單層。此反應物在本文中亦稱為「矽前驅物」或「矽反應物」,且可為(例如)H2 SiI2 。在第二階段中,包括含氮化合物的第二反應物得以提供且與所吸附的矽前驅物反應以形成SiN。此第二反應物亦可稱為「氮前驅物」或「氮反應物」。第二反應物可包括NH3 或另一適當含氮化合物。視需要,可添加額外階段,且可移除階段,以調整最終膜的組成。
可藉由載體氣體(諸如,Ar或He)來提供反應物中的一或多者。在一些實施例中,藉由載體氣體來提供矽前驅物以及氮前驅物。
在一些實施例中,所述階段中的兩者可重疊或組合。舉例而言,可按照部分或完全重疊的脈衝來同時提供矽前驅物以及氮前驅物。此外,雖然被稱為第一階段及第二階段,以及第一反應物及第二反應物,但階段的次序以及反應物的提供的次序可變化,且ALD循環可始於所述階段中的任一者或所述反應物中的任一者。亦即,除非另有規定,否則反應物可按照任何次序來提供,且所述製程可始於所述反應物中的任一者。
如下文更詳細地論述,在一些實施例中,為了沉積氮化矽膜,一或多個沉積循環通常始於矽前驅物的提供,接著是氮前驅物。在一些實施例中,一或多個沉積循環始於氮前驅物的提供,接著是矽前驅物。
再者,可藉由載體氣體(諸如,Ar或He)來提供反應物中的一或多者。在一些實施例中,藉由載體氣體來提供氮前驅物。在一些實施例中,雖然被稱為第一階段及第二階段,以及第一反應物及第二反應物,但階段的次序以及因此反應物的提供的次序可變化,且ALD循環可始於所述階段中的任一者。
在一些實施例中,需要進行沉積的基板(諸如,半導體工件)裝載至反應器中。反應器可為叢集工具的一部分,在所述叢集工具中,執行了在積體電路的形成的過程中的各種不同製程。在一些實施例中,利用流式反應器。在一些實施例中,利用蓮蓬頭式反應器。在一些實施例中,利用空間劃分式反應器。在一些實施例中,使用能夠大量製造的單晶圓ALD反應器。在其他實施例中,使用包括多個基板的分批式反應器。針對使用分批式ALD反應器的實施例,基板的數目較佳介於10至200的範圍中,更佳介於50至150的範圍中,且最佳介於100至130的範圍中。
經特別設計而增強ALD製程的例示性單晶圓反應器可以商品名稱Pulsar® 2000及Pulsar® 3000購自ASM美國公司(AZ,菲尼克斯)(ASM America, Inc. (Phoenix, AZ))以及以商品名稱Eagle® XP、XP8及Dragon® 購自日本ASM股份有限公司(日本東京)(ASM Japan K.K (Tokyo, Japan))。經特別設計而增強ALD製程的例示性分批式ALD反應器可以商品名稱A400™及A412™購自ASM歐洲公司(荷蘭阿美雷)(ASM Europe B.V (Almere, Netherlands))。
在一些實施例中,必要時,可對工件的暴露表面預處理以提供與ALD製程的第一階段反應的反應性位置。在一些實施例中,不需要獨立預處理步驟。在一些實施例中,對基板預處理以提供所要表面終止狀態(surface termination)。
在一些實施例中,若存在過量的反應物以及反應副產物,則在反應物脈衝之間,自前驅物附近(諸如,自基板表面)移除過量的反應物以及反應副產物。在一些實施例中,藉由(例如)以惰性氣體在反應物脈衝之間淨化而自反應腔室移除過量的反應物及/或反應副產物。如同淨化步驟,每一反應物的流率以及時間是可調諧的,從而實現膜的品質以及性質的控制。在一些實施例中,移除過量的反應物及/或反應副產物包括移動基板。
如上所述,在一些實施例中,在每一沉積循環期間或在整個ALD製程期間連續將氣體提供至反應腔室。在其他實施例中,氣體可為氮氣、氦氣或氬氣。
重複ALD循環,直至獲得所要厚度以及組成的膜為止。在一些實施例中,沉積參數(諸如,流率、流動時間、淨化時間及/或前驅物自身)可在ALD製程期間在一或多個沉積循環中變化,以便獲得具有所要特性的膜。
術語「脈衝」可被理解為包括將反應物饋送至反應腔室中持續預定量的時間。術語「脈衝」不限制脈衝的長度或持續時間,且脈衝可為任何時間長度。
在一些實施例中,首先提供矽前驅物。在初始表面終止狀態之後,必要時,將第一矽反應物脈衝供應至工件。根據一些實施例,第一前驅物脈衝包括載體氣流以及揮發性矽物質(諸如,H2 SiI2 ),所述揮發性矽物質與所涉及的工件表面反應。因此,矽前驅物吸附於工件表面上。第一前驅物脈衝使工件表面自飽和,以使得第一前驅物脈衝的任何過量的成分不實質上進一步與此製程所形成的分子層反應。
第一矽前驅物脈衝較佳是以氣體形式供應。出於本說明書的目的,若物質在製程條件下展現足夠蒸氣壓力以在足以使暴露的表面飽和的濃度下將物質傳送至物件,則矽前驅物氣體被視為「揮發性的」。
在一些實施例中,矽前驅物脈衝為約0.05秒至約5.0秒、約0.1秒至約3秒,或約0.2秒至約1.0秒。在分批式製程中,矽前驅物脈衝可實質上較長,如熟習此項技術者可基於特定情形所判定。
在足以使分子層吸附於基板表面上的時間之後,接著自反應空間移除過量的第一前驅物。在一些實施例中,藉由停止第一前驅物的流動同時繼續使載體氣體或淨化氣體流動持續足以使過量的反應物以及反應物副產物(若存在)自反應空間擴散或清除的時間來清除過量的第一前驅物。
在一些實施例中,清除第一前驅物持續約0.1秒至約10秒、約0.3秒至約5秒,或約0.3秒至約1秒。矽前驅物的提供以及移除可被視為ALD循環的第一或矽階段。在分批式製程中,第一前驅物淨化可實質上較長,如熟習此項技術者可基於特定情形所判定。
將第二氮前驅物脈衝至反應空間中以接觸基板表面。可藉由載體氣體來提供氮前驅物。氮前驅物可為(例如)NH3 或N2 H4 。氮前驅物脈衝較佳亦是以氣體形式供應。出於本說明書的目的,若物質在製程條件下展現足夠蒸氣壓力以在足以使暴露的表面飽和的濃度下將物質傳送至物件,則氮前驅物被視為「揮發性的」。
在一些實施例中,氮前驅物脈衝為約0.05秒至約5.0秒、0.1秒至約3.0秒,或約0.2秒至約1.0秒。在分批式製程中,氮前驅物脈衝可實質上較長,如熟習此項技術者可基於特定情形所判定。
在足以使分子層在可用結合位置處吸附於基板表面上的時間之後,接著自反應空間移除第二氮前驅物。在一些實施例中,停止第二氮前驅物的流動,同時繼續使載體氣體流動持續足以使過量的反應物以及反應物副產物(若存在)自反應空間擴散或清除的時間,此較佳是與兩倍於反應腔室容積的淨化氣體、更佳是與三倍於反應腔室容積的淨化氣體一起進行。氮前驅物的提供以及移除可被視為ALD循環的第二或氮階段。
在一些實施例中,清除氮前驅物持續約0.1秒至約10.0秒、約0.3秒至約5.0秒,或約0.3秒至約1.0秒。在分批式製程中,第一前驅物淨化可實質上較長,如熟習此項技術者可基於特定情形所判定。
氮前驅物脈衝的流率及時間以及氮階段的移除或淨化步驟是可調諧的以在氮化矽膜中實現所要組成。雖然由於有限數目的結合位置,基板表面上的氮前驅物的吸附通常是自限制的,但脈衝參數可經調整以使得在一或多個循環中,吸附了不足單層的氮。
兩個階段一起表示一個ALD循環,所述ALD循環重複以形成所要厚度的氮化矽薄膜。雖然ALD循環在本文中大體上被稱為始於矽階段,但預期在其他實施例中,所述循環可始於氮階段。熟習此項技術者將認識到,第一前驅物階段通常與前一循環中的最後階段留下的終止狀態反應。在一些實施例中,在沉積製程中提供一或多個不同ALD循環。
根據本揭露的一些實施例,在範圍為約25℃至約1000℃、較佳約100℃至約800℃、更佳約200℃至約650℃且最佳約300℃至約500℃的溫度下執行ALD反應。在一些實施例中,最佳反應器溫度可受最大允許熱預算限制。因此,反應溫度可為約300℃至約400℃。在一些應用中,最大溫度為約400℃,且因此所述製程是在此反應溫度下執行。 Si前驅物
許多適當矽前驅物可用於當前揭露的熱製程(諸如,熱ALD製程)中。在一些實施例中,此等前驅物亦可用於電漿ALD製程中,其中沉積具有所要品質(下文所述的所要WER、WERR、圖案負載效應或/及階梯覆蓋特徵中的至少一者)的膜。
根據一些實施例,一些矽前驅物包括碘,且藉由使用所述前驅物而沉積的膜具有至少一個所要性質,例如,下文所述的所要WER、WERR、圖案負載效應或/及階梯覆蓋特徵中的至少一者。
根據一些實施例,一些矽前驅物包括溴,且藉由使用所述前驅物而沉積的膜具有至少一個所要性質,例如,下文所述的所要WER、WERR、圖案負載效應或/及階梯覆蓋特徵中的至少一者。
適當前驅物中的至少一些可具有以下通式: (9)   H2n+2-y-z-w Sin Xy Az Rw 其中,n=1至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=0或0以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括一或多種環狀化合物。此等前驅物可具有以下通式: (10) H2n-y-z-w Sin Xy Az Rw 其中,n=3至10,y=1或1以上(且多達2n-z-w),z=0或0以上(且多達2n-y-w),w=0或0以上(且多達2n-y-z),X為I或Br,A為除X以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=3至6。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括一或多種碘矽烷。此等前驅物可具有以下通式: (11) H2n+2-y-z-w Sin Iy Az Rw 其中,n=1至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=0或0以上(且多達2n+2-y-z),A為除I以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括一或多種環狀碘矽烷。此等前驅物可具有以下通式: (12) H2n-y-z-w Sin Iy Az Rw 其中,n=3至10,y=1或1以上(且多達2n-z-w),z=0或0以上(且多達2n-y-w),w=0或0以上(且多達2n-y-z),A為除I以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=3至6。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括一或多種溴矽烷。此等前驅物可具有以下通式: (13) H2n+2-y-z-w Sin Bry Az Rw 其中,n=1至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=0或0以上(且多達2n+2-y-z),A為除Br以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括一或多種環狀溴矽烷。此等前驅物可具有以下通式: (14) H2n-y-z-w Sin Bry Az Rw 其中,n=3至10,y=1或1以上(且多達2n-z-w),z=0或0以上(且多達2n-y-w),w=0或0以上(且多達2n-y-z),A為除Br以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=3至6。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
根據一些實施例,一些矽前驅物包括碘或溴在化合物中未鍵結至矽的碘矽烷或溴矽烷。因此,一些適當化合物可具有經碘/溴取代的烷基。此等前驅物可具有以下通式: (15) H2n+2-y-z-w Sin Xy Az RII w 其中,n=1至10,y=0或0以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=1或1以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,RII 為含有I或Br的有機配位體且可獨立選自由經I或Br取代的烷氧化物、烷基矽烷基、烷基、烷基胺以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,RII 為經碘取代的C1 -C3 烷基配位體。
根據一些實施例,一些矽前驅物包括一或多種環狀碘矽烷或溴矽烷。因此,一些適當環狀化合物可具有經碘/溴取代的烷基。此等前驅物可具有以下通式: (16) H2n-y-z-w Sin Xy Az RII w 其中,n=3至10,y=0或0以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=1或1以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,RII 為含有I或Br的有機配位體且可獨立選自由經I或Br取代的烷氧化物、烷基矽烷基、烷基、烷基胺以及不飽和烴組成的群組;較佳n=3至6。較佳地,RII 為經碘取代的C1 -C3 烷基配位體。
根據一些實施例,一些適當矽前驅物可具有以下通式中的至少一者: (17) H2n+2-y-z-w Sin Xy Az (NR1 R2 )w 其中,n=1至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=1或1以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,N為氮,且R1 及R2 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 及R2 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 及R2 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。 (18) (H3-y-z-w Xy Az (NR1 R2 )w Si)3 -N 其中,y=1或1以上(且多達3-z-w),z=0或0以上(且多達3-y-w),w=1或1以上(且多達3-y-z),X為I或Br,A為除X以外的鹵素,N為氮,且R1 及R2 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組。較佳地,R1 及R2 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 及R2 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。可彼此獨立地選擇三個H3-y-z-w Xy Az (NR1 R2 )w Si配位體中的每一者。
在一些實施例中,一些適當前驅物可具有以下更具體式中的至少一者: (19) H2n+2-y-w Sin Iy (NR1 R2 )w 其中,n=1至10,y=1或1以上(且多達2n+2-w),w=1或1以上(且多達2n+2-y),N為氮,且R1 及R2 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 及R2 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 及R2 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。 (20) (H3-y-w Iy (NR1 R2 )w Si)3 -N 其中,y=1或1以上(且多達3-w),w=1或1以上(且多達3-y),N為氮,且R1 及R2 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組。較佳地,R1 及R2 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 及R2 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇三個H3-y-w Iy (NR1 R2 )w Si配位體中的每一者。
根據一些實施例,一些適當矽前驅物可具有以下通式中的至少一者: (21) H2n+2-y-z-w Sin Xy Az (NR1 R2 )w 其中,n=1至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=1或1以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,N為氮,R1 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組,且R2 可獨立選自由烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。較佳地,R2 為C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R2 為C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。 (22) (H3-y-z-w Xy Az (NR1 R2 )w Si)3 -N 其中,y=1或1以上(且多達3-z-w),z=0或0以上(且多達3-y-w),w=1或1以上(且多達3-y-z),X為I或Br,A為除X以外的鹵素,N為氮,R1 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組,且R2 可獨立選自由烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。較佳地,R2 為C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R2 為C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。
在一些實施例中,一些適當前驅物可具有以下更具體式中的至少一者: (23) H2n+2-y-w Sin Iy (NR1 R2 )w 其中,n=1至10,y=1或1以上(且多達2n+2-w),w=1或1以上(且多達2n+2-y),N為氮,R1 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組,且R2 可獨立選自由烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。較佳地,R2 為C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R2 為C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。 (24) (H3-y-w Iy (NR1 R2 )w Si)3 -N 其中,y=1或1以上(且多達3-w),w=1或1以上(且多達3-y),N為氮,R1 可獨立選自由氫、烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組,且R2 可獨立選自由烷基、經取代烷基、矽烷基、烷基矽烷基以及不飽和烴組成的群組;較佳n=1至5,且更佳n=1至3,且最佳1至2。較佳地,R1 為氫或C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R1 為氫或C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。較佳地,R2 為C1 -C4 烷基,諸如,甲基、乙基、正丙基、異丙基、第三丁基、異丁基、第二丁基以及正丁基。更佳地,R2 為C1 -C3 烷基,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇(NR1 R2 )w 配位體中的每一者。
根據熱ALD製程的一些實施例,適當矽前驅物可至少包含具有通式(9)至(24)中的任一者的化合物。在通式(9)至(18)中以及在通式(21)及(22)中,鹵化物/鹵素可包含F、Cl、Br及I。
在一些實施例中,矽前驅物包括以下各者中的一或多者:SiI4 、HSiI3 、H2 SiI2 、H3 SiI、Si2 I6 、HSi2 I5 、H2 Si2 I4 、H3 Si2 I3 、H4 Si2 I2 、H5 Si2 I、Si3 I8 、HSi2 I5 、H2 Si2 I4 、H3 Si2 I3 、H4 Si2 I2 、H5 Si2 I、MeSiI3 、Me2 SiI2 、Me3 SiI、MeSi2 I5 、Me2 Si2 I4 、Me3 Si2 I3 、Me4 Si2 I2 、Me5 Si2 I、HMeSiI2 、HMe2 SiI、HMeSi2 I4 、HMe2 Si2 I3 、HMe3 Si2 I2 、HMe4 Si2 I、H2 MeSiI、H2 MeSi2 I3 、H2 Me2 Si2 I2 、H2 Me3 Si2 I、H3 MeSi2 I2 、H3 Me2 Si2 I、H4 MeSi2 I、EtSiI3 、Et2 SiI2 、Et3 SiI、EtSi2 I5 、Et2 Si2 I4 、Et3 Si2 I3 、Et4 Si2 I2 、Et5 Si2 I、HEtSiI2 、HEt2 SiI、HEtSi2 I4 、HEt2 Si2 I3 、HEt3 Si2 I2 、HEt4 Si2 I、H2 EtSiI、H2 EtSi2 I3 、H2 Et2 Si2 I2 、H2 Et3 Si2 I、H3 EtSi2 I2 、H3 Et2 Si2 I及H4 EtSi2 I。
在一些實施例中,矽前驅物包括以下各者中的一或多者:EtMeSiI2 Et2 MeSiI、EtMe2 SiI、EtMeSi2 I4 、Et2 MeSi2 I3 、EtMe2 Si2 I3 、Et3 MeSi2 I2 、Et2 Me2 Si2 I2 、EtMe3 Si2 I2 、Et4 MeSi2 I、Et3 Me2 Si2 I、Et2 Me3 Si2 I、EtMe4 Si2 I、HEtMeSiI、HEtMeSi2 I3 、HEt2 MeSi2 I2 、HEtMe2 Si2 I2 、HEt3 MeSi2 I、HEt2 Me2 Si2 I、HEtMe3 Si2 I、H2 EtMeSi2 I2 、H2 Et2 MeSi2 I、H2 EtMe2 Si2 I、H3 EtMeSi2 I。
在一些實施例中,矽前驅物包括以下各者中的一或多者:HSiI3 、H2 SiI2 、H3 SiI、H2 Si2 I4 、H4 Si2 I2 、H5 Si2 I、MeSiI3 、Me2 SiI2 、Me3 SiI、Me2 Si2 I4 、Me4 Si2 I2 、HMeSiI2 、H2 Me2 Si2 I2 、EtSiI3 、Et2 SiI2 、Et3 SiI、Et2 Si2 I4 、Et4 Si2 I2 及HEtSiI2 。在一些實施例中,矽前驅物包括選自HSiI3 、H2 SiI2 、H3 SiI、H2 Si2 I4 、H4 Si2 I2 、H5 Si2 I、MeSiI3 、Me2 SiI2 、Me3 SiI、Me2 Si2 I4 、Me4 Si2 I2 、HMeSiI2 、H2 Me2 Si2 I2 、EtSiI3 、Et2 SiI2 、Et3 SiI、Et2 Si2 I4 、Et4 Si2 I2 及HEtSiI2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種、十六種、十七種、十八種、十九種或十九種以上的化合物,包含其任何組合。在某些實施例中,矽前驅物是H2 SiI2
在一些實施例中,矽前驅物包括鍵結至矽的三個碘及一個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiI3 )NH2 、(SiI3 )NHMe、(SiI3 )NHEt、(SiI3 )NHi Pr、(SiI3 )NHt Bu、(SiI3 )NMe2 、(SiI3 )NMeEt、(SiI3 )NMei Pr、(SiI3 )NMet Bu、(SiI3 )NEt2 、(SiI3 )NEti Pr、(SiI3 )NEtt Bu、(SiI3 )Ni Pr2 、(SiI3 )Ni Prt Bu及(SiI3 )Nt Bu2 。在一些實施例中,矽前驅物包括選自(SiI3 )NH2 、(SiI3 )NHMe、(SiI3 )NHEt、(SiI3 )NHi Pr、(SiI3 )NHt Bu、(SiI3 )NMe2 、(SiI3 )NMeEt、(SiI3 )NMei Pr、(SiI3 )NMet Bu、(SiI3 )NEt2 、(SiI3 )NEti Pr、(SiI3 )NEtt Bu、(SiI3 )Ni Pr2 、(SiI3 )Ni Prt Bu、(SiI3 )Nt Bu2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。在一些實施例中,矽前驅物包括鍵結至矽的兩個碘及兩個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiI2 )(NH2 )2 、(SiI2 )(NHMe)2 、(SiI2 )(NHEt)2 、(SiI2 )(NHi Pr)2 、(SiI2 )(NHt Bu)2 、(SiI2 )(NMe2 )2 、(SiI2 )(NMeEt)2 、(SiI2 )(NMei Pr)2 、(SiI2 )(NMet Bu)2 、(SiI2 )(NEt2 )2 、(SiI2 )(NEti Pr)2 、(SiI2 )(NEtt Bu)2 、(SiI2 )(Ni Pr2 )2 、(SiI2 )(Ni Prt Bu)2 及(SiI2 )(Nt Bu)2 。在一些實施例中,矽前驅物包括選自(SiI2 )(NH2 )2 、(SiI2 )(NHMe)2 、(SiI2 )(NHEt)2 、(SiI2 )(NHi Pr)2 、(SiI2 )(NHt Bu)2 、(SiI2 )(NMe2 )2 、(SiI2 )(NMeEt)2 、(SiI2 )(NMei Pr)2 、(SiI2 )(NMet Bu)2 、(SiI2 )(NEt2 )2 、(SiI2 )(NEti Pr)2 、(SiI2 )(NEtt Bu)2 、(SiI2 )(Ni Pr2 )2 、(SiI2 )(Ni Prt Bu)2 、(SiI2 )(Nt Bu)2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。
在一些實施例中,矽前驅物包括鍵結至矽的兩個碘、一個氫及一個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiI2 H)NH2 、(SiI2 H)NHMe、(SiI2 H)NHEt、(SiI2 H)NHi Pr、(SiI2 H)NHt Bu、(SiI2 H)NMe2 、(SiI2 H)NMeEt、(SiI2 H)NMei Pr、(SiI2 H)NMet Bu、(SiI2 H)NEt2 、(SiI2 H)NEti Pr、(SiI2 H)NEtt Bu、(SiI2 H)Ni Pr2 、(SiI2 H)Ni Pr t Bu及(SiI2 H)Nt Bu2 。在一些實施例中,矽前驅物包括選自(SiI2 H)NH2 、(SiI2 H)NHMe、(SiI2 H)NHEt、(SiI2 H)NHi Pr、(SiI2 H)NHt Bu、(SiI2 H)NMe2 、(SiI2 H)NMeEt、(SiI2 H)NMei Pr、(SiI2 H)NMet Bu、(SiI2 H)NEt2 、(SiI2 H)NEti Pr、(SiI2 H)NEtt Bu、(SiI2 H)Ni Pr2 、(SiI2 H)Ni Prt Bu、(SiI2 H)Nt Bu2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。
在一些實施例中,矽前驅物包括鍵結至矽的一個碘、一個氫及兩個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiIH)(NH2 )2 、(SiIH)(NHMe)2 、(SiIH)(NHEt)2 、(SiIH)(NHi Pr)2 、(SiIH)(NHt Bu)2 、(SiIH)(NMe2 )2 、(SiIH)(NMeEt)2 、(SiIH)(NMei Pr)2 、(SiIH)(NMet Bu)2 、(SiIH)(NEt2 )2 、(SiIH)(NEti Pr)2 、(SiIH)(NEtt Bu)2 、(SiIH)(Ni Pr2 )2 、(SiIH)(Ni Prt Bu)2 及(SiIH)(Nt Bu)2 。在一些實施例中,矽前驅物包括選自(SiIH)(NH2 )2 、(SiIH)(NHMe)2 、(SiIH)(NHEt)2 、(SiIH)(NHi Pr)2 、(SiIH)(NHt Bu)2 、(SiIH)(NMe2 )2 、(SiIH)(NMeEt)2 、(SiIH)(NMei Pr)2 、(SiIH)(NMet Bu)2 、(SiIH)(NEt2 )2 、(SiIH)(NEti Pr)2 、(SiIH)(NEtt Bu)2 、(SiIH)(Ni Pr2 )2 、(SiIH)(Ni Prt Bu)2 及(SiIH)(Nt Bu)2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。
在一些實施例中,矽前驅物包括鍵結至矽的一個碘、兩個氫及一個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiIH2 )NH2 、(SiIH2 )NHMe、(SiIH2 )NHEt、(SiIH2 )NHi Pr、(SiIH2 )NHt Bu、(SiIH2 )NMe2 、(SiIH2 )NMeEt、(SiIH2 )NMei Pr、(SiIH2 )NMet Bu、(SiIH2 )NEt2 、(SiIH2 )NEti Pr、(SiIH2 )NEtt Bu、(SiIH2 )Ni Pr2 、(SiIH2)Ni Prt Bu及(SiIH2 )Nt Bu2 。在一些實施例中,矽前驅物包括選自(SiIH2 )NH2 、(SiIH2 )NHMe、(SiIH2 )NHEt、(SiIH2 )NHi Pr、(SiIH2 )NHt Bu、(SiIH2 )NMe2 、(SiIH2 )NMeEt、(SiIH2 )NMei Pr、(SiIH2 )NMet Bu、(SiIH2 )NEt2 、(SiIH2 )NEti Pr、(SiIH2 )NEtt Bu、(SiIH2 )Ni Pr2 、(SiIH2 )Ni Prt Bu、(SiIH2 )Nt Bu2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。
在一些實施例中,矽前驅物包括鍵結至矽的一個碘及三個胺或烷基胺配位體。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiI)(NH2 )3 、(SiI)(NHMe)3 、(SiI)(NHEt)3 、(SiI)(NHi Pr)3 、(SiI)(NHt Bu)3 、(SiI)(NMe2 )3 、(SiI)(NMeEt)3 、(SiI)(NMei Pr)3 、(SiI)(NMet Bu)3 、(SiI)(NEt2 )3 、(SiI)(NEti Pr)3 、(SiI)(NEtt Bu)3 、(SiI)(Ni Pr2 )3 、(SiI)(Ni Prt Bu)3 及(SiI)(Nt Bu)3 。在一些實施例中,矽前驅物包括選自(SiI)(NH2 )3 、(SiI)(NHMe)3 、(SiI)(NHEt)3 、(SiI)(NHi Pr)3 、(SiI)(NHt Bu)3 、(SiI)(NMe2 )3 、(SiI)(NMeEt)3 、(SiI)(NMei Pr)3 、(SiI)(NMet Bu)3 、(SiI)(NEt2 )3 、(SiI)(NEti Pr)3 、(SiI)(NEtt Bu)3 、(SiI)(Ni Pr2 )3 、(SiI)(Ni Prt Bu)3 、(SiI)(Nt Bu)3 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種、十三種、十四種、十五種或十五種以上的化合物,及其組合。
在某些實施例中,矽前驅物包括鍵結至矽的兩個碘、氫及一個胺或烷基胺配位體或兩個碘及兩個烷基胺配位體,且其中胺或烷基胺配位體選自胺NH2 -、甲基胺MeNH-、二甲基胺Me2 N-、乙基甲基胺EtMeN-、乙基胺EtNH-及二乙基胺Et2 N-。在一些實施例中,矽前驅物包括以下各者中的一或多者:(SiI2 H)NH2 、(SiI2 H)NHMe、(SiI2 H)NHEt、(SiI2 H)NMe2 、(SiI2 H)NMeEt、(SiI2 H)NEt2 、(SiI2 )(NH2 )2 、(SiI2 )(NHMe)2 、(SiI2 )(NHEt)2 、(SiI2 )(NMe2 )2 、(SiI2 )(NMeEt)2 及(SiI2 )(NEt2 )2 。在一些實施例中,矽前驅物包括選自(SiI2 H)NH2 、(SiI2 H)NHMe、(SiI2 H)NHEt、(SiI2 H)NMe2 、(SiI2 H)NMeEt、(SiI2 H)NEt2 、(SiI2 )(NH2 )2 、(SiI2 )(NHMe)2 、(SiI2 )(NHEt)2 、(SiI2 )(NMe2 )2 、(SiI2 )(NMeEt)2 、(SiI2 )(NEt2 )2 中的兩種、三種、四種、五種、六種、七種、八種、九種、十種、十一種、十二種或十二種以上的化合物,及其組合。 其他類型的含I或Br的Si前驅物
許多適當含氮的矽前驅物(諸如,經碘或溴取代的矽氮烷)或含硫的矽前驅物可用於當前揭露的熱ALD以及電漿ALD製程中。在一些實施例中,含氮的矽前驅物(諸如,經碘或溴取代的矽氮烷)可用於當前揭露的熱ALD以及電漿ALD製程中,其中將沉積具有所要品質(例如,下文所述的所要WER、WERR、圖案負載效應或/及階梯覆蓋特徵中的至少一者)的膜。
適當的經碘或溴取代的矽前驅物中的至少一些可具有以下通式: (25) H2n+2-y-z-w Sin (EH)n-1 Xy Az Rw 其中,n=2至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=0或0以上(且多達2n+2-y-z),X為I或Br,E為N或S,較佳為N,A為除X以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=2至5,且更佳n=2至3,且最佳1至2。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
適當的經碘或溴取代的矽氮烷前驅物中的至少一些可具有以下通式: (26) H2n+2-y-z-w Sin (NH)n-1 Xy Az Rw 其中,n=2至10,y=1或1以上(且多達2n+2-z-w),z=0或0以上(且多達2n+2-y-w),w=0或0以上(且多達2n+2-y-z),X為I或Br,A為除X以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組;較佳n=2至5,且更佳n=2至3,且最佳為2。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。
在一些實施例中,矽前驅物包括含I或Br的Si化合物,諸如,雜環Si化合物。此等環狀前驅物可包括以下子結構: (27) -Si-E-Si- 其中E為N或S,較佳為N。
在一些實施例中,矽前驅物包括根據式(27)的子結構,且此種化合物的實例為(例如)經碘或溴取代的環狀矽氮烷,諸如,經碘或溴取代的環狀三矽氮烷。
在一些實施例中,矽前驅物包括含I或Br的Si化合物,諸如,基於矽烷基胺的化合物。此等基於矽烷基胺的Si前驅物可具有以下通式: (28) (H3-y-z-w Xy Az Rw Si)3 -N 其中,y=1或1以上(且多達3-z-w),z=0或0以上(且多達3-y-w),w=0或0以上(且多達3-y-z),X為I或Br,A為除X以外的鹵素,R為有機配位體且可獨立選自由烷氧化物、烷基矽烷基、烷基、經取代烷基、烷基胺以及不飽和烴組成的群組。較佳地,R為C1 -C3 烷基配位體,諸如,甲基、乙基、正丙基或異丙基。可彼此獨立地選擇三個H3-y-z-w Xy Az Rw Si配位體中的每一者。 N前驅物
根據一些實施例,熱ALD製程中的第二反應物或氮前驅物可為NH3 、N2 H4 或具有N-H鍵結的任何數目的其他適當氮化合物。
圖4為大體上說明根據一些實施例的可用於沉積氮化矽薄膜的氮化矽熱ALD沉積循環的流程圖。根據某些實施例,氮化矽薄膜藉由包括多個氮化矽沉積循環的ALD型製程而形成於基板上,每一氮化矽沉積循環400包括:
(1)   使基板與氣化矽前驅物接觸(410),以使得矽化合物吸附於基板表面上;
(2)   移除過量的矽前驅物以及任何副產物(420);
(3)   使基板與氮前驅物接觸(430);以及
(4)   移除過量的氮前驅物以及反應副產物(440)。
重複接觸步驟(450),直至獲得所要厚度以及組成的薄膜為止。如上所述,在一些實施例中,基板可同時與矽化合物以及氮前驅物接觸,而在其他實施例中,此等反應物可被獨立地提供。
根據一些實施例,諸如在FinFET應用中,在具有三維特徵的基板上使用熱ALD製程而沉積氮化矽薄膜。所述製程可包括未必以此次序執行的以下步驟:
(1)   將基板裝載至反應空間中;
(2)   將含矽前驅物(諸如,H2 SiI2 )引入至反應空間中,以使得含矽前驅物被吸附至基板的表面;
(3)   諸如藉由淨化而移除過量的含矽前驅物以及反應副產物;
(4)   將含氮前驅物(諸如,NH3 或N2 H4 )引入至反應空間中以在基板上與含矽前驅物反應;
(5)   諸如藉由淨化而移除過量的含氮前驅物以及反應副產物;以及
(6)   可重複步驟(2)至(5),直至形成所要厚度的氮化矽膜為止。
在一些實施例中,在約100℃至約800℃、或約200℃至約600℃、或約300℃至約500℃的溫度下執行ALD製程。在一些應用中,反應溫度為約400℃。 SiN膜特性
根據本文所論述的實施例中的一些而沉積的氮化矽薄膜(無關於矽前驅物含有溴抑或碘)可達成低於約3原子%、較佳低於約1原子%、更佳低於約0.5原子%且最佳低於約0.1原子%的雜質含量或濃度。在一些薄膜中,不包含氫在內的總雜質含量可低於約5原子%、較佳低於約2原子%、更佳低於約1原子%且最佳低於約0.2原子%。且在一些薄膜中,氫含量可低於約30原子%、較佳低於約20原子%、更佳低於約15原子%且最佳低於約10原子%。
在一些實施例中,所沉積的SiN膜不包括適當量的碳。然而,在一些實施例中,沉積包括碳的SiN膜。舉例而言,在一些實施例中,使用包括碳的矽前驅物來執行ALD反應,且沉積包括碳的薄氮化矽膜。在一些實施例中,使用包括烷基或另一含碳配位體的前驅物來沉積包括碳的SiN膜。在一些實施例中,呈式(9)至(28)中的一者且包括烷基的矽前驅物用於如上所述的PEALD或熱ALD製程中,以沉積包括碳的SiN膜。不同烷基(諸如,Me或Et)或其他含碳配位體可由於不同反應機制而在膜中產生不同碳濃度。因此,可選擇不同前驅物以在所沉積的SiN膜中產生不同碳濃度。在一些實施例中,包括碳的薄SiN膜可用作(例如)低k間隔物。在一些實施例中,薄膜不包括氬。
圖5A至圖5B展示根據本揭露而沉積的各種氮化矽薄膜的FESEM影像。在沉積所述膜之後,將其浸漬於HF中持續2分鐘。圖6A至圖6C展示在dHF滴液程序(dip process)之後的相同氮化矽膜。可看到均勻蝕刻。
根據一些實施例,氮化矽薄膜可展現大於約50%、較佳大於約80%、更佳大於約90%且最佳大於約95%的階梯覆蓋及圖案負載效應。在一些狀況下,階梯覆蓋及圖案負載效應可大於約98%,且在某一狀況下,為約100%(在測量工具或方法的精確度內)。可在大於2的縱橫比(aspect ratio)中,較佳在大於3的縱橫比中,更佳在大於5的縱橫比中,且最佳在大於8的縱橫比中達成此等值。
如本文中所使用,「圖案負載效應」是根據其在此領域中的普通含義來使用。雖然可關於雜質含量、密度、電性質以及蝕刻速率來瞭解圖案負載效應,但除非另有指示,否則術語「圖案負載效應」在用於本文中指存在結構的基板的區域中的膜厚度的變化。因此,可將圖案負載效應給定為三維特徵內的特徵的側壁或底部中的膜厚度與面向開放場(open field)的三維特徵/特徵的側壁或底部上的膜厚度的比率。如本文中所使用,100%圖案負載效應(或比率1)將表示遍及基板的大致完全均勻的膜性質,亦即,換言之,不存在圖案負載效應(在特徵與開放場中的特定膜性質(諸如,厚度)的變化)。
在一些實施例中,將氮化矽膜沉積達約3奈米至約50奈米、較佳約5奈米至約30奈米、更佳約5奈米至約20奈米的厚度。在小於約100奈米、較佳約50奈米、更佳小於約30奈米、最佳小於約20奈米且在一些狀況下小於約15奈米的特徵大小(寬度)中達成此等厚度。根據一些實施例,SiN膜沉積於三維特徵上,且側壁處的厚度可甚至略大於10奈米。
根據一些實施例,可沉積具有各種濕式蝕刻速率(WER)的氮化矽膜。當使用0.5% dHF中的毯覆式WER(奈米/分)時,氮化矽膜可具有小於約5、較佳小於約4、更佳小於約2且最佳小於約1的WER值。在一些實施例中,WER值可小於約0.3。
0.5% dHF中的毯覆式WER(奈米/分)與熱氧化物的WER的比率可小於約3、較佳小於約2、更佳小於約1且最佳小於約0.5。
且在一些實施例中,三維特徵(諸如,鰭或渠溝)的側壁WER與三維特徵(諸如,鰭或渠溝)的頂部區域WER的比率可小於約4、較佳小於約3、更佳小於約2、最佳約1。
已發現,在使用本揭露的氮化矽薄膜時,由於改良的膜品質以及蝕刻特性,頂部與側面之間的厚度差對於一些應用而言可能並不是關鍵的。然而,在一些實施例中,沿著側壁的厚度梯度對於後續應用或製程可能極為重要。
在一些實施例中,在0.5% HF浸漬程序中,根據本揭露的氮化矽膜的蝕刻的量可為針對熱SiO2 (TOX)而觀察的蝕刻的量的約三分之一至二分之一(例如,在移除約2奈米至約3奈米的TOX的程序中,當根據本文中所揭露的方法沉積時,移除了三分之一至二分之一的SiN)。較佳氮化矽膜的WER可小於先前技術的熱氧化物膜的WER。 SiN膜的使用的特定情形
本文所述的方法及材料不僅可針對具有水平源極/汲極(S/D)以及閘極表面的傳統橫向電晶體設計提供具有提高的品質以及改良的蝕刻性質的膜,而且可提供用於非水平(例如,垂直)表面上且用於複雜三維(3D)結構上的改良的SiN膜。在某些實施例中,在積體電路製造期間藉由所揭露的方法而在三維特徵上沉積SiN膜。三維電晶體可包含(例如)雙閘極場效應電晶體(DG FET)以及其他類型的多閘極FET,包含FinFET。舉例而言,本揭露的氮化矽薄膜可用於諸如FinFET的非平面多閘極電晶體中,其中除了在閘極、源極及汲極區域的頂部上外,亦希望在垂直壁上形成矽化物。
本文所教示的SiN沉積技術尤其適用的另一3D結構為如Shifren等人的美國專利公開案第2009/0315120 A1所教示的3D升起式源極/汲極結構,所述美國專利公開案的全部揭露內容以引用方式併入本文中。Shifren等人教示包含垂直側壁的升起式源極/汲極結構。 實例1
根據本揭露,將H2 SiI2 用作矽烷前驅物且將H2 +N2 電漿用作氮前驅物,藉由PEALD製程而在400℃下沉積氮化矽薄膜。此膜展現兩種ALD反應類型的最佳品質中的一些的組合:PEALD SiN膜的典型高品質以及熱ALD膜的各項同性蝕刻行為。雖然未完全理解此等結果,但膜性質以及蝕刻行為仍在高品質間隔物層應用的規格內。
對於此應用,具有縱橫比為2的渠溝結構上的階梯覆蓋及圖案負載效應應大於95%,濕式蝕刻速率(WER)應小於熱氧化矽(SiO2 ,TOX)的WER的50%,且蝕刻速率應在渠溝的水平壁及垂直壁上大致相同。最終,生長速率應大於0.5奈米/分,且雜質含量盡可能低。
在400℃下,膜生長速率為0.52埃/循環,且厚度不均勻性為6.2 % (1-σ)。折射率為2.04,其中不均勻性為0.7 % (1-σ)。每分鐘的生長速率尚未最佳化且為0.13奈米/分。
平面膜的濕式蝕刻速率為1.13奈米/分,其為Tox的WER(2.43奈米/分)的46.7%。在渠溝結構上,在已沉積時(在蝕刻之前),膜共形性為約91.0%至約93.1%,且圖案負載效應為約95.7%至約99.3%。在2分鐘稀釋的(0.5 %)HF蝕刻之後,共形性的值為約91.5%至約94.6%,且圖案負載效應為約97.4%至約99.5% %。渠溝的頂部區域的濕式蝕刻速率為(A) 4.32奈米/分,在渠溝側壁上為(B) 2.98奈米/分,且在渠溝底部上為(C) 3.03奈米/分。場區域展示(D) 2.63奈米/分的蝕刻速率。
不限於任何特定理論,咸信可能有利的是,在電漿放電之前完成碘或溴的配位體移除步驟。此可避免殘留的配位體分解且作為雜質而重新進入膜,且在鹵化物的狀況下,亦避免電漿活化的鹵化物的形成。
藉由HFS-RBS來分析根據本揭露而沉積的氮化矽薄膜的組成。結果展示於下文表1中。此外,獲得同一膜的XRR資料。膜的厚度被判定為約117奈米。質量密度被判定為2.63 (± 0.1)公克/立方公分。再者,表面RMS粗糙度被判定為1.76 (± 0.1)奈米。
元素 量/原子% 不確定性/原子%
Si 32.7 1
N 48.9 3
H 18.3 2
混合的雜質 ~0.15 0.15
個別雜質的最大量 0.1 0.1
表1:藉由HFS-RBS量測的膜組成 實例2
根據本揭露藉由PEALD製程而在直接電漿ALD蓮蓬頭反應器中沉積具有改良的蝕刻性質以及雜質含量(與實例1相比)的氮化矽薄膜。使用200℃及400℃的基座溫度。將H2 SiI2 用作矽前驅物,且將H2 +N2 電漿用作氮前驅物。電漿功率為約200瓦至約220瓦,且蓮蓬頭板與基座之間的間隙(亦即,產生電漿的空間)為10毫米。電漿不含有Ar。氮氣用作載體氣體且遍及沉積製程而流動。H2 SiI2 消耗為約9.0毫克/循環。
在400℃下,膜生長速率為0.7埃/循環,且所沉積的膜為共形的。折射率為1.92至1.93。100:1 dHF中的平面膜的濕式蝕刻速率(WER)為熱氧化物(SiO2 )的WER的約20%至30%。在渠溝結構上,渠溝側壁與渠溝頂部的膜濕式蝕刻速率比率為約0.8至約1.0。
藉由TXRF來分析在200℃下沉積的氮化矽薄膜的雜質含量。膜每平方公分含有8.43×1012 個碘原子,其略少於除H2 +N2 電漿外亦使用含有Ar的電漿而沉積的膜的雜質含量(每平方公分1.418×1013 個碘原子)。此外,使用含Ar電漿而在400℃下沉積的膜具有作為雜質的Ar(每平方公分8.067×1013 個氬原子),如TXRF分析所證實。不限於任何特定理論,咸信氬可被俘獲於膜內,且藉由使用不含有氬的電漿,可避免發生此情形。
熟習此項技術者將理解,可進行許多各種修改而不偏離本發明的精神。可按照任何合適方式來組合所描述的特徵、結構、特性以及前驅物。因此,應清楚理解,本發明的形式僅為說明性的,且不欲限制本發明的範疇。所有修改以及改變意欲落入隨附申請專利範圍所界定的本發明的範疇內。
200、300、400:氮化矽沉積循環 210~230、310~350、410~450:步驟
將自實施方式及隨附圖式較佳地理解本發明,隨附圖式意欲說明而不是限制本發明。 圖1A及圖1B說明對氮化矽膜進行蝕刻製程的結果。 圖2為大體上說明根據本揭露的一些實施例的藉由ALD製程來形成氮化矽膜的方法的流程圖。 圖3為說明根據本揭露的一些實施例的藉由PEALD製程來形成氮化矽薄膜的方法的流程圖。 圖4為說明根據本揭露的一些實施例的藉由熱ALD製程來形成氮化矽薄膜的方法的流程圖。 圖5A至圖5C說明根據本揭露的一些實施例而沉積的各種氮化矽膜的場發射掃描電子顯微術(field emission scanning electron microscopy, FESEM)影像。 圖6A至圖6C說明在經受2分鐘dHF浸漬後的圖5A至圖5B的氮化矽膜的FESEM影像。
300:氮化矽沉積循環
310、320、330、340、350:步驟

Claims (20)

  1. 一種電漿加強原子層沉積(PEALD)的方法,用於在反應空間中在基板上沉積氮化矽薄膜,包括: 使所述基板與具有通式H2n+2-y-z Sin Xy Az 的氣相的矽反應物進行接觸,其中,X為I或Br,A為除X以外的鹵素,以及n=1,y=1且z=0;以及 使所述基板與由電漿自氮前驅物產生的反應性物質進行接觸, 其中沉積在三維特徵的側壁上的所述氮化矽薄膜在0.5% HF水溶液中的蝕刻速率與沉積在所述三維特徵的頂表面上的所述氮化矽薄膜在0.5% HF水溶液中的蝕刻速率的比率小於2。
  2. 如請求項1所述的方法,其中所述接觸的步驟包括沉積循環,且所述方法包括兩個或更多個沉積循環。
  3. 如請求項2所述的方法,更包括含重複所述沉積循環直到已形成所需厚度的所述氮化矽薄膜為止。
  4. 如請求項2所述的方法,其中所述沉積循環更包括在使所述基板與所述矽反應物進行接觸之後,如有過量的所述矽反應物與反應副產物的話,移除過量的所述矽反應物與所述反應副產物;以及在使所述基板與所述反應性物質進行接觸之後,如有過量的所述反應性物質與反應副產物的話,移除過量的所述反應性物質與所述反應副產物。
  5. 如請求項1所述的方法,其中所述反應性物質包括氫氣、氫原子、氫電漿、氫自由基、N*自由基、NH*自由基或NH2 *自由基。
  6. 如請求項1所述的方法,其中所述氮前驅物包括選自N2 以及N2 /H2 混合物所組成的群組的氣體。
  7. 如請求項1所述的方法,其中所述矽反應物包括H3 SiI。
  8. 如請求項1所述的方法,其中所述矽反應物包括H3 SiBr。
  9. 如請求項1所述的方法,其中在0.5% HF水溶液中,所述氮化矽薄膜的蝕刻速率小於4奈米/分。
  10. 如請求項1所述的方法,其中所述氮化矽薄膜展現至少80%的階梯覆蓋及圖案負載效應。
  11. 如請求項1所述的方法,其中所述比率為約1。
  12. 一種電漿加強原子層沉積(PEALD)的方法,用於在反應空間中在基板上形成氮化矽薄膜,所述方法包括多個沉積循環,每個沉積循環包括: 使所述基板交替且依序與氣相的矽反應物以及包括氮的反應性物質接觸, 其中氣相的所述矽反應物具有通式H2n+2-y-z Sin Xy Az ,其中,X為I或Br,A為除X以外的鹵素,以及n=1,y=1且z=0,以及 其中沉積在三維特徵的垂直表面上的所述氮化矽薄膜在0.5% HF水溶液中的蝕刻速率與沉積在所述三維特徵的水平表面上的所述氮化矽薄膜在0.5% HF水溶液中的蝕刻速率的比率小於2。
  13. 如請求項12所述的方法,其中所述反應性物質由電漿自氮反應性氣體產生。
  14. 如請求項13所述的方法,其中所述氮反應性氣體包括選自N2 以及N2 /H2 混合物的氣體。
  15. 如請求項13所述的方法,其中所述氮反應性氣體包括N2 以及H2 氣體。
  16. 如請求項12所述的方法,其中所述反應性物質直接在所述基板上方產生。
  17. 如請求項12所述的方法,其中所述反應性物質由使用遠端電漿產生器來產生。
  18. 如請求項12所述的方法,其中所述矽反應物包括H3 SiI。
  19. 如請求項12所述的方法,其中所述矽反應物包括H3 SiBr。
  20. 如請求項12所述的方法,其中所述氮化矽薄膜是在鰭狀場效電晶體的形成期間沉積。
TW109143639A 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法 TWI748792B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/830,084 US9824881B2 (en) 2013-03-14 2013-03-14 Si precursors for deposition of SiN at low temperatures
US13/830,084 2013-03-14

Publications (2)

Publication Number Publication Date
TW202113970A TW202113970A (zh) 2021-04-01
TWI748792B true TWI748792B (zh) 2021-12-01

Family

ID=51500341

Family Applications (9)

Application Number Title Priority Date Filing Date
TW109143639A TWI748792B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW108122575A TWI680202B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW109115498A TWI714504B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW108140178A TWI695905B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW109140926A TWI748762B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW103107945A TWI652276B (zh) 2013-03-14 2014-03-07 低溫SiN沉積用的Si前驅物
TW108109981A TWI668325B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW110140665A TWI773572B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW108102215A TWI660067B (zh) 2013-03-14 2014-03-07 用於沉積氮化矽薄膜的電漿加強原子層沉積製程

Family Applications After (8)

Application Number Title Priority Date Filing Date
TW108122575A TWI680202B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW109115498A TWI714504B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW108140178A TWI695905B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW109140926A TWI748762B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW103107945A TWI652276B (zh) 2013-03-14 2014-03-07 低溫SiN沉積用的Si前驅物
TW108109981A TWI668325B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW110140665A TWI773572B (zh) 2013-03-14 2014-03-07 沉積氮化矽薄膜的方法
TW108102215A TWI660067B (zh) 2013-03-14 2014-03-07 用於沉積氮化矽薄膜的電漿加強原子層沉積製程

Country Status (5)

Country Link
US (3) US9824881B2 (zh)
JP (6) JP6452292B2 (zh)
KR (5) KR102039035B1 (zh)
CN (2) CN109252145B (zh)
TW (9) TWI748792B (zh)

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI617690B (zh) * 2015-06-16 2018-03-11 慧盛材料美國責任有限公司 鹵代矽烷化合物及使用其的用於沉積含矽膜之組合物和方法
KR102410146B1 (ko) 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR102505242B1 (ko) 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP2018525841A (ja) * 2015-08-21 2018-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温の熱ald及び窒化ケイ素膜
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2018119121A1 (en) * 2016-12-21 2018-06-28 Applied Materials, Inc. Conformal hermetic film deposition by cvd
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11017997B2 (en) * 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102306573B1 (ko) 2017-02-14 2021-09-30 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 질화막 성막 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10580642B2 (en) * 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6807278B2 (ja) * 2017-05-24 2021-01-06 東京エレクトロン株式会社 シリコン窒化膜の成膜方法および成膜装置
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11393674B2 (en) * 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
JP6921799B2 (ja) * 2018-11-30 2021-08-18 東京エレクトロン株式会社 基板処理方法および基板処理システム
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN117265500A (zh) * 2019-02-14 2023-12-22 恩特格里斯公司 氮化硅的选择性沉积
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
TW202111825A (zh) 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) * 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112908846A (zh) * 2019-12-02 2021-06-04 财团法人金属工业研究发展中心 形成半导体结构的方法及半导体结构
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023049012A1 (en) * 2021-09-23 2023-03-30 Lam Research Corporation Remote plasma deposition with electrostatic clamping
WO2023076115A1 (en) 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230369031A1 (en) * 2022-05-12 2023-11-16 Applied Materials, Inc. Integrated method and tool for high quality selective silicon nitride deposition
CN117219506B (zh) * 2023-11-09 2024-03-12 深圳基本半导体有限公司 一种消除刻蚀负载效应的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683147A (en) * 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) * 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) * 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) * 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
JP3063840B2 (ja) * 1997-11-19 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
JP2003522826A (ja) * 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR20010074387A (ko) * 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) * 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) * 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
CN100471991C (zh) * 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
KR101082921B1 (ko) * 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
CN101527263B (zh) * 2005-02-17 2013-03-20 株式会社日立国际电气 半导体器件的制造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) * 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) * 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) * 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110272008A1 (en) 2010-05-07 2011-11-10 Applied Materials, Inc. Oxide nitride stack for backside reflector of solar cell
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
WO2012012457A2 (en) * 2010-07-19 2012-01-26 Rec Silicon Inc Polycrystalline silicon production
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
JP5660205B2 (ja) 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
KR20160093093A (ko) * 2011-06-03 2016-08-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
CN103620745B (zh) 2011-08-25 2016-09-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) * 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
JP6242026B2 (ja) * 2012-07-20 2017-12-06 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
KR20150096458A (ko) * 2012-12-21 2015-08-24 알이씨 실리콘 인코포레이티드 유동상 반응기 설비용 고온 등급 스틸
CN103915341B (zh) * 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201144475A (en) * 2010-04-15 2011-12-16 Novellus Systems Inc Plasma activated conformal film deposition

Also Published As

Publication number Publication date
KR102319525B1 (ko) 2021-11-01
JP7383106B2 (ja) 2023-11-17
TW202111155A (zh) 2021-03-16
TWI660067B (zh) 2019-05-21
TW202031929A (zh) 2020-09-01
TW201920757A (zh) 2019-06-01
TW202224020A (zh) 2022-06-16
JP2024023223A (ja) 2024-02-21
JP2021061414A (ja) 2021-04-15
CN109252145A (zh) 2019-01-22
JP2014179607A (ja) 2014-09-25
JP2019062225A (ja) 2019-04-18
CN109252145B (zh) 2021-01-22
CN104046955A (zh) 2014-09-17
TWI714504B (zh) 2020-12-21
TWI773572B (zh) 2022-08-01
KR102176030B1 (ko) 2020-11-09
US20180151344A1 (en) 2018-05-31
KR102514553B1 (ko) 2023-03-27
TWI695905B (zh) 2020-06-11
US20140273528A1 (en) 2014-09-18
KR102039035B1 (ko) 2019-10-31
JP7123115B2 (ja) 2022-08-22
TWI652276B (zh) 2019-03-01
KR20200143312A (ko) 2020-12-23
TW202000978A (zh) 2020-01-01
US9824881B2 (en) 2017-11-21
KR20140113477A (ko) 2014-09-24
US10424477B2 (en) 2019-09-24
TWI748762B (zh) 2021-12-01
US11289327B2 (en) 2022-03-29
TW201439105A (zh) 2014-10-16
JP7219738B2 (ja) 2023-02-08
JP2020145461A (ja) 2020-09-10
TW201923142A (zh) 2019-06-16
JP2023027062A (ja) 2023-03-01
KR20230043095A (ko) 2023-03-30
TWI680202B (zh) 2019-12-21
TW201940734A (zh) 2019-10-16
TWI668325B (zh) 2019-08-11
JP6452292B2 (ja) 2019-01-16
KR20190124184A (ko) 2019-11-04
CN104046955B (zh) 2018-12-07
TW202113970A (zh) 2021-04-01
US20200013611A1 (en) 2020-01-09
KR20200127949A (ko) 2020-11-11

Similar Documents

Publication Publication Date Title
TWI748792B (zh) 沉積氮化矽薄膜的方法
JP7158616B2 (ja) SiNの堆積
US11587783B2 (en) Si precursors for deposition of SiN at low temperatures