KR102039035B1 - 저온에서 SiN을 퇴적시키기 위한 Si 전구체들 - Google Patents

저온에서 SiN을 퇴적시키기 위한 Si 전구체들 Download PDF

Info

Publication number
KR102039035B1
KR102039035B1 KR1020140029846A KR20140029846A KR102039035B1 KR 102039035 B1 KR102039035 B1 KR 102039035B1 KR 1020140029846 A KR1020140029846 A KR 1020140029846A KR 20140029846 A KR20140029846 A KR 20140029846A KR 102039035 B1 KR102039035 B1 KR 102039035B1
Authority
KR
South Korea
Prior art keywords
silicon
silicon nitride
thin film
precursor
substrate
Prior art date
Application number
KR1020140029846A
Other languages
English (en)
Other versions
KR20140113477A (ko
Inventor
안티 제이. 니스카넨
샹 첸
빌리아미 포레
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140113477A publication Critical patent/KR20140113477A/ko
Application granted granted Critical
Publication of KR102039035B1 publication Critical patent/KR102039035B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

원자층 퇴적(ALD에 의하여 실리콘 질화물 막들을 퇴적시키기 위한 전구체들과 방법들이 제공된다. 일부 구현예들에서, 실리콘 전구체들은 요오드 리간드를 포함한다. 실리콘 질화물 막들은 FinFET류 또는 다른 타입의 다중 게이트 FET류와 같은 3-차원 구조물 위에 퇴적되었을 때 수평 부분과 수직 부분 모두에 대하여 상대적으로 균일한 식각 속도를 가질 수 있다. 일부 구현예들에서, 본 발명의 다양한 실리콘 질화물 막들은 열 산화물 제거 속도의 절반 미만의 식각 속도를 묽은 HF(0.5%)에서 갖는다.

Description

저온에서 SiN을 퇴적시키기 위한 Si 전구체들{Si precursors for deposition of SiN at low temperatures}
본 발명은 일반적으로 반도체 소자의 제조에 관한 것이고, 더욱 구체적으로는, 실리콘 질화물 막의 퇴적에 사용하기 위한 전구체들 및 실리콘 질화물 막의 저온 퇴적에 관한 것이다.
반도체 제조에 있어서 후속 공정 단계들로부터 보호하기 위한 구조물로서 스페이서들이 널리 사용된다. 예를 들면, 게이트 전극들의 옆에 형성된 질화물 스페이서들은 도핑 또는 이온주입 단계 동안 하부의 소스/드레인 영역들을 보호하는 마스크로서 사용될 수 있다.
반도체 소자들의 물리적 외형이 감축됨에 따라 게이트 전극 스페이서들은 점점 더 작아지고 있다. 스페이서의 폭은 밀집된 게이트 전극 라인 위에 콘포말하게 퇴적될 수 있는 질화물 두께에 의하여 제한된다. 따라서 질화물 스페이서 식각 공정에서는 스페이서 폭 대 퇴적시 질화물층 두께의 비율이 높은 것이 선호된다.
현재의 PEALD 실리콘 질화물 공정들은 트렌치 구조물과 같은 3차원 구조물 위에 퇴적될 때 비등방적 식각 거동의 문제점이 대체로 심각하다. 바꾸어 말하면, 트렌치 또는 핀(fin) 또는 다른 3차원 구조물의 측벽 위에 퇴적된 막은 이러한 구조물의 상부 영역 위에 있는 막들과 비교하여 열등한 막 성질을 보인다. 상기 막의 품질은 트렌치의 상부 위에서의, 또는 구조물이 형성된 웨이퍼의 평탄한 영역 위에서의 목적으로 하는 응용에 대해서는 충분하지만, 측벽들 또는 다른 비-수평 또는 수직 표면들 위에서는 그렇지 않다.
도 1a 및 도 1b는 실리콘 질화물 막의 전형적인 예를 나타내는데, 이들은 스페이서 응용에서 사용될 수 있다. 상기 막은 본 발명에서 설명된 것과 상이한 PEALD 공정을 사용하여 400℃에서 퇴적되었다. 도 1a는 3차원 표면 위에 퇴적된 후로서 HF에 의하여 식각되기 전의 막을 나타낸다. 그런 다음 제작품을 0.5% HF에 약 60초 동안 침지시킴으로써 식각 공정이 수행되었다. 도 1b는 실리콘 질화물 막의 일부가 상기 막의 수평부들보다 더 큰 정도로 식각되는 정도를 도시한다. 상기 막 두께들은 나노미터로 표시된다. 대체로 이러한 구조물들은 FinFET 스페이서 응용에서와 같은 추가적인 가공에서 살아남지 않을 것이다.
일부 태양들에 있어서, 실리콘 질화물 막들을 형성하기 위한 원자층 퇴적 (atomic layer deposition, ALD) 방법들이 제공된다.
상기 ALD 방법들은 플라스마 강화 ALD 방법들 또는 열 ALD 방법들일 수 있다. 상기 방법들은 바람직한 식각 특성들뿐만 아니라 우수한 스텝 커버리지 및 패턴 로딩 효과와 같은 바람직한 품질을 갖는 실리콘 질화물 막의 퇴적을 가능하게 한다. 일부 구현예들에 따르면, 상기 실리콘 질화물 막들은 3-차원 구조물 위에 퇴적되었을 때 수직 및 수평 부분들 모두에 대하여 비교적 균일한 식각 속도를 갖는다. 그러한 3차원 구조물들은, 예를 들면 FinFET류 또는 다른 타입의 다중 게이트 FET류를 포함할 수 있지만 여기에 한정되지 않는다. 일부 구현예들에 있어서, 본 발명의 다양한 실리콘 질화물 막들은 묽은 HF(0.5%) 내에서 분당 약 2-3 nm의 열 산화물 제거 속도의 절반 미만의 식각 속도를 갖는다.
일부 구현예들에 있어서, 반응 챔버 내에서 기판 위에 실리콘 질화물 막들을 퇴적시키기 위한 방법들은 증기상의 실리콘 반응물을 반응 공간으로 도입하여 상기 기판 표면 위에 실리콘 전구체를 흡착시키는 단계, 과량의 실리콘 반응물을 제거하는 단계, 흡착된 실리콘 반응물을 질소 전구체로부터 플라스마에 의하여 생성된 반응성 종과 접촉시키는 단계, 및 과량의 반응성 종과 반응 부산물들을 제거하는 단계를 포함한다. 이러한 단계들은 원하는 두께의 실리콘 질화물 막을 얻도록 반복된다.
일부 구현예들에 있어서, 상기 실리콘 전구체는 여기에 설명된 바와 같은 화학식 (1) 내지 (8)의 전구체들을 포함한다. 일부 구현예들에 있어서, 상기 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2 및 H5Si2I로 구성되는 군으로부터 선택된다. 일부 구현예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다. 상기 반응성 종은, 예를 들면, 수소, 수소 원자들, 수소 플라스마, 수소 라디칼들, N*, NH*, 또는 NH2 * 라디칼들을 포함할 수 있다.
일부 구현예들에 있어서, 상기 실리콘 질화물 막은 3-차원 구조물 위에 퇴적된다. 일부 구현예들에 있어서, 상기 실리콘 질화물 막은 적어도 약 80%의 패턴 로딩 효과 및 스텝 커버리지를 보인다. 일부 구현예들에 있어서, 상기 구조물은 측벽 및 상부 영역들을 포함하고, 상기 실리콘 질화물 막의 상부 영역 습식 식각 속도(WER)에 대한 측벽의 WER은 0.5% dHF에서 약 3 미만이다. 일부 구현예들에 있어서, 상기 실리콘 질화물 막의 식각 속도는 0.5% HF 수용액에서 약 0.4 nm/분 미만이다.
일부 구현예들에 있어서, 실리콘 질화물 막의 퇴적 방법들은 적어도 하나의 3차원 지형을 갖는 기판을 반응 공간 내에 로딩하는 단계, 실리콘 전구체가 상기 기판의 표면 위에 흡착되도록 상기 반응 공간 내부로 상기 실리콘 전구체를 도입하는 단계, 과량의 실리콘 전구체를 상기 반응 공간에서 퍼지하는 단계, 질소 전구체를 상기 반응 공간 내부로 도입하는 단계, 과량의 질소 전구체를 상기 반응 공간에서 퍼지하는 단계, 및 원하는 두께의 막을 생성하기 위하여 단계들을 반복하는 단계를 포함한다. 일부 구현예들에 있어서, 상기 막은 상기 3차원 지형 위에서 약 50%를 넘는 스텝 커버리지를 갖는다. 일부 구현예들에 있어서, 상기 실리콘 질화물 막의 습식 식각 속도는 5% HF 수용액에서 약 5 nm/분 미만이다. 일부 구현예들에 있어서, 실리콘 질화물 막의 3차원 구조물의 상부 표면 위에서의 식각 속도에 대한 측벽에서의 식각 속도의 비는 약 4 미만이다. 일부 구현예들에 있어서, 상기 스텝 커버리지는 적어도 약 80% 또는 90%이다.
일부 구현예들에 있어서, 기판 위에 실리콘 질화물 막을 퇴적시키는 방법은 실리콘 전구체가 기판 표면 위에 흡착되도록 증기상 실리콘 전구체에 기판을 노출시키는 단계, 과량의 전구체와 반응 부산물들을 상기 기판 표면으로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 흡착된 실리콘 전구체를 질소 플라스마에 의하여 생성된 종과 접촉시키는 단계, 질소 함유 플라스마의 종들과 및 반응 부산물들을 상기 기판 표면으로부터, 그리고 상기 기판 표면의 근처로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 및 원하는 두께의 막을 생성하기 위하여 상기 단계들을 반복하는 단계를 포함한다.
일부 구현예들에 있어서, 기판 위에 실리콘 질화물 막을 퇴적시키는 방법은 실리콘 반응물이 기판의 표면 위에 흡착되도록 상기 기판을 증기상 실리콘 반응물에 노출시키는 단계, 상기 기판 표면으로부터 반응 부산물들 및 과량의 전구체를 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 흡착된 실리콘 반응물을 질소 전구체와 접촉시키는 단계, 과량의 질소 전구체와 반응 부산물들을 상기 기판 표면으로부터, 그리고 상기 기판 표면의 근처로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계, 및 원하는 두께의 막을 생성하기 위하여 상기 단계들을 반복하는 단계를 포함한다.
일부 구현예들에 있어서, 상기 실리콘 전구체는 요오드 또는 브롬을 포함한다. 일부 구현예들에 있어서, 상기 막은 약 50%보다 큰 스텝 커버리지를 갖는다. 일부 구현예들에 있어서, 상기 실리콘 질화물의 식각 속도는 0.5% HF 수용액 내에서 약 5 nm/분 미만이다. 일부 구현예들에 있어서, 실리콘 질화물 막의 3차원 구조물의 상부 표면 위에서의 식각 속도에 대한 3차원 구조물의 측벽에서의 식각 속도의 비는 약 4 미만이다.
본원의 제조 방법으로 제조된 실리콘 질화물 막들은 FinFET류 또는 다른 타입의 다중 게이트 FET류와 같은 3-차원 구조물 위에 퇴적되었을 때 수평 부분과 수직 부분 모두에 대하여 상대적으로 균일한 식각 속도를 가질 수 있다.
본 발명은 바람직한 구현예들의 상세한 설명 및 첨부된 도면들로부터 더 잘 이해될 것이다. 이들은 예시를 위하여 의도되었을 뿐 본 발명을 한정하려고 의도되지 않는다.
도 1a 및 도 1b는 실리콘 질화물 막에 대한 식각 공정의 결과들을 나타낸다.
도 2는 본 발명의 일부 구현예들에 따른 ALD 공정에 의하여 실리콘 질화물 막을 형성하는 방법을 일반적으로 나타낸 흐름도이다.
도 3은 본 발명의 일부 구현예들에 따른 PEALD 공정에 의하여 실리콘 질화물 박막을 형성하기 위한 방법을 나타낸 흐름도이다.
도 4는 본 발명의 일부 구현예들에 따른 열 ALD 공정에 의하여 실리콘 질화물 박막을 형성하기 위한 방법을 나타낸 흐름도이다.
도 5a 내지 도 5c는 본 발명의 일부 구현예들에 따라 퇴적된 다양한 실리콘 질화물 박막들의 전계 방출 주사 전자 현미경(field emission scanning electron microscopy, FESEM) 이미지들을 나타낸다.
도 6a 내지 도 6c는 도 5a 및 도 5b의 실리콘 질화물 막들을 2분 동안 dHF에 침지시킨 후의 FESEM 이미지를 나타낸다.
통상의 기술자들에게 잘 알려진 바와 같이, 실리콘 질화물 막들은 평면형의 로직, DRAM, 및NAND 플래시 소자들과 같은 넓고 다양한 범위의 응용들을 갖는다. 더욱 구체적으로, 균일한 식각 거동을 보이는 콘포말한 실리콘 질화물 박막은 반도체 산업에서뿐만 아니라 반도체 산업 외에서도 넓고 다양한 응용들을 갖는다. 본 발명의 일부 구현예들에 따르면, 다양한 실리콘 질화물 막들과 전구체들과 이러한 막들을 원자층 증착법(atomic layer deposition, ALD)으로 퇴적시키기 위한 방법들이 제공된다. 중요한 것은 일부 실시예들에 있어서, 실리콘 질화물 막들은 3차원 구조물 위에 퇴적되었을 때 수직 및 수평 부분 모두에 대하여 비교적 균일한 식각 속도를 갖는다는 것이다. 이러한 3차원 구조물들은, 예를 들면 FinFET류 또는 다른 종류의 다중 게이트 FET류를 포함하지만 여기에 한정되는 것은 아니다. 일부 구현예들에 있어서, 본 발명의 다양한 실리콘 질화물 막은 묽은 HF(0.5%)에 대하여 분당 약 2-3nm의 열산화물 제거 속도의 절반 미만의 식각 속도를 갖는다.
실리콘 질화물을 포함하는 박막층들은 플라즈마 강화 원자층 증착법(plasma-enhanced atomic layer deposition, PEALD) 타입의 공정들 또는 열 ALD 공정들에 의하여 퇴적될 수 있다. 일부 구현예들에 있어서, 실리콘 질화물 박막들은 PEALD에 의하여 기판 위에 퇴적된다. 일부 구현예들에 있어서, 실리콘 질화물 박막들은 열 ALD에 의하여 기판 위에 퇴적된다. 일부 구현예들에 있어서, finFET 소자를 구성함에 있어서의 핀(fin)과 같은 3차원 구조물 위에 실리콘 질화물 박막이 퇴적된다.
여기서 실리콘 질화물의 화학식은 편의상 그리고 간단함을 위하여 SiN으로 일반적으로 언급된다. 그러나, 통상의 기술자는 실리콘 질화물의 실제 화학식이 수소나 다른 불순물들을 제외하고 또한 막 내의 Si:N의 비율을 대표하여 SiNx 로 표현될 수 있음을 이해할 것이다. 여기서 x는 일부 Si-N 결합이 형성되는 한, 약 0.5에서 약 2.0까지 변화한다. 일부 경우들에 있어서, x는 약 0.9에서 약 1.7까지, 또는 약 1.0에서 약 1.5까지, 또는 약 1.2에서 약 1.4까지 변화할 수 있다. 일부 구현예들에 있어서, Si이 +IV의 산화 상태를 갖고 물질 내의 질화물의 양이 변화할 수 있는 실리콘 질화물이 형성된다.
ALD-타입의 공정들은 일반적으로 제어된, 자기-제한적인 표면 반응들에 기반을 둔다. 통상적으로, 반응물들은 기판과 교대로 그리고 순차적으로 접촉함으로써 기상 반응들이 회피된다. 증기상의 반응물들은, 예를 들면 반응물 펄스들 사이에서 과량의 반응물들 및/또는 반응 부산물들을 제거함으로써 반응 챔버 내에서 서로 분리된다. 상기 반응물들은 퍼지 가스 및/또는 진공을 이용하여 기판 표면의 근처로부터 제거될 수 있다. 일부 실시예들에 있어서, 과량의 반응물들 및/또는 반응 부산물들은, 예를 들면 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다.
여기에 제공된 방법들은 기판 표면 위로의 SiN 박막들의 퇴적을 제공한다. 또한 기하적으로 어려운 응용들도 ALD-타입 공정의 특성으로 인해 가능하다. 일부 구현예들에 따르면, ALD-타입 공정들은 집적 회로 작업물과 같은 기판 위에 또한 일부 구현예들에 있어서는 기판상의 3차원의 구조물 위에 SiN 박막들을 형성하기 위해 사용된다.
도 2는 일부 구현예들에 따라 실리콘 질화물 박막을 퇴적시키기 위하여 사용될 수 있는 실리콘 질화물 ALD 퇴적 사이클을 나타내는 일반적인 흐름도이다. 특정 구현예들에 따르면, 실리콘 질화물 박막은 다수의 실리콘 질화물 퇴적 사이클들을 포함하는 ALD-타입 공정에 의하여 기판 위에 퇴적되며, 각 실리콘 질화물 퇴적 사이클(200)은:
(1) 기판 표면 위에 실리콘 전구체가 흡착되도록 실리콘 전구체를 기판과 접촉시키는 단계(210);
(2) 상기 기판을 질소 전구체와 접촉시키는 단계(220); 및
(3) 원하는 두께 및 조성의 박막을 얻기 위하여 필요한 횟수만큼 단계 (210) 및 단계 (220)을 반복하는 단계;
를 포함한다.
과량의 반응물들은 각 접촉시키는 단계 이후에, 예를 들면, 불활성 가스로 반응 공간으로부터 퍼지함으로써 기판 주위로부터 제거될 수 있다. 이하에서는 이들 각 단계들을 더욱 상세하게 설명한다.
PEALD 공정들
일부 구현예들에 있어서, 플라스마 강화 ALD (plasma enhanced ALD, PEALD) 공정들은 SiN 막들을 퇴적시키기 위하여 사용된다. 간단히 말해서, 반응 챔버 내에 기판 또는 작업물이 장입되고, 교대적으로 반복되는 표면 반응들을 시킨다. 일부 실시예들에 있어서, SiN 박막들은 자기-제한적인 ALD 사이클의 반복에 의하여 형성된다. 바람직하게, SiN 막들의 형성에 있어서, 각 ALD 사이클은 적어도 두 개의 구별되는 시기(phase)들을 포함한다. 상기 반응 공간으로의 반응물의 제공과 제거는 하나의 시기로 간주될 수 있다. 제1시기에서, 실리콘을 포함하는 제1반응물이 제공되고 기판 표면 위에 대략 하나 이하의 단일층이 형성된다. 또한 여기서 이 반응물은 "실리콘 전구체", "실리콘-함유 전구체", 또는 "실리콘 반응물"로 지칭되며, 예를 들면 H2SiI2일 수 있다.
제2시기에서, 반응성 종을 포함하는 제2반응물이 제공되고, 흡착된 실리콘을 실리콘 질화물로 전환시킬 수 있다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 전구체를 포함한다. 일부 실시예들에 있어서, 상기 반응성 종은 여기된 종을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 함유 플라스마에서 나온 종을 포함한다. 일부 실시예들에 있어서, 상기 제 2 반응물은 질소 라디칼류, 질소 원자들 및/또는 질소 플라스마를 포함한다. 상기 제2반응물은 질소 전구체가 아닌 다른 종들을 포함할 수 있다. 일부 구현예들에 있어서, 상기 제2반응물은 수소의 플라스마, 수소의 라디칼류, 또는 원자 수소를 하나의 형태 또는 다른 형태로 포함할 수 있다. 일부 구현예들에 있어서, 상기 제 2 반응물은 He, Ne, Ar, Kr, 또는 Xe과 같은, 바람직하게는 Ar 또는 He과 같은 불활성가스로 된 종을 예를 들면, 라디칼류로서, 플라스마 형태로, 또는 원소 형태로 포함할 수 있다. 이러한 불활성 기체로 된 반응성 종들은 퇴적되는 막이 되는 물질에 반드시 기여할 필요는 없지만, 일부 경우들에 있어서는 플라스마의 점화 및 형성을 도울 뿐만 아니라 막 성장에 기여할 수 있다. 일부 구현예들에 있어서, 플라스마를 형성하기 위하여 사용되는 가스는 상기 퇴적 공정을 통하여 일정하게 유동하지만 간헐적으로만 활성화될 수 있다. 일부 구현예들에 있어서, 상기 제 2 반응물은 Ar과 같이 불활성 기체로 된 종을 포함하지 않는다. 따라서, 일부 구현예들에 있어서, 흡착된 상기 실리콘 전구체는 Ar으로 된 플라스마에 의하여 생성되는 반응성 종과 접촉하지 않는다.
추가적인 시기(phase)들이 추가될 수 있으며, 최종 막의 조성을 조절하기 위하여 시기들이 생략될 수 있다.
하나 또는 그 이상의 반응물들은 Ar 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 구현예들에 있어서, 상기 실리콘 전구체와 상기 제 2 반응물은 캐리어 가스의 도움으로 제공될 수 있다.
일부 구현예들에 있어서, 두 시기들이 서로 중첩될 수도 있고, 또는 조합될 수도 있다. 예를 들면, 상기 실리콘 전구체와 상기 제 2 반응물은 부분적으로 또는 완전히 중첩되는 펄스로 동시에 제공될 수 있다. 또한, 제1시기 및 제2시기, 그리고 제1반응물 및 제 2 반응물로 지칭되지만, 펄스들의 순서는 바뀔 수 있고, ALD 사이클은 상기 시기들의 어느 하나로부터든 시작될 수 있다. 즉, 특별히 한정되지 않는 한, 반응물들은 임의의 순서로 제공될 수 있으며, 상기 공정은 반응물들의 어느 것으로부터든 시작할 수 있다.
아래에서 더욱 상세하게 설명하는 바와 같이, 실리콘 질화물 막을 퇴적시키기 위한 일부 구현예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들은 실리콘 전구체와 그에 뒤이어 제 2 전구체를 제공하는 것으로 시작된다. 다른 구현예들에 있어서, 퇴적은 제 2 전구체와 그에 뒤이어 실리콘 전구체를 제공하는 것으로 시작할 수 있다.
일부 구현예들에 있어서, 반도체 작업품과 같이, 그 위에 퇴적을 시키고자 하는 기판은 반응기 내부로 로딩된다. 상기 반응기는 집적회로를 형성함에 있어서 다양한 상이한 공정들이 수행되는 클러스터 장비의 일부일 수 있다. 일부 구현예들에 있어서, 흐름식 반응기가 사용된다. 일부 구현예들에 있어서, 샤워 헤드 타입의 반응기가 사용된다. 일부 구현예들에 있어서, 공간이 분할된 반응기가 사용된다. 일부 구현예들에 있어서, 대용량 제조가 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예들에 있어서, 다수의 기판들을 포함하는 뱃치(batch) 반응기가 사용된다. 뱃치식 ALD 반응기들이 사용되는 구현예들에 있어서, 기판들의 수는 바람직하게는 10 내지 200의 범위이고, 더욱 바람직하게는 50 내지 150의 범위이고, 그®리고 가장 바람직하게는 100 내지 130의 범위이다.
ALD 공정들을 향상시키기 위하여 특히 설계된, 예시적 단일 웨이퍼 반응기들은 Pulsar® 2000 및 Pulsar® 3000의 상표명으로 ASM America, Inc. (Phoenix, AZ)으로부터 상용으로 입수 가능하고, 또한 Eagle® XP, XP8 및 Dragon®의 상표명으로 ASM Japan K.K (Tokyo, Japan)으로부터 상용으로 입수 가능하다. ALD 공정들을 향상시키기 위하여 특히 설계된, 예시적 뱃치식 ALD 반응기들은 A400TM 및 A412TM의 상표명으로 ASM Europe B.V (Almere, Netherlands)으로부터 상용으로 입수 가능하다.
일부 구현예들에 있어서, 필요하다면 상기 ALD 공정의 제1시기에서 반응할 반응 사이트를 제공하기 위하여 작업품의 노출된 표면들을 예비 처리할 수 있다. 일부 구현예들에 있어서, 별도의 예비처리 단계는 요구되지 않는다. 일부 구현예들에 있어서, 기판을 예비처리하여 원하는 표면 종결을 제공한다. 일부 구현예들에 있어서, 상기 기판은 플라스마로 예비처리된다.
반응물 펄스들 사이에서 과량의 반응물과, 만일 있다면 반응 부산물들은 기판의 근방으로부터, 특히 기판의 표면으로부터 제거된다. 일부 구현예들에 있어서, 반응물 펄스들 사이에서 불활성 가스에 의한 퍼지와 같이 상기 반응 챔버는 퍼지된다. 각 반응물의 유속과 시간은 제거 단계에서와 같이 조정가능하며, 이는 막의 다양한 성질들과 품질을 제어하는 것을 허용한다.
위에서 언급된 바와 같이, 일부 구현예들에 있어서 각 퇴적 사이클 동안 또는 전체 ALD 공정 동안 반응 챔버로 가스가 연속적으로 제공되고, 반응성 종들은 가스 내에서 플라스마를 생성함으로써 반응 챔버 내에서 또는 반응 챔버의 상류 측에서 제공된다. 일부 구현예들에 있어서, 상기 가스는 질소를 포함한다. 일부 구현예들에 있어서, 상기 가스는 질소이다. 다른 구현예들에 있어서, 상기 가스는 헬륨 또는 아르곤을 포함할 수 있다. 일부 구현예들에 있어서, 상기 가스는 헬륨 또는 질소이다. 또한 상기 가스의 흐름은 상기 제1 반응물 및/또는 상기 제 2 반응물(또는 반응성 종들)의 퍼지 가스로서의 역할을 할 수도 있다. 예를 들면, 질소의 흐름은 제 1 실리콘 전구체에 대한 퍼지 가스로서의 역할을 할 수도 있고, 또한 (반응성 종들의 소스로서) 제 2 반응물로서 역할을 할 수도 있다. 일부 구현예들에 있어서, 질소, 아르곤, 또는 헬륨은 제 1 전구체에 대한 퍼지 가스로서 작용할 수 있고, 또한 실리콘 전구체를 실리콘 질화물 막으로 전환시키기 위한 여기 종의 소스로서 작용할 수 있다. 일부 구현예들에 있어서, 그 안에 플라스마가 생성된 가스는 아르곤을 포함하지 않고, 흡착된 실리콘 전구체는 Ar으로부터 비롯된 플라스마에 의하여 생성된 반응성 종과는 접촉하지 않는다.
상기 사이클은 원하는 두께 및 조성의 막을 얻을 때까지 반복된다. 일부 구현예들에 있어서, 흐름 속도, 흐름 시간, 퍼지 시간 및/또는 반응물들 그 자체와 같은 퇴적 파라미터들은 원하는 특성들을 갖는 막을 얻기 위하여 ALD 공정 동안 하나 또는 그 이상의 퇴적 사이클들에서 변화될 수 있다. 일부 구현예들에 있어서, 수소 및/또는 수소 플라스마는 퇴적 사이클에서, 또는 퇴적 공정에서 제공되지 않는다.
상기 용어 "펄스"는 소정 길이의 시간 동안 상기 반응 챔버 내부로 반응물을 공급하는 것을 포함하는 것으로 이해될 수 있다. 상기 용어 "펄스"는 펄스의 길이 또는 지속 시간을 한정하지 않으며 펄스는 임의의 길이의 시간이 될 수 있다.
일부 구현예들에 있어서, 실리콘 반응물이 먼저 제공된다. 초기 표면 종결을 한 후에, 만일 필요하거나 원한다면 제 1 실리콘 반응물 펄스가 작업물에 공급된다. 일부 구현예들에 따라서, 상기 제 1 반응물 펄스는 캐리어 가스 흐름, 및 대상이 되는 작업물 표면과 반응성인 H2SiI2와 같은 휘발성 실리콘 종을 포함한다. 따라서, 상기 실리콘 반응물은 이들 작업물 표면에 흡착된다. 상기 제 1 반응물 펄스는, 상기 제 1 반응물 펄스의 임의의 과량의 구성 성분들이 이 공정에 의하여 형성된 분자층과 더 반응하지 않도록 상기 작업물 표면에 자기-포화된다(self-saturate).
상기 제 1 실리콘 반응물 펄스는 가스 형태로 공급되는 것이 바람직하다. 만일 상기 종들이 노출된 표면들을 포화시키기에 충분한 농도로 상기 작업물로 전달되는 공정 조건 하에서 상기 종들이 충분한 증기압을 보인다면, 상기 실리콘 전구체 가스는 본 발명의 목적에 있어서의 "휘발성"인 것으로 간주된다.
일부 구현예들에 있어서, 상기 실리콘 반응물 펄스는 약 0.05초 내지 약 5.0초이고, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0초이다. 최적의 펄스 시간은 특정한 환경에 기반하여 통상의 기술자가 쉽게 결정할 수 있다.
일부 구현예들에 있어서, 실리콘 반응물의 소비 속도는 반응 공간에 전구체의 원하는 도스(dose)를 제공하도록 결정된다. 반응물 소비는 반응물 소스 용기와 같은 상기 반응물 소스로부터 소비되는 반응물의 양을 가리키며, 특정 횟수의 퇴적 사이클들의 전후에 있어서 반응물 소스의 무게를 측정하고 그 질량 차이를 사이클들의 횟수로 나눔으로써 결정될 수 있다. 일부 구현예들에 있어서, 상기 실리콘 반응물 소비는 약 0.1 mg/사이클보다 크다. 일부 구현예들에 있어서, 상기 실리콘 반응물 소비는 약 0.1 mg/사이클 내지 약 50 mg/사이클, 약 0.5 mg/사이클 내지 약 30 mg/사이클, 또는 약 2 mg/사이클 내지 약 20 mg/사이클이다. 일부 구현예들에 있어서, 바람직한 최소의 실리콘 반응물 소비는 적어도 부분적으로는 반응기의 가열되는 표면적과 같은 반응기 치수에 의하여 정의될 수 있다. 일부 구현예들에 있어서, 300 mm 실리콘 웨이퍼용으로 설계된 샤워헤드 반응기에서, 실리콘 반응물 소비는 약 0.5 mg/사이클보다 크고, 또는 약 2.0 mg/사이클보다 크다. 일부 구현예들에 있어서, 300 mm 실리콘 웨이퍼용으로 설계된 샤워헤드 반응기에서, 실리콘 반응물 소비는 약 5 mg/사이클보다 크다. 일부 구현예들에 있어서, 300 mm 실리콘 웨이퍼용으로 설계된 샤워헤드 반응기에서, 실리콘 반응물 소비는 약 400℃ 이하의 반응 온도에서 약 1 mg/사이클보다 크고, 바람직하게는 약 5 mg/사이클보다 크다.
기판 표면 위에 분자층이 흡착하기에 충분한 시간이 흐른 후에, 과량의 제 1 실리콘 반응물은 상기 반응 공간으로부터 제거된다. 일부 구현예들에 있어서, 상기 반응 공간으로부터 과량의 반응물들과, 있다면 반응 부산물들을 확산 또는 퍼지시키기 위하여 상기 과량의 제 1 반응물은 캐리어 가스 또는 퍼지 가스를 충분한 시간 동안 계속하여 유동시키는 동안 제 1 화학 물질의 흐름을 중단시킴으로써 퍼지된다. 일부 구현예들에 있어서, 과량의 상기 제 1 전구체는, ALD 사이클을 통하여 시종 유동되는 질소 또는 아르곤과 같은 불활성 기체의 도움으로 퍼지된다.
일부 구현예들에 있어서, 상기 제 1 반응물은 약 0.1초 내지 약 10초 동안, 약 0.3초 내지 약 5초 동안 또는 약 0.3초 내지 약 1초 동안 퍼지된다. 상기 실리콘 반응물의 제공과 제거는 상기 ALD 사이클의 제 1 시기 또는 실리콘 시기로 간주될 수 있다.
제2시기에서, 질소 플라스마와 같은 반응성 종을 포함하는 제 2 반응물이 작업물에 제공된다. 일부 구현예들에 있어서, 각 ALD 사이클 동안 반응 챔버에 질소, N2가 연속적으로 유입된다. 상기 반응 챔버 내에서 또는 예를 들면 리모트 플라스마 생성기를 통하여 질소를 유동시킴으로써 상기 반응 챔버의 상류에서 질소 내에 플라스마를 생성함으로써 질소 플라스마가 형성될 수 있다.
일부 구현예들에 있어서, 유동하는 H2 및 N2 가스들 내에 플라스마가 생성된다. 일부 구현예들에 있어서, 상기 H2 및 N2는 플라스마가 점화되거나, 또는 질소 및 수소 원자들 또는 라디칼들이 형성되기 전에 상기 반응 챔버에 제공된다. 어떤 이론에 구속되는 것은 아니나, 수소는 리간드 제거 단계에서 이로운 효과를 가질 수 있는 것으로 믿어진다. 즉, 수소는 잔여 리간드들의 일부를 제거할 수 있거나 또는 막 품질에 관한 다른 이로운 효과들을 가질 수 있다. 일부 구현예들에 있어서, 상기 H2 및 N2는 반응 챔버로 연속적으로 제공되고, 필요할 때 질소 및 수소 함유 플라스마, 원자들 또는 라디칼류가 생성되거나 공급된다.
통상적으로, 예를 들면 질소 플라스마를 포함하는 상기 제 2 반응물은 약 0.1초 내지 약 10초 동안 제공된다. 일부 구현예들에 있어서, 질소 플라스마와 같은 상기 제 2 반응물은 약 0.1초 내지 약 10초 동안, 약 0.5초 내지 약 5초 동안, 또는 0.5초 내지 약 2.0초 동안 제공된다. 그러나, 반응기의 타입, 기판 타입, 및 기판의 표면적에 따라 제 2 반응물의 펄스화 시간은 약 10초보다 더 길 수도 있다. 일부 구현예들에 있어서, 펄스화 시간들은 분(minute)의 오더를 가질 수 있다. 최적의 펄스화 시간은 특정한 환경에 기초하여 통상의 기술자에 의하여 용이하게 결정될 수 있다.
일부 구현예들에 있어서, 상기 제 2 반응물은 둘 또는 그 이상의 구별되는 펄스들로 제공되며, 상기 둘 또는 그 이상의 펄스들의 어느 것들 사이에서도 다른 반응물들이 도입되지 않는다. 예를 들면, 일부 구현예들에 있어서, 질소 플라스마가 둘 또는 그 이상의, 바람직하게는 두 개의 순차적인 펄스들로 제공되며, 상기 순차적인 펄스들 사이에는 Si-전구체가 도입되지 않는다. 일부 구현예들에 있어서, 질소 플라스마를 제공하는 동안 둘 또는 그 이상의 순차적인 플라스마 펄스들은 제1주기의 시간 동안 플라스마 방전을 제공하고, 제 2 주기의 시간 동안, 예를 들면 약 0.1초 내지 약 10초 동안, 약 0.5 초 내지 약 5초 동안, 또는 약 1.0초 내지 약 4.0초 동안 상기 플라스마 방전을 차단하고, 퍼지 단계 또는 상기 Si-전구체의 이전과 같이 다른 전구체의 도입 또는 제거 단계 이전에 제 3 주기의 시간 동안 이를 다시 여기시킴으로써 생성된다. 플라스마의 추가적인 펄스들이 동일한 방식으로 도입될 수 있다. 일부 구현예들에 있어서, 각 펄스들에서 동등한 주기의 시간 동안 플라스마가 점화될 수 있다.
일부 구현예들에 있어서 약 10W 내지 약 2000W의, 바람직하게는 약 50W 내지 약 1000W의, 더욱 바람직하게는 약 100W 내지 약 500W의 RF 전력을 인가함으로써 질소 플라스마가 생성될 수 있다. 일부 구현예들에 있어서 상기 RF 전력의 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 바람직하게는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. 상기 RF 전력은 질소 플라스마 펄스 시간 동안 유동하는, 연속적으로 상기 반응 챔버를 통하여 유동하는, 및/또는 원격 플라스마 제너레이터를 통하여 유동하는 질소에 인가될 수 있다. 따라서, 일부 구현예들에 있어서 상기 플라스마는 인 시츄로 생성되고, 다른 구현예들에 있어서 상기 플라스마는 원격적으로 생성된다. 일부 구현예들에 있어서, 샤워헤드 반응기가 사용되고 (그의 상부 표면 위에 기판이 배치되는) 서셉터와 샤워헤드 플레이트 사이에서 플라스마가 생성된다. 일부 구현예들에 있어서, 상기 서셉터와 샤워헤드 플레이트 사이의 간격은 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다.
완전히 포화되고 앞서 흡착된 분자층과 상기 질소 플라스마 펄스가 반응하기에 충분한 시간이 흐른 후에, 모든 과량의 반응물과 반응 부산물들은 상기 반응공간으로부터 제거된다. 상기 제 1 반응물의 제거에서와 같이, 이 단계는 반응성 종의 생성을 중단시키는 단계 및 과량의 반응성 종들 및 휘발성 반응 부산물들이 상기 반응 공간으로부터 확산되어 나가 퍼지되기에 충분한 시간 동안 질소 또는 아르곤과 같은 불활성 기체를 연속적으로 유동시키는 단계를 포함할 수 있다. 다른 구현예들에 있어서, 별도의 퍼지 가스가 사용될 수 있다. 상기 퍼지는, 일부 구현예들에 있어서, 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초, 또는 약 0.1초 내지 약 0.5초일 수 있다. 이와 함께, 상기 질소 플라스마의 제공과 제거는 실리콘 질화물 원자층 증착 사이클에서 제 2 시기 또는 반응성 종 시기를 나타낸다.
상기 두 시기들은 함께 하나의 ALD 사이클을 나타내며, 이는 원하는 두께의 실리콘 질화물 박막을 형성하기 위하여 반복된다. 상기 ALD 사이클은 여기서 일반적으로 상기 실리콘 시기로 시작하는 것으로 언급되지만, 다른 구현예들에서 상기 사이클이 반응성 종 시기로 시작할 수 있음도 고려된다. 통상의 기술자는 상기 제 1 전구체 시기가 일반적으로 이전 사이클에서 마지막 시기에 의하여 남겨진 종결체(termination)와 반응함을 인정할 것이다. 따라서, 만일 상기 반응성 종 시기가 상기 첫 번째 ALD 사이클에서 제 1 시기라면, 기판 표면 위에 사전에 흡착된 반응물이 없거나 또는 상기 반응 공간 내에 반응물이 존재하지 않는 반면, 후속되는 사이클들에서는 반응성 종의 시기가 실리콘 시기를 유효하게 뒤따를 것이다. 일부 구현예들에 있어서 하나 또는 그 이상의 상이한 ALD 사이클들이 퇴적 공정에서 제공된다.
본 발명의 일부 구현예들에 따르면, PEALD 반응들은 약 25 ℃ 내지 약 700 ℃, 바람직하게는 약 50 ℃ 내지 약 600 ℃, 더욱 바람직하게는 약 100 ℃ 내지 약 450 ℃, 그리고 가장 바람직하게는 약 200 ℃ 내지 약 400 ℃의 온도 범위에서 수행될 수 있다. 일부 구현예들에 있어서, 최적의 반응기 온도는 최대로 허용 가능한 열적 버짓(budget)에 의하여 제한될 수 있다. 따라서 일부 구현예들에 있어서, 상기 반응 온도는 약 300 ℃ 내지 약 400 ℃이다. 일부 구현예들에 있어서, 최대 온도는 약 400 ℃ 부근이고, 따라서 상기 PEALD 공정은 그 반응 온도에서 수행된다.
본 발명의 일부 구현예들에 따르면, 공정 동안 상기 반응 챔버의 압력은 약 0.01 torr 내지 약 50 torr로, 바람직하게는 약 0.1 torr 내지 약 10 torr로 유지된다.
Si 전구체들
수많은 적합한 실리콘 전구체들이 여기에 개시되는 PEALD 공정들에 사용될 수 있다. 적합한 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(1) H2n+2-y-zSinXyAz
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z까지고), z=0 또는 그 이상이고 (그리고 2n+2-y까지고), X 는 I 또는 Br이고, A 는 X가 아닌 할로겐이고, 바람직하게 n=1-5이고, 더욱 바람직하게 n=1-3이고, 가장 바람직하게는 n=1-2이다.
일부 구현예들에 따르면, 실리콘 전구체들은 하나 또는 그 이상의 사이클릭 화합물들을 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(2) H2n-y-zSinXyAz
여기서 상기 화학식 (2) 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z까지이고), z=0 또는 그 이상이고 (그리고 2n-y까지이고), X 는 I 또는 Br, A는 X가 아닌 할로겐이고, 바람직하게는 n=3-6이다.
일부 구현예들에 따르면, 실리콘 전구체들은 하나 또는 그 이상의 요오드화 실란류(iodosilanes)를 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(3) H2n+2-y-zSinIyAz
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y까지이고), A는 I가 아닌 할로겐이고, 바람직하게는 n=1-5 이고 더욱 바람직하게는 n=1-3이고 가장 바람직하게는 1-2이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 또는 그 이상의 사이클릭 요오드화 실란류를 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(4) H2n-y-zSinIyAz
여기서 상기 화학식 (4)의 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z까지이고), z=0 또는 그 이상이고 (그리고 2n-y까지이고), A 는 I가 아닌 할로겐이고, 바람직하게는 n=3-6이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 또는 그 이상의 브롬화 실란류(bromosilanes)를 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(5) H2n+2-y-zSinBryAz
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y까지이고), A 는 Br이 아닌 할로겐이고, 바람직하게는 n=1-5이고, 더욱 바람직하게는 n=1-3이고, 가장 바람직하게는 1-2이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 또는 그 이상의 사이클릭 브롬화 실란류를 포함할 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(6) H2n-y-zSinBryAz
여기서 상기 화학식 (6) 화합물은 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z까지이고), z=0 또는 그 이상이고 (그리고 2n-y까지이고), A 는 Br이 아닌 할로겐이고, 바람직하게는 n=3-6이다.
일부 구현예들에 따르면, 바람직한 실리콘 전구체들은 하나 또는 그 이상의 요오드화 실란류(iodosilanes)들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(7) H2n+2-ySinIy
여기서, n=1-5, y=1 또는 그 이상이고 (2n+2까지이고), 바람직하게 n=1-3이고 더욱 바람직하게는 n=1-2이다.
일부 구현예들에 따르면, 직한 실리콘 전구체들은 하나 또는 그 이상의 브롬화 실란류(bromosilanes)들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(8) H2n+2-ySinBry
여기서, n=1-5, y=1 또는 그 이상이고 (2n+2까지), 바람직하게 n=1-3이고 더욱 바람직하게는 n=1-2이다.
PEALD 공정의 일부 구현예들에 따르면, 적합한 실리콘 전구체들은 일반 화학식 (1) 내지 (8) 중 어느 하나를 갖는 화합물을 적어도 포함할 수 있다. 일반 화학식 (1) 내지 (8)에서, 할로겐화물/할로겐들은 F, Cl, Br 및 I를 포함할 수 있다. 일부 구현예들에 있어서, 실리콘 전구체는 SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, 또는 Si3I8을 포함한다. 일부 구현예들에 있어서, 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및 H5Si2I 중의 하나를 포함한다. 일부 구현예들에 있어서, 상기 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, 및 H5Si2I 중에서 둘, 셋, 넷, 다섯, 또는 여섯을 포함하며 이들의 임의의 조합들을 포함한다.
특정 구현예들에 있어서, 상기 Si 전구체는 H2SiI2이다.
일부 구현예들에서, 아래 화학식 (9) 내지 (28)의 Si 전구체들이 PEALD 공정들에 사용될 수 있다.
N 전구체들
위에서 논의된 바와 같이, 본 발명에 따른 PEALD 공정에서 상기 제 2 반응물은 질소 전구체를 포함할 수 있으며, 상기 질소 전구체는 반응성 종을 포함할 수 있다. 적합한 플라스마 조성은 질소 플라스마, 질소의 라디칼류, 또는 원자 질소의 어느 한 형태 또는 다른 것을 포함한다. 또한 일부 구현예들에 있어서, 수소 플라스마, 수소의 라디칼류, 또는 원자 수소 중 어느 한 형태 또는 다른 것이 제공된다. 또한 일부 구현예들에서 플라스마는 He, Ne, Ar, Kr 및 Xe와 같은, 바람직하게는 Ar 또는 He와 같은 불활성 기체들을 플라스마 형태로, 라디칼로서, 또는 원자 형태로 포함할 수 있다. 일부 구현예들에 있어서, 상기 제 2 반응물은 Ar과 같은 불활성 기체로 된 어떤 종도 포함하지 않는다. 따라서, 일부 구현예들에서는 불활성 가스를 포함하는 가스 내에서는 플라스마가 생성되지 않는다.
따라서, 일부 구현예들에 있어서 상기 제 2 반응물은 NH3 및 N2H4, N2/H2의 혼합물 또는 N-H 결합을 갖는 다른 전구체들과 같이 N과 H를 모두 갖는 화합물로부터 형성된 플라스마를 포함할 수 있다. 일부 구현예들에 있어서, 상기 제 2 반응물은 적어도 부분적으로는 N2로부터 형성될 수 있다. 일부 구현예들에 있어서, 상기 제 2 반응물은 적어도 부분적으로는 N2 및 H2로부터 형성될 수 있다. 여기서 상기 N2 및 H2는 약 20:1 내지 약 1:20의, 바람직하게는 약 10:1 내지 약 1:10의, 더욱 바람직하게는 약 5:1 내지 약 1:5의, 및 가장 바람직하게는 약 1:2 내지 약 4:1의, 그리고 일부 경우들에 있어서는 1:1의 유량비(N2/H2)로 제공된다.
일부 구현예들에 있어서 상기 제 2 반응물은 상기 기판 또는 반응 공간으로부터 떨어져서 원격적으로 플라스마 방전에 의하여 형성될 수 있다 ("리모트 플라스마"). 일부 구현예들에서 상기 제 2 반응물은 기판의 근방 또는 기판의 바로 위에서 형성될 수 있다 ("다이렉트 플라스마").
도 3은 실리콘 질화물 PEALD 퇴적 사이클을 일반적으로 나타낸 흐름도로서, 일부 구현예들에 따른 실리콘 질화물 박막을 퇴적시키기 위하여 사용될 수 있다. 특정 구현예에 따르면, 실리콘 질화물 박막이 기판 위에 다수의 실리콘 질화물 퇴적 사이클들을 포함하는 PEALD-타입 공정에 의하여 형성된다. 각 실리콘 질화물 퇴적 사이클(300)은:
(1) 기판 표면 위에 실리콘 화합물이 흡착되도록, 기화된 실리콘 전구체를 기판과 접촉시키는 단계(310);
(2) 반응 공간 내로 질소 전구체를 도입하는 단계(320);
(3) 질소 전구체로부터 반응성 종을 생성하는 단계(330); 및
(4) 상기 기판을 상기 반응성 종과 접촉시킴으로써, 흡착된 상기 실리콘 화합물을 실리콘 질화물로 전환시키는 단계(340);
를 포함한다.
상기 사이클을 통하여 질소가 계속하여 유동될 수 있으며 흡착된 실리콘 화합물을 실리콘 질화물로 전환시키기 위하여 적절한 시간에 질소 플라스마를 형성한다.
위에서 언급된 바와 같이, 일부 구현예들에 있어서 상기 기판은 실리콘 화합물 및 반응성 종과 동시에 접촉될 수 있으나, 다른 구현예에서는 이 반응물들은 별도로 제공된다.
상기 접촉시키는 단계들은 원하는 두께와 조성의 박막이 얻어질 때까지 반복된다(350). 과량의 반응물들은 각 접촉시키는 단계, 즉 단계 (310) 및 단계 (340) 이후에 상기 반응 공간으로부터 퍼지될 수 있다.
일부 구현예들에 따르면, FinFET 응용에서와 같은 3차원 구조물을 갖는 기판 위에 PEALD 공정을 이용하여 실리콘 질화물 박막이 퇴적된다. 상기 공정은 다음의 단계들을 포함한다:
(1) 3차원 구조물을 포함하는 기판이 반응 공간 내에 제공되고;
(2) SiI2H2와 같은 실리콘-함유 전구체가 상기 기판의 표면에 흡착되도록 상기 반응 공간 내부로 상기 실리콘-함유 전구체가 도입되고;
(3) 과량의 실리콘-함유 전구체와 반응 부산물들이 상기 반응 공간으로부터 제거되고;
(4) N2, NH3, N2H4, 또는 N2 및 H2와 같은 질소-함유 전구체가 상기 반응 공간 내부로 도입되고;
(5) 상기 질소 전구체로부터 반응성 종들을 생성하고;
(6) 상기 기판을 상기 반응성 종들과 접촉시키고; 및
(7) 과량의 질소 원자들, 플라스마, 또는 라디칼들 및 반응 부산물들을 제거하고;
원하는 두께의 실리콘 질화물 막이 형성될 때까지 단계 (2) 내지 (7)이 반복될 수 있다.
일부 구현예들에 있어서, 단계 (5) 및 (6)은 질소 원자들, 플라스마 또는 라디칼들이 원격적으로 형성되어 상기 반응 공간에 제공되는 단계에 의하여 대체된다.
일부 구현예들에 있어서, 상기 PEALD 공정은 약 200 ℃ 내지 약 400 ℃ 사이의 온도에서, 약 300 ℃ 내지 약 400 ℃ 사이의 온도에서, 또는 약 400 ℃의 온도에서 수행된다.
열 ALD 공정들
여기에 제공된 방법들은 열 ALD 공정들에 의하여 기판 표면들 위에 실리콘 질화물 막들이 퇴적되는 것도 허용한다. 또한 3차원 구조물들과 같이 기하학적으로 난해한 응용들도 이러한 열 공정들로 가능하다. 일부 구현예들에 따르면, 열 원자층 증착(ALD) 타입 공정들은 집적 회로 작업물과 같은 기판 위에 실리콘 질화물 막을 형성하기 위하여 사용된다.
반응 챔버 내에 기판 또는 작업물이 배치되고, 교대적으로 반복되고 자기-제한적인 표면 반응들이 이루어진다. 바람직하게는, 실리콘 질화물 막들을 형성하기 위하여 각 열 ALD 사이클은 적어도 두 개의 구분되는 시기들을 포함한다. 반응물을 상기 반응 공간에 제공하고 제거하는 것을 하나의 시기로 간주할 수 있다. 제 1 시기에서, 실리콘을 포함하는 제 1 반응물이 제공되고 그리고 기판 표면 위에 대략 하나 이하의 단일층이 형성된다. 이 반응물은 여기서 "실리콘 전구체" 또는 "실리콘 반응물"로도 지칭되며, 예를 들면, H2SiI2일 수 있다. 제 2 시기에서, 질소-함유 화합물을 포함하는 제 2 반응물이 제공되고, 흡착된 상기 실리콘 전구체와 반응하여 SiN을 형성한다. 이 제 2 반응물도 역시 "질소 전구체" 또는 "질소 반응물"로 지칭된다. 상기 제 2 반응물은 NH3 또는 다른 적절한 질소-함유 화합물을 포함할 수 있다. 최종 막의 조성을 조절하기 위하여 원하는 바에 따라, 추가적인 시기들이 추가될 수도 있고 시기들이 제거될 수도 일다.
하나 이상의 반응물들이 Ar 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 구현예들에 있어서, 상기 실리콘 전구체와 상기 질소 전구체는 캐리어 가스의 도움으로 제공된다.
일부 구현예들에 있어서, 상기 시기들의 두 시기가 중첩될 수도 있고, 또는 결합될 수도 있다. 예를 들면, 상기 실리콘 전구체와 상기 질소 전구체는 부분적으로 또는 완전히 중첩되는 펄스들로 동시에 제공될 수 있다. 또한, 제 1 시기 및 제 2 시기로, 그리고 제 1 반응물 및 제 2 반응물로 지칭되고 있지만, 시기들의 순서와 반응물들을 제공하는 순서는 달라질 수 있으며, ALD 사이클은 상기 시기들의 어느 하나로부터도 시작될 수 있고, 상기 반응물의 어느 것으로부터도 시작될 수 있다. 즉, 달리 특정되지 않는다면 상기 반응물들은 임의의 순서로 제공될 수 있고, 상기 공정은 임의의 반응물로 시작될 수 있다.
아래에서 더욱 상세하게 논의하는 바와 같이, 실리콘 질화물 막을 퇴적시키기 위한 일부 구현예들에서, 통상 실리콘 전구체를 제공하고 그에 뒤이어 질소 전구체가 제공되는 것으로 하나 이상의 퇴적 사이클들이 시작한다. 일부 구현예들에 있어서, 질소 전구체를 제공하고 그에 뒤이어 실리콘 전구체가 제공되는 것으로 하나 이상의 퇴적 사이클들이 시작한다
다시, 반응물들 중의 하나 이상이 Ar 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 구현예들에 있어서, 질소 전구체가 캐리어 가스의 도움으로 제공된다. 일부 구현예들에 있어서, 비록 제 1 시기 및 제 2 시기로, 그리고 제 1 반응물 및 제 2 반응물로 지칭되고 있지만, 시기들의 순서와 그에 따른 반응물들을 제공하는 순서는 달라질 수 있으며, ALD 사이클은 상기 시기들의 어느 하나로부터도 시작될 수 있다.
일부 구현예들에 있어서, 반도체 작업품과 같이, 그 위에 퇴적을 시키고자 하는 기판은 반응기 내부로 로딩된다. 상기 반응기는 집적회로를 형성함에 있어서 다양한 상이한 공정들이 수행되는 클러스터 장비의 일부일 수 있다. 일부 구현예들에 있어서, 흐름식 반응기가 사용된다. 일부 구현예들에 있어서, 샤워 헤드 타입의 반응기가 사용된다. 일부 구현예들에 있어서, 공간이 분할된 반응기가 사용된다. 일부 구현예들에 있어서, 대용량 제조가 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예들에 있어서, 다수의 기판들을 포함하는 뱃치(batch) 반응기가 사용된다. 뱃치식 ALD 반응기들이 사용되는 구현예들에 있어서, 기판들의 수는 바람직하게는 10 내지 200의 범위이고, 더욱 바람직하게는 50 내지 150의 범위이고, 그리고 가장 바람직하게는 100 내지 130의 범위이다.
ALD 공정들을 향상시키기 위하여 특히 설계된, 예시적 단일 웨이퍼 반응기들은 Pulsar® 2000 및 Pulsar® 3000의 상표명으로 ASM America, Inc. (Phoenix, AZ)으로부터 상용으로 입수 가능하고, 또한 Eagle®XP, XP8 및 Dragon®의 상표명으로 ASM Japan K.K (Tokyo, Japan)으로부터 상용으로 입수 가능하다. ALD 공정들을 향상시키기 위하여 특히 설계된, 예시적 뱃치식 ALD 반응기들은 A400TM 및 A412TM의 상표명으로 ASM Europe B.V (Almere, Netherlands)으로부터 상용으로 입수 가능하다.
일부 구현예들에 있어서, 필요하다면 상기 ALD 공정의 제1시기에서 반응할 반응 사이트를 제공하기 위하여 작업품의 노출된 표면들을 예비 처리할 수 있다. 일부 구현예들에 있어서, 별도의 예비처리 단계는 요구되지 않는다. 일부 구현예들에 있어서, 기판을 예비 처리하여 원하는 표면 종결을 제공한다.
일부 구현예들에 있어서, 과량의 반응물과, 있다면, 반응 부산물들은 반응 펄스들 사이에서 상기 기판 표면과 같은 상기 전구체의 근처로부터 제거된다. 일부 구현예들에 있어서, 과량의 반응물과 반응 부산물들은 반응 펄스들 사이에서, 예를 들면 불활성 가스로 퍼지함으로써 상기 반응 챔버로부터 제거된다. 각 반응물의 흐름 속도와 시간은 퍼지 단계에서와 같이 조정 가능하며, 이는 막들의 품질과 성질들을 제어하는 것을 가능하게 한다. 일부 실시예들에 있어서, 과량의 반응물 및/또는 반응 부산물들을 제거하는 단계는 상기 기판을 이동시키는 단계를 포함한다.
위에서 언급된 바와 같이, 일부 구현예들에 있어서 각 퇴적 사이클 동안 또는 전체 ALD 공정 동안 상기 반응 챔버로 가스가 연속적으로 공급된다. 다른 구현예들에 있어서, 상기 가스는 질소, 헬륨 또는 아르곤일 수 있다.
상기 ALD 사이클은 원하는 두께와 조성의 막이 얻어질 때까지 반복된다. 일부 구현예들에 있어서, 흐름 속도, 흐름 시간, 퍼지 시간 및/또는 전구체들 그 자체와 같은 퇴적 파라미터들은 원하는 특성을 갖는 막을 얻기 위하여 ALD 공정 동안 하나 이상의 퇴적 사이클들에서 변화될 수 있다.
상기 용어 "펄스"는 소정 길이의 시간 동안 상기 반응 챔버 내부로 반응물을 공급하는 것을 포함하는 것으로 이해될 수 있다. 상기 용어 "펄스"는 펄스의 길이 또는 지속 시간을 한정하지 않으며 펄스는 임의의 길이의 시간이 될 수 있다.
일부 구현예들에 있어서, 실리콘 전구체가 먼저 제공된다. 초기 표면 종결을 한 후에, 만일 필요하거나 원한다면 제 1 실리콘 전구체 펄스가 작업물에 공급된다. 일부 구현예들에 따라서, 상기 제 1 전구체 펄스는 캐리어 가스 흐름, 및 대상이 되는 작업물 표면과 반응성인 H2SiI2와 같은 휘발성 실리콘 종을 포함한다. 따라서, 상기 실리콘 전구체는 이들 작업물 표면에 흡착된다. 상기 제 1 전구체 펄스는, 상기 제 1 전구체 펄스의 임의의 과량의 구성 성분들이 이 공정에 의하여 형성된 분자층과 실질적으로 더 반응하지 않도록 상기 작업물 표면에 자기-포화된다.
상기 제 1 실리콘 전구체 펄스는 가스 형태로 공급되는 것이 바람직하다. 만일 상기 종들이 노출된 표면들을 포화시키기에 충분한 농도로 상기 작업물로 전달되는 공정 조건 하에서 상기 종들이 충분한 증기압을 보인다면, 상기 실리콘 전구체 가스는 본 발명의 목적에 있어서의 "휘발성"인 것으로 간주된다.
일부 구현예들에 있어서, 상기 실리콘 반응물 펄스는 약 0.05초 내지 약 5.0초이고, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0초이다. 뱃치(batch) 공정에서, 상기 실리콘 전구체 펄스들은 특정 환경들이 주어졌을 때 통상의 기술자에 의하여 결정될 수 있는 바와 같이 상당히 더 길어질 수 있다.
기판 표면 위에 분자층이 흡착하기에 충분한 시간이 흐른 후에, 과량의 제 1 전구체는 상기 반응 공간으로부터 제거된다. 일부 구현예들에 있어서, 상기 반응 공간으로부터 과량의 반응물들과, 있다면 반응 부산물들을 확산 또는 퍼지시키기 위하여 상기 과량의 제 1 전구체는 캐리어 가스 또는 퍼지 가스를 충분한 시간 동안 계속하여 유동시키는 동안 제 1 전구체의 흐름을 중단시킴으로써 퍼지된다.
일부 구현예들에 있어서, 상기 제 1 반응물은 약 0.1초 내지 약 10초 동안, 약 0.3초 내지 약 5초 동안 또는 약 0.3초 내지 약 1초 동안 퍼지된다. 상기 실리콘 반응물의 제공과 제거는 상기 ALD 사이클의 제 1 시기 또는 실리콘 시기로 간주될 수 있다. 뱃치 공정에서, 상기 제 1 전구체 퍼지는 특정 환경들이 주어졌을 때 통상의 기술자에 의하여 결정될 수 있는 바와 같이 상당히 더 길어질 수 있다.
제 2 전구체인 질소 전구체는 상기 반응 공간 내부로 펄스화되어 상기 기판 표면과 접촉한다. 상기 질소 전구체는 캐리어 가스의 도움으로 제공될 수 있다. 상기 질소 전구체는, 예를 들면, NH3 또는 N2H4일 수 있다. 또한, 상기 질소 전구체 펄스는 가스 형태로 공급되는 것이 바람직하다. 만일 공정 조건들 하에서 종(species)이 충분한 증기압을 보임으로써 노출된 표면들을 포화시키기에 충분한 농도로 상기 종들이 작업물로 전달된다면 상기 질소 전구체는 본 발명의 목적을 위한 "휘발성"인 것으로 간주된다.
일부 구현예들에 있어서, 상기 질소 전구체 펄스는 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3.0초, 또는 약 0.2초 내지 약 1.0초이다. 뱃치 공정에서, 특정 환경들이 주어졌을 때 통상의 기술자에 의하여 결정될 수 있는 바와 같이 상기 질소 전구체 펄스는 상당히 더 길 수 있다.
상기 기판 표면 위에 동원 가능한 결합 사이트들에서 분자층이 흡착하기에 충분한 시간이 경과한 후, 상기 제 2, 질소 전구체가 상기 반응 공간으로부터 제거된다. 일부 구현예들에 있어서 상기 반응 공간으로부터 과량의 반응물들과, 있다면, 반응 부산물들을 바람직하게는 반응 챔버 부피의 두 배보다 더 많은 퍼지 가스로, 더욱 바람직하게는 반응 챔버 부피의 세 배보다 더 많은 퍼지 가스로 퍼지하거나 확산시키기 위하여 충분한 시간 동안 캐리어 가스를 유동시키기를 계속하면서 상기 제 2 질소 전구체의 흐름이 중단된다. 질소 전구체의 제공과 제거는 상기 ALD 사이클의 제 2 시기 또는 질소 시기로 간주될 수 있다.
일부 구현예들에 있어서, 상기 질소 전구체는 약 0.1초 내지 약 10초 동안, 약 0.3초 내지 약 5.0초 동안, 또는 약 0.3초 내지 약 1.0초 동안 퍼지된다. 뱃치 공정에서, 특정 환경들이 주어졌을 때 통상의 기술자에 의하여 결정될 수 있는 바와 같이 상기 제 1 전구체의 퍼지는 상당히 더 길어질 수 있다.
상기 질소 시기의 제거 또는 퍼지 단계뿐만 아니라 상기 질소 전구체 펄스의 흐름 속도와 시간은 상기 실리콘 질화물 막 내의 원하는 조성을 얻기 위하여 조정 가능하다. 비록 상기 질소 전구체의 기판 표면 위로의 흡착은 통상 자기-제한적이지만, 결합 사이트들의 제한된 수로 인하여, 펄스화 파라미터들은 하나 또는 그 이상의 사이클에서 질소가 단일층(monolayer) 미만으로 흡착되도록 조절될 수 있다.
상기 두 시기들은 함께 하나의 ALD 사이클을 나타내고, 이는 원하는 두께의 실리콘 질화물 박막들을 형성하기 위하여 반복된다. 상기 ALD 사이클은 여기서 일반적으로 실리콘 시기로 시작하는 것으로 언급되지만 다른 구현예들에서는 상기 사이클이 질소 시기로 시작할 수 있는 것으로 고려된다. 통상의 기술자는 상기 제 1 전구체 시기가 이전 사이클에서 이전 시기에 의하여 남겨진 종결부와 일반적으로 반응하는 것을 인식할 것이다. 일부 구현예들에 있어서 하나 또는 그 이상의 상이한 ALD 사이클들이 상기 퇴적 공정에서 제공된다.
본 발명의 일부 구현예들에 따르면, ALD 반응들은 약 25 ℃ 내지 약 1000 ℃, 바람직하게는 약 100 ℃ 내지 약 800 ℃, 더욱 바람직하게는 약 200 ℃ 내지 약 650 ℃, 그리고 가장 바람직하게는 약 300 ℃ 내지 약 500 ℃의 온도 범위에서 수행될 수 있다. 일부 구현예들에 있어서, 최적의 반응기 온도는 최대로 허용되는 열적 버짓(budget)에 의하여 제한될 수 있다. 따라서 상기 반응 온도는 약 300 ℃ 내지 약 400 ℃일 수 있다. 일부 응용들에 있어서, 상기 최대 온도는 약 400 ℃ 근처이고 따라서 상기 공정은 그 반응 온도에서 수행된다.
Si 전구체들
수많은 적합한 실리콘 전구체들이 여기에 개시된, 열 ALD 공정들과 같은 열 공정들에 사용될 수 있다. 일부 구현예들에 있어서, 이들 전구체들은 원하는 품질(원하는 WER, WERR, 패턴 로딩 효과 및/또는 아래에서 설명되는 스텝 커버리지 특징들 중의 적어도 하나)을 갖는 막이 퇴적되는 플라스마 ALD 공정들에 사용될 수도 있다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 요오드를 포함하고, 그러한 전구체를 이용하여 퇴적된 막은 적어도 하나의 원하는 성질, 예를 들면 원하는 WER, WERR, 패턴 로딩 효과 및/또는 아래에서 설명되는 스텝 커버리지 특징들 중의 적어도 하나를 갖는다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 브롬을 포함하고, 그러한 전구체를 이용하여 퇴적된 막은 적어도 하나의 원하는 성질, 예를 들면 원하는 WER, WERR, 패턴 로딩 효과 및/또는 아래에서 설명되는 스텝 커버리지 특징들 중의 적어도 하나를 갖는다.
적합한 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(9) H2n+2-y-z-wSinXyAzRw
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고, 더욱 바람직하게는 n=1-3이며, 가장 바람직하게는 1-2이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 화합물들을 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(10) H2n-y-z-wSinXyAzRw
여기서, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 요오드화 실란류(iodosilanes)를 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(11) H2n+2-y-z-wSinIyAzRw
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n+2-y-z까지이고), A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 요오드화 실란류를 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(12) H2n-y-z-wSinIyAzRw
여기서, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n-y-z까지이고), A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 브롬화 실란류(bromosilanes)를 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(13) H2n+2-y-z-wSinBryAzRw
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n+2-y-z까지이고), A는 Br이 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이고 가장 바람직하게 1-2이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 이상의 사이클릭 브롬화 실란류를 포함한다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(14) H2n-y-z-wSinBryAzRw
여기서, n=3-10, y=1 또는 그 이상이고 (그리고 2n-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n-y-z까지이고), A는 Br이 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게는 n=3-6이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 요오드 또는 브롬이 실리콘에 결합되지 않은 하나 또는 그 이상의 요오드화 실란류 또는 브롬화 실란류들을 포함한다. 따라서 적합한 일부 화합물들은 요오드/브롬 치환된 알킬기들을 가질 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(15) H2n+2-y-z-wSinXyAzRII w
여기서, n=1-10, y=0 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 RII는 요오드 치환된 C1-C3의 알킬 리간드이다.
일부 구현예들에 따르면, 일부 실리콘 전구체들은 하나 또는 그 이상의 사이클릭 요오드화 실란류 또는 브롬화 실란류를 포함한다. 따라서 일부 적합한 사이클릭 화합물들은 요오드/브롬 치환된 알킬기들을 가질 수 있다. 그러한 전구체들은 다음의 일반식을 가질 수 있다:
(16) H2n-y-z-wSinXyAzRII w
여기서, n=3-10, y=0 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y-z까지이고), 2n-y-z-w는 0 이상이고, X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=3-6이다. 바람직하게 R는 요오드 치환된 C1-C3의 알킬 리간드이다.
일부 구현예들에 따르면, 일부 적합한 실리콘 전구체들은 다음 일반식들 중의 적어도 하나를 가질 수 있다:
(17) H2n+2-y-z-wSinXyAz(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게, R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
(18) (H3-y-z-wXyAz(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상이고 (그리고 3-z-w까지이고), z=0 또는 그 이상이고 (그리고 3-y-w까지이고), w=1 또는 그 이상이고 (그리고 3-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있다. 바람직하게 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게, R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다. 세 개의 H3-y-z-wXyAz(NR1R2)wSi 리간드들은 각각 서로 독립적으로 선택될 수 있다.
일부 구현예들에 있어서, 일부 적합한 전구체들은 다음의 더욱 구체적인 식들 중의 적어도 하나를 가질 수 있다:
(19) H2n+2-y-wSinIy(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y까지이고), N은 질소이고, R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게, R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
(20) (H3-y-wIy(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상이고 (그리고 3-w까지이고), w=1 또는 그 이상이고 (그리고 3-y까지이고), N은 질소이고 R1 및 R2는 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있다. 바람직하게 R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게, R1 및 R2는 수소 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 세 개의 H3-y-wIy(NR1R2)wSi 리간드들은 각각 서로 독립적으로 선택될 수 있다.
일부 구현예들에 따르면, 일부 적합한 실리콘 전구체들은 다음 일반식들 중의 적어도 하나를 가질 수 있다:
(21) H2n+2-y-z-wSinXyAz(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
(22) (H3-y-z-wXyAz(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상이고 (그리고 3-z-w까지이고), z=0 또는 그 이상이고 (그리고 3-y-w까지이고), w=1 또는 그 이상이고 (그리고 3-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
일부 구현예들에 있어서, 일부 적합한 전구체들은 다음의 더욱 구체적인 식들 중의 적어도 하나를 가질 수 있다:
(23) H2n+2-y-wSinIy(NR1R2)w
여기서, n=1-10, y=1 또는 그 이상이고 (그리고 2n+2-w까지이고), w=1 또는 그 이상이고 (그리고 2n+2-y까지이고), N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
(24) (H3-y-wIy(NR1R2)wSi)3-N
여기서, y=1 또는 그 이상이고 (그리고 3-w까지이고), w=1 또는 그 이상이고 (그리고 3-y까지이고), N은 질소이고, R1은 수소, 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고, R2는 알킬, 치환된 알킬, 실릴, 알킬실릴, 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=1-5이고 더욱 바람직하게 n=1-3이며 가장 바람직하게 1-2이다. 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R1은 수소이거나 또는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. 바람직하게 R2는 메틸, 에틸, n-프로필, 이소프로필, t-부틸, 이소부틸, sec-부틸 및 n-부틸과 같은 C1-C4 알킬기들이다. 더욱 바람직하게 R2는 메틸, 에틸, n-프로필, 또는 이소프로필과 같은 C1-C3 알킬기들이다. (NR1R2)w 리간드들은 각각 서로 독립적으로 선택될 수 있다.
열 ALD 공정의 일부 구현예들에 따르면, 적합한 실리콘 전구체들은 일반식 (9) 내지 (24)의 어느 하나를 갖는 화합물들을 적어도 포함할 수 있다. 일반식 (21) 및 (22)뿐만 아니라 일반식 (9) 내지 (18)에서, 할로겐화물/할로겐들은 F, Cl, Br 및 I 를 포함할 수 있다.
일부 구현예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, Si3I8, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, MeSi2I5, Me2Si2I4, Me3Si2I3, Me4Si2I2, Me5Si2I, HMeSiI2, HMe2SiI, HMeSi2I4, HMe2Si2I3, HMe3Si2I2, HMe4Si2I, H2MeSiI, H2MeSi2I3, H2Me2Si2I2, H2Me3Si2I, H3MeSi2I2, H3Me2Si2I, H4MeSi2I, EtSiI3, Et2SiI2, Et3SiI, EtSi2I5, Et2Si2I4, Et3Si2I3, Et4Si2I2, Et5Si2I, HEtSiI2, HEt2SiI, HEtSi2I4, HEt2Si2I3, HEt3Si2I2, HEt4Si2I, H2EtSiI, H2EtSi2I3, H2Et2Si2I2, H2Et3Si2I, H3EtSi2I2, H3Et2Si2I, 및 H4EtSi2I.
일부 구현예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: EtMeSiI2, Et2MeSiI, EtMe2SiI, EtMeSi2I4, Et2MeSi2I3, EtMe2Si2I3, Et3MeSi2I2, Et2Me2Si2I2, EtMe3Si2I2, Et4MeSi2I, Et3Me2Si2I, Et2Me3Si2I, EtMe4Si2I, HEtMeSiI, HEtMeSi2I3, HEt2MeSi2I2, HEtMe2Si2I2, HEt3MeSi2I, HEt2Me2Si2I, HEtMe3Si2I, H2EtMeSi2I2, H2Et2MeSi2I, H2EtMe2Si2I, H3EtMeSi2I.
일부 구현예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, Me2Si2I4, Me4Si2I2, HMeSiI2, H2Me2Si2I2, EtSiI3, Et2SiI2, Et3SiI, Et2Si2I4, Et4Si2I2, 및 HEtSiI2. 일부 구현예들에 있어서 실리콘 전구체는 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, Me2Si2I4, Me4Si2I2, HMeSiI2, H2Me2Si2I2, EtSiI3, Et2SiI2, Et3SiI, Et2Si2I4, Et4Si2I2, 및 HEtSiI2와 이들의 임의의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 열여섯, 열일곱, 열여덟, 열아홉 또는 그 이상의 화합물들을 포함한다. 특정 구현예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다.
일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 세 개의 요오드와 아민 또는 알킬아민 리간드를 하나 포함한다. 일부 구현예들에 있어서 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, 및 (SiI3)NtBu2. 일부 구현예들에 있어서, 실리콘 전구체는 (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, (SiI3)NtBu2 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다. 일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 요오드와 아민 또는 알킬아민 리간드를 둘 포함한다. 일부 구현예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, 및 (SiI2)(NtBu)2. 일부 구현예들에 있어서, 실리콘 전구체는 (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, (SiI2)(NtBu)2, 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 요오드와 하나의 수소 및 하나의 아민 또는 알킬아민 리간드를 포함한다. 일부 구현예들에 있어서 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NHiPr, (SiI2H)NHtBu, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NMeiPr, (SiI2H)NMetBu, (SiI2H)NEt2, (SiI2H)NEtiPr, (SiI2H)NEttBu, (SiI2H)NiPr2, (SiI2H)NiPrtBu, 및 (SiI2H)NtBu2. 일부 구현예들에 있어서 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NHiPr, (SiI2H)NHtBu, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NMeiPr, (SiI2H)NMetBu, (SiI2H)NEt2, (SiI2H)NEtiPr, (SiI2H)NEttBu, (SiI2H)NiPr2, (SiI2H)NiPrtBu, (SiI2H)NtBu2 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드, 하나의 수소 및 두 개의 아민 또는 알킬아민 리간드를 포함한다. 일부 구현예들에 있어서 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2. 일부 구현예들에 있어서, 실리콘 전구체는 (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2, 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드, 두 개의 수소 및 하나의 아민 또는 알킬아민 리간드를 포함한다. 일부 구현예들에 있어서 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, 및 (SiIH2)NtBu2. 일부 구현예들에 있어서 실리콘 전구체는 (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, (SiIH2)NtBu2 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
일부 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드와 세 개의 아민 또는 알킬아민 리간드들을 포함한다. 일부 구현예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, 및 (SiI)(NtBu)3. 일부 구현예들에 있어서 실리콘 전구체는 (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, (SiI)(NtBu)3, 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 열셋, 열넷, 열다섯, 또는 그 이상의 화합물들을 포함한다.
특정 구현예들에 있어서, 실리콘 전구체는 실리콘에 결합된 두 개의 요오드, 수소 및 하나의 아민 또는 알킬아민 리간드, 또는 실리콘에 결합된 두 개의 요오드 및 두 개의 아민 또는 알킬아민 리간드를 포함하고, 상기 아민 또는 알킬아민 리간드는 아민 NH2-, 메틸아민 MeNH-, 디메틸아민 Me2N-, 에틸메틸아민 EtMeN-, 에틸아민 EtNH-, 및 디에틸아민 Et2N-로부터 선택된다. 일부 구현예들에 있어서 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, 및 (SiI2)(NEt2)2. 일부 구현예들에 있어서 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NEt2)2, 및 이들의 조합들로부터 선택되는 둘, 셋, 넷, 다섯, 여섯, 일곱, 여덟, 아홉, 열, 열하나, 열둘, 또는 그 이상의 화합물들을 포함한다.
I 또는 Br을 함유하는 다른 타입의 Si-전구체들
요오드 또는 브롬 치환된 실라잔류와 같이 질소를 함유하거나 또는 황을 함유하는 수많은 적합한 실리콘 전구체들은 여기에 개시된 열 또는 플라스마 ALD 공정들에서 사용될 수 있다. 일부 구현예들에 있어서, 요오드 또는 브롬 치환된 실라잔류와 같이 질소를 함유하는 실리콘 전구체들이 여기에 개시된 원하는 품질, 예를 들면, 원하는 WER, WERR, 패턴 로딩 효과 및/또는 아래에서 설명되는 스텝 커버리지 특징들 중의 적어도 하나를 갖는 막을 퇴적시키고자 하는 열 또는 플라스마 ALD 공정들에서 사용될 수 있다.
요오드 또는 브롬 치환된 적합한 실리콘 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(25) H2n+2-y-z-wSin(EH)n-1XyAzRw
여기서, n=2-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, E는 N 또는 S로서, 바람직하게는 N이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=2-5이고, 더욱 바람직하게는 n=2-3이며, 가장 바람직하게는 1-2이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다
요오드 또는 브롬 치환된 적합한 실라잔 전구체들의 적어도 일부는 다음의 일반식을 가질 수 있다:
(26) H2n+2-y-z-wSin(NH)n-1XyAzRw
여기서, n=2-10, y=1 또는 그 이상이고 (그리고 2n+2-z-w까지이고), z=0 또는 그 이상이고 (그리고 2n+2-y-w까지이고), w=0 또는 그 이상이고 (그리고 2n+2-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있고; 바람직하게 n=2-5이고, 더욱 바람직하게는 n=2-3이며, 가장 바람직하게는 2이다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다
일부 구현예들에 있어서, 상기 실리콘 전구체는 I 또는 Br을 포함하는 헤테로사이클릭 Si 화합물과 같은 Si-화합물을 포함한다. 이러한 사이클릭 전구체들은 다음의 구조를 포함할 수 있다:
(27) -Si-E-Si-
여기서 E는 N 또는 S이고, 바람직하게는 N이다.
일부 구현예들에 있어서, 상기 실리콘 전구체는 식 (27)에 따른 구조를 포함하며, 이러한 종류의 화합물의 예는, 예를 들면 요오드 또는 브롬 치환된 사이클로트리실라잔과 같은 요오드 또는 브롬 치환된 사이클로실라잔류이다.
일부 구현예들에 있어서 상기 실리콘 전구체는 I 또는 Br을 포함하는 실릴아민계 화합물과 같은 Si-화합물을 포함한다. 이러한 실릴아민계 Si-전구체들은 다음의 일반식을 가질 수 있다:
(28) (H3-y-z-wXyAzRwSi)3-N
여기서, y=1 또는 그 이상이고 (그리고 3-z-w까지이고), z=0 또는 그 이상이고 (그리고 3-y-w까지이고), w=0 또는 그 이상이고 (그리고 3-y-z까지이고), X는 I 또는 Br이고, A는 X가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있다. 바람직하게 R은 메틸, 에틸, n-프로필 또는 이소프로필과 같은 C1-C3 알킬 리간드이다. 상기 세 개의 H3-y-z-wXyAzRwSi 리간드들은 각각 서로 독립적으로 선택될 수 있다.
N 전구체들
일부 구현예들에 따르면, 열 ALD 공정에서 상기 제 2 반응물 또는 질소 전구체는 NH3, N2H4, 또는 N-H 결합을 갖는 다른 많은 적합한 질소 화합물들일 수 있다.
도 4는 일부 구현예들에 따라 실리콘 질화물 박막을 퇴적시키기 위하여 사용될 수 있는 실리콘 질화물 열 ALD 퇴적 사이클을 일반적으로 나타낸 흐름도이다. 특정 구현예들에 따르면 다수의 실리콘 질화물 퇴적 사이클을 포함하는 ALD-타입 공정에 의하여 실리콘 질화물 박막이 기판 위에 형성된다. 상기 각 실리콘 질화물 퇴적 사이클(400)은:
(1) 실리콘 화합물이 기판 표면 위에 흡착되도록, 기화된 실리콘 전구체를 기판과 접촉시키는 단계(410);
(2) 과량의 실리콘 전구체와 임의의 부산물들을 제거하는 단계(420);
(3) 질소 전구체와 상기 기판을 접촉시키는 단계(430); 및
(4) 과량의 질소 전구체와 반응 부산물들을 제거하는 단계(440);
를 포함한다.
상기 접촉시키는 단계들은 원하는 두께와 조성의 박막이 얻어질 때까지 반복된다(450). 위에서 언급된 바와 같이, 일부 구현예들에 있어서 상기 기판은 상기 실리콘 화합물 및 상기 질소 전구체와 동시에 접촉될 수 있고, 다른 구현예들에 있어서는 이들 반응물들은 별도로 제공된다.
일부 구현예들에 따르면, 실리콘 질화물 박막은 FinFET 응용에서와 같은 3차원 지형을 갖는 기판 위에 열 ALD 공정을 이용하여 퇴적된다. 상기 공정은 다음의 단계들을 포함할 수 있지만, 반드시 이러한 순서로 수행될 필요는 없다:
(1) 반응 공간 내부에 기판을 로딩하는 단계;
(2) 상기 기판의 표면에 실리콘-함유 전구체가 흡착되도록 H2SiI2와 같은 실리콘-함유 전구체가 상기 반응 공간 내로 도입되는 단계;
(3) 과량의 실리콘-함유 전구체 및 반응 부산물들을, 퍼지에 의하는 것과 같이, 제거하는 단계;
(4) 상기 기판 위의 실리콘-함유 전구체와 반응시키기 위하여 NH3 또는 N2H4와 같은 질소-함유 전구체를 상기 반응 공간 내부로 도입하는 단계;
(5) 과량의 질소-함유 전구체 및 반응 부산물들을, 퍼지에 의하는 것과 같이, 제거하는 단계; 및
(6) 원하는 두께의 실리콘 질화물 막이 형성될 때까지 단계 (2) 내지 (5)를 반복하는 단계.
일부 구현예들에 있어서, 상기 ALD 공정은 약 100 ℃ 내지 약 800 ℃, 또는 약 200 ℃ 내지 약 600 ℃, 또는 약 300 ℃ 내지 약 500 ℃의 온도에서 수행된다. 일부 응용들에 있어서, 상기 반응 온도는 약 400 ℃이다.
SiN 막 특성화
여기에 논의된 일부 구현예들에 따라 퇴적된 실리콘 질화물 박막들은 (실리콘 전구체가 브롬 또는 요오드를 함유하는지 여부와는 무관하게) 약 3 at-% 이하의, 바람직하게는 약 1 at-% 이하의, 더욱 바람직하게는 약 0.5 at-% 이하의, 그리고 가장 바람직하게는 약 0.1 at-% 이하의 불순물 레벨 또는 농도들을 달성할 수 있다. 일부 박막들에서, 수소를 제외하고 전체 불순물 레벨이 약 5 at-% 이하, 바람직하게는 약 2 at-% 이하, 더욱 바람직하게는 약 1 at-% 이하, 그리고 가장 바람직하게는 약 0.2 at-% 이하일 수 있다. 그리고 일부 박막들에서, 수소 레벨은 약 30 at-% 이하, 바람직하게는 약 20 at-% 이하, 더욱 바람직하게는 약 15 at-% 이하, 그리고 가장 바람직하게는 약 10 at-% 이하일 수 있다.
일부 구현예들에 있어서, 상기 퇴적된 SiN 막들은 주목할 만한 분량의 탄소를 포함하지 않는다. 그러나, 일부 구현예들에 있어서, 탄소를 포함하는 SiN 막이 퇴적된다. 예를 들면, 일부 구현예들에서, 탄소를 포함하는 실리콘 전구체를 이용하여 ALD 반응이 수행되고 탄소를 포함하는 실리콘 질화물 박막이 퇴적된다. 일부 구현예들에서 탄소를 포함하는 SiN 막은 알킬기 또는 다른 탄소-함유 리간드를 포함하는 전구체를 이용하여 퇴적된다. 일부 구현예들에서, 탄소를 포함하는 SiN 막을 퇴적시키기 위하여 화학식 (9) 내지 (28) 중의 하나로서 알킬기를 포함하는 실리콘 전구체가 위에서 설명한 바와 같이 PEALD 또는 열 ALD 공정에 사용된다. 메틸 또는 에틸과 같은 상이한 알킬기들, 또는 다른 탄소-함유 리간드들은 반응 메커니즘이 상이하기 때문에 막 내에 상이한 농도의 탄소를 가져올 수 있다. 따라서, 퇴적된 SiN 막 내에 상이한 농도의 탄소를 가져오기 위하여 상이한 전구체들이 선택될 수 있다. 일부 구현예들에 있어서, 탄소를 포함하는 SiN 박막은, 예를 들면, 로우-k 스페이서로서 사용될 수 있다. 일부 구현예들에 있어서, 상기 박막은 아르곤을 포함하지 않는다.
도 5a 및 도 5b는 본 발명에 따라 퇴적된 다양한 실리콘 질화물 박막들의 FESEM 이미지들을 보여준다. 막들이 퇴적된 후, 이들은 2분 동안 HF에 침지되었다. 도 6a 내지 도 6c는 dHF 드립 공정을 수행한 후의 동일한 실리콘 질화물 막들을 보여 준다. 식각이 균일한 것을 볼 수 있다.
일부 구현예들에 따르면, 상기 실리콘 질화물 박막은 약 50%보다 큰, 바람직하게는 약 80%보다 큰, 더욱 바람직하게는 약 90%보다 큰, 그리고 가장 바람직하게는 약 95%보다 큰 패턴 로딩 효과 및 스텝 커버리지를 보일 수 있다. 일부 경우들에 있어서, 스텝 커버리지와 패턴 로딩 효과는 약 98%보다 클 수 있고, 일부 경우들에 있어서는 (측정 장비 또는 방법의 정확도 범위 내에서) 약 100%일 수 있다. 이들 값들은 2보다 큰 종횡비에서, 바람직하게는 3보다 큰 종횡비에서, 더욱 바람직하게는 5보다 큰 종횡비에서, 그리고 가장 바람직하게는 8보다 큰 종횡비에서 달성될 수 있다.
여기서 사용될 때, "패턴 로딩 효과"는 본 기술 분야의 통상의 의미에 따라 사용된다. 패턴 로딩 효과가 불순물 함량, 밀도, 전기적 성질들 및 식각 속도와 관련하여 보여질 수 있지만, 달리 표시되지 않는다면 패턴 로딩 효과의 용어는 여기서 사용될 때 기판의 구조물이 존재하는 영역에서의 막 두께의 변화를 가리킨다. 따라서, 패턴 로딩 효과는 개방된 필드를 마주하는 3차원 구조물/지형의 측벽 또는 바닥 위의 막 두께에 대한 3차원 구조물 내 지형의 측벽 또는 바닥에서의 막 두께로서 주어질 수 있다. 여기서 사용될 때, 100% 패턴 로딩 효과(또는 비가 1)는 지형과 무관하게 기판 전체를 통틀어 막 성질이 완전히 일정한 것을 가리킬 것이다. 즉 바꾸어 말하면 패턴 로딩 효과(지형 내와 개방된 필드에서 두께와 같은 특정한 막 성질에 있어서의 편차)가 없는 것이다.
일부 구현예들에 있어서, 실리콘 질화물 막들은 약 3 nm 내지 약 50 nm, 바람직하게는 약 5 nm 내지 약 30 nm, 더욱 바람직하게는 약 5 nm 내지 약 20 nm의 두께로 퇴적된다. 이들 두께들은 약 100 nm 이하의, 바람직하게는 약 50 nm 이하의, 더욱 바람직하게는 약 30 nm 이하의, 가장 바람직하게는 약 20 nm 이하의, 또한 일부 경우들에 있어서는 약 15 nm 이하의 피처 크기(폭)로 달성될 수 있다. 일부 구현예들에 따르면, SiN 막이 3차원 구조물 위에 퇴적되고 측벽에서의 두께는 10 nm보다 약간 더 클 수 있다.
일부 구현예들에 따르면 다양한 습식 식각 속도(wet etch rates, WER)를 갖는 실리콘 질화물 막들이 퇴적될 수 있다. 0.5% dHF (nm/min) 내에서 블랭킷 WER를 사용하였을 때, 실리콘 질화물 막들은 약 5 미만의, 바람직하게는 약 4 미만의, 더욱 바람직하게는 약 2 미만의 , 그리고 가장 바람직하게는 약 1 미만의 WER 값들을 가질 수 있다. 일부 구현예들에 있어서, 이것은 약 0.3보다 미만일 수 있다.
열 산화물의 WER에 대한 0.5% dHF(nm/분)에서의 상기 블랭킷 WER는 약 3 미만, 바람직하게는 약 2 미만, 더욱 바람직하게는 약 1 미만, 그리고 가장 바람직하게는 약 0.5 미만일 수 있다.
그리고 일부 구현예들에 있어서, 핀 또는 트렌치와 같은 3차원 지형의 상부 영역 WER에 대한 핀 또는 트렌치와 같은 3차원 지형의 측벽 WER은 약 4 미만, 바람직하게는 약 3 미만, 더욱 바람직하게는 약 2 미만, 그리고 가장 바람직하게는 약 1일 수 있다.
본 발명의 실리콘 질화물 박막을 이용하는 데 있어서, 개선된 막질과 식각 특성으로 인해, 상부와 측면 사이의 두께 차이가 일부 응용들에 있어서는 그리 중요하지 않을 수 있음이 발견되었다. 그럼에도 불구하고, 일부 구현예들에 있어서, 측벽을 따르는 두께 구배는 후속 응용들 또는 공정들에 매우 중요할 수 있다.
일부 구현예들에 있어서, 본 발명에 따른 실리콘 질화물 막들의 식각량은 0.5% HF-침지 공정에서 열 SiO2(TOX)에 대하여 관찰되는 식각량보다 대략 1 또는 2배 더 적을 수 있다(예를 들면 약 2 내지 3 nm의 TOX가 제거되는 공정에서, 여기에 개시된 방법들에 따라 퇴적되었을 때 1 또는 2배 더 적은 SiN이 제거된다). 바람직한 실리콘 질화물 막들의 WER은 종래 기술의 열 산화물 막들의 그것보다 작을 수 있다.
SiN 막들의 사용을 위한 구체적인 환경
여기에 설명된 물질들과 방법들은 수평적 소스/드레인(S/D) 및 게이트 표면들을 갖는 전통적인 측방향 트랜지스터 설계에 대해서 향상된 품질과 개선된 식각 성질들을 갖는 막들을 제공할 수 있을 뿐만 아니라, 비-수평적인 (예를 들면 수직의) 표면들에서 그리고 복잡한 3차원 (3D) 구조물들 위에서 사용하기 위한 개선된 SiN 막들도 제공할 수 있다. 특정 구현예들에 있어서, SiN 막들은 집적 회로를 제조하는 동안 3차원 구조물 위에 공개된 방법들에 의하여 퇴적된다. 상기 3차원 트랜지스터는, 예를 들면, 이중-게이트 전계효과 트랜지스터(double-gate field effect transistors, DG FET) 및 FinFET들을 포함하는 다른 타입의 다중 게이트 FET들을 포함할 수 있다. 예를 들면, 상기 본 발명의 실리콘 질화물 박막들은, 게이트, 소스 및 드레인 영역들의 상부뿐만 아니라 수직 벽들 위에 실리사이드를 형성하는 것이 바람직할 수 있는 FinFET들과 같은 비평탄 다중 게이트 트랜지스터들에 유용할 수 있다.
여기에 교시된 SiN 퇴적 기술이 특히 유용한 다른 3D 구조물은 Shifren 등에 의하여 미국 특허공개 제2009/0315120호(A1)에 교시된 바와 같은 3D 상승된 소스/드레인 구조물이다. 상기 문헌의 개시된 바는 그 전체가 여기에 인용되어 통합된다. Shifren 등은 수직 측벽들을 포함하는 상승된 소스/드레인 구조물들을 교시한다.
실시예 1
본 발명에 따라 H2SiI2를 실란 전구체로서 이용하고 또는 H2+N2 플라스마를 질소 전구체로서 이용하여 실리콘 질화물 박막이 PEALD 공정에 의하여 400 ℃에서 퇴적되었다. 이 막은 두 가지 ALD 반응 타입 모두의 우수한 성질들: PEALD SiN 막들의 통상적인 고품질과 열 ALD 막들의 등방적 식각 거동의 일부 조합을 보였다. 이러한 결과들은 완전히 이해되지는 않지만, 그럼에도 불구하고 상기 막 성질들과 식각 거동은 고품질의 스페이서 층 응용을 위한 스펙 범위 내이었다.
이러한 응용에 있어서, 종횡비 2의 트렌치 구조물 위에서의 스텝 커버리지와 패턴 로딩 효과는 95% 이상이어야 하고, 습식 식각 속도(wet etch rate, WER)는 열적으로 산화된 실리콘(SiO2, TOX)의 WER의 50% 미만이어야 하며, 상기 식각 속도는 상기 트렌치 구조물의 수평면과 수직벽들 위에서 거의 동일하여야 한다. 마지막으로, 성장 속도는 0.5 nm/분 이상이어야 하고, 또한 불순물 함량은 가급적 낮아야 한다.
400 ℃에서 막 성장 속도는 0.52 Å/사이클이었고, 두께 불균일도는 6.2% (1-σ)이었다. 0.7%(1-σ)의 불균일도에서 굴절률은 2.04이었다. 분당 성장 속도는아직 최적화되지 않았으며 0.13 nm/분이었다.
평면 막의 상기 습식 식각 속도는 1.13 nm/분이었고, 이는 TOX의 WER(2.43 nm/분)의 46.7% 이었다. 트렌치 구조물 위에서 막의 등각성(conformality)은 약 91.0% 내지 약 93.1%이었으며, 상기 패턴 로딩 효과는 (식각 이전) 퇴적된 상태에서 약 95.7% 내지 약 99.3%%이었다. 묽은 (0.5%) HF로 2분 동안 식각한 후, 상기 등각성 값은 약 91.5% 내지 약 94.6%가 되었고, 상기 패턴 로딩 효과는 약 97.4% 내지 약 99.5%%가 되었다. 상기 트렌치의 상부 영역에서의 습식 식각 속도는 A 4.32 nm/분, 트렌치 측벽 위에서는 B 2.98 nm/분, 그리고 트렌치 바닥 위에서는 C 3.03 nm/분이었다. 필드 영역들은 D 2.63 nm/분의 식각 속도를 보였다.
특정 이론에 구속되지 않으면서, 요오드 또는 브롬의 리간드 제거 단계가 플라즈마 방전 이전에 완료되는 것이 이롭다고 믿어진다. 그것은 남은 리간드들이 분해되어 불순물로서 막 내에 재진입하는 것을 방지할 수 있고, 할로겐화물의 경우에는 플라스마 활성화된 할로겐화물들의 형성이 회피된다.
본 발명에 따라 퇴적된 실리콘 질화물 박막의 조성이 HFS-RBS에 의하여 분석되었다. 그 결과가 아래 표 1에 도시된다. 또한, 동일한 막에 대하여 XRR 데이터를 얻었다. 막의 두께는 약 117 nm인 것으로 결정되었다. 질량 밀도는 2.63 (±0.1) g/cm3인 것으로 결정되었다. 또한 표면 RMS 거칠기는 1.76 (±0.1) nm인 것으로 결정되었다.
Figure 112014024502215-pat00001
실시예 2
(실시예 1에 비하여) 개선된 식각 성질들과 불순물 함량을 갖는 실리콘 질화물 박막이 다이렉트 플라스마 ALD 샤워헤드 반응기 내에서 본 발명에 따른 PEALD 공정들에 의하여 퇴적되었다. 200 ℃ 및 400 ℃의 서셉터 온도들이 사용되었다. H2SiI2가 실리콘 전구체로서 사용되었고, H2+N2 플라스마가 질소 전구체로서 이용되었다. 플라스마 파워는 약 200 W 내지 약 220 W이었고, 상기 샤워헤드 플레이트와 서셉터 사이(즉, 플라스마가 생성되는 공간)의 갭은 10 mm이었다. 상기 플라스마는 Ar을 포함하지 않았다. 캐리어 가스로서 질소가 사용되었고, 퇴적 공정 동안 시종 유동되었다. H2SiI2 소비는 약 9.0 mg/사이클이었다.
400 ℃에서 막 성장 속도는 0.7 Å/사이클이었고, 퇴적된 막은 콘포말하였다. 굴절률은 1.92 내지 1.93이었다. 100:1 dHF 내에서의 평면 막의 습식 식각 속도(WER)는 열 산화물(SiO2)의 WER의 약 20 내지 30%이었다. 트렌치 구조물 위에서, 트렌치 상부에 대한 트렌치 측벽의 막의 습식 식각 속도비는 약 0.8 내지 약 1.0까지 변화하였다.
200 ℃에서 퇴적된 실리콘 질화물 박막의 불순물 함량이 TXRF에 의하여 분석되었다. 막들은 cm2 당 8.43×1012개의 요오드 원자들을 포함하였는데, 이는 H2+N2 플라스마에 더하여 Ar을 함유하는 플라스마를 이용하여 퇴적된 막들의 불순물 함량(cm2 당 1.418×1013개의 요오드 원자)보다 약간 낮은 것이다. 또한, Ar-함유 플라스마를 이용하여 400 ℃에서 퇴적된 막들은 TXRF 분석에 의하여 입증되는 바와 같이 Ar을 불순물로서 가졌다(cm2 당 8.067×1013개의 아르곤 원자). 특정 이론에 구속되는 것은 아니지만, 막 내에 아르곤이 트랩될 수 있었던 것으로 믿어지며, 아르곤을 포함하지 않는 플라스마를 이용함으로써 이를 피할 수 있는 것으로 믿어진다.
본 발명의 정신으로부터 벗어나지 않으면서 수많은 다양한 변용들이 이루어질 수 있음은 통상의 기술자에 의하여 이해될 것이다. 설명된 특징들, 구조물들, 특성들 및 전구체들은 임의의 적합한 방식으로 조합될 수 있다. 따라서, 본 발명의 형태들은 예시적인 것일 뿐이며 본 발명의 범위를 한정하기 위한 의도가 아님은 명확히 이해되어야 한다. 모든 변용과 변경들은, 첨부된 청구항들에 의하여 정의되는 바와 같은 본 발명의 범위 내에 속하도록 의도된다.

Claims (44)

  1. 반응 공간 내의 기판 위에 실리콘 질화물 박막을 퇴적시키기 위한 플라즈마 강화 원자층 증착 방법(plasma enhanced atomic layer deposition)으로서,
    (a) 상기 기판의 표면 위에 실리콘 전구체를 흡착시키도록 상기 반응 공간 내부로 증기상의 실리콘 반응물을 도입하는 단계;
    (b) 과량의 실리콘 반응물과 반응 부산물들을 제거하는 단계;
    (c) 흡착된 상기 실리콘 전구체를, 플라스마에 의하여 질소 전구체로부터 생성된 반응성 종과 접촉시키는 단계;
    (d) 과량의 반응성 종과 반응 부산물들을 제거하는 단계;
    를 포함하고, 원하는 두께의 실리콘 질화물 막이 형성될 때까지 단계 (a) 내지 (d)가 반복되고;
    상기 실리콘 반응물은 요오드를 포함하고,
    적어도 하나의 3-차원 지형의 상부 표면 위에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 적어도 하나의 3-차원 지형의 측벽에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 반응물은 하기 식을 갖는 전구체들로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
    H2n+2-y-z-wSinIyAzRw
    (여기서, n=1-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n-y-z-wSinIyAzRw
    (여기서, 본 실리콘 함유 전구체는 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 2n-z-w까지이고, z=0 또는 그 이상이고 2n-y-w까지이고, w=0 또는 그 이상이고 2n-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSinIyAzRII w
    (여기서, n=1-10, y=0 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=1 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, y가 0이 아닐 때 RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고, y가 0일 때 RII는 I를 함유하는 유기 리간드로서 I 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n-y-z-wSinIyAzRII w
    (여기서, 본 실리콘 함유 전구체는 사이클릭 화합물이고, n=3-10, y=0 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=1 또는 그 이상이고 2n+2-y-z까지이고, 2n-y-z-w는 0 이상이고, A는 I가 아닌 할로겐이고, y가 0이 아닐 때 RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고, y가 0일 때 RII는 I를 함유하는 유기 리간드로서 I 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSin(EH)n-1IyAzRw
    (여기서, n=2-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, E는 N 또는 S이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSin(NH)n-1IyAzRw
    (여기서, n=2-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    (H3-y-z-wIyAzRwSi)3-N
    (여기서, y=1 또는 그 이상이고 3-z-w까지이고, z=0 또는 그 이상이고 3-y-w까지이고, w=0 또는 그 이상이고 3-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-zSinIyAz
    (여기서, n=1-10, y=1 또는 그 이상이고 2n+2-z까지고, z=0 또는 그 이상이고 2n+2-y까지고, A 는 I가 아닌 할로겐임);
    H2n-y-zSinIyAz
    (여기서 본 전구체는 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 2n-z까지이고, z=0 또는 그 이상이고 2n-y까지이고, A는 I가 아닌 할로겐임); 및
    H2n+2-ySinIy
    (여기서, n=1-5, y=1 또는 그 이상이고 2n+2까지임)
  3. 제 1 항에 있어서,
    상기 반응성 종은 수소, 수소 원자들, 수소 플라스마, 수소 라디칼류, N*, NH* 또는 NH2 * 라디칼들을 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  4. 제 1 항에 있어서,
    상기 반응성 종은 상기 기판의 직접 위에서 생성되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  5. 제 1 항에 있어서,
    상기 반응성 종들은 상기 기판으로부터 떨어져서 생성되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  6. 제 5 항에 있어서,
    상기 반응성 종을 생성하기 위하여 리모트 플라스마 생성기가 사용되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  7. 제 1 항에 있어서,
    상기 실리콘 반응물이 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2 및 H5Si2I로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  8. 제 7 항에 있어서,
    상기 실리콘 반응물이 H2SiI2인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  9. 제 1 항에 있어서,
    상기 방법은 300 ℃와 400 ℃ 사이의 온도에서 수행되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  10. 제 1 항에 있어서,
    상기 질소 전구체가 NH3, N2H4, N2/H2 혼합물, N2, 및 이들의 임의의 혼합물들로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 질화물 박막은 적어도 80%의 패턴 로딩 효과 및 스텝 커버리지를 보이는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  12. 제 1 항에 있어서,
    상기 실리콘 질화물 박막의 식각 속도는 0.5% HF 수용액에서 0.3 nm/분 이상 4 nm/분 미만인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  13. 제 1 항에 있어서,
    상기 실리콘 질화물 박막이 FinFET을 형성하는 동안 퇴적되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  14. 실리콘 질화물 박막을 퇴적시키기 위한 플라즈마 강화 원자층 증착 방법으로서,
    (a) 적어도 하나의 3-차원 지형을 갖는 기판을 반응 공간 내에 로딩하는 단계;
    (b) 실리콘 전구체가 상기 기판의 표면에 흡착되도록 상기 실리콘 전구체를 상기 반응 공간 내부로 도입하는 단계;
    (c) 과량의 실리콘-함유 전구체와 반응 부산물들을 상기 반응 공간에서 퍼지하는 단계;
    (d) 플라스마에 의하여 질소 전구체로부터 생성된 반응성 종을 상기 반응 공간 내부로 도입하는 단계;
    (e) 상기 반응 공간에서 과량의 질소 전구체와 반응 부산물들을 퍼지하는 단계;
    를 포함하고, 원하는 두께의 실리콘 질화물 박막이 형성될 때까지 단계들 (b) 내지 (e)를 반복하고,
    상기 실리콘-함유 전구체가 요오드를 포함하고,
    상기 실리콘 질화물 박막은 상기 적어도 하나의 3-차원 지형 위에서 50%보다 큰 스텝 커버리지를 갖고,
    상기 실리콘 질화물 박막의 식각 속도는 0.5% HF 수용액 내에서 0.3 nm/분 이상 5 nm/분 미만이고,
    상기 적어도 하나의 3-차원 지형의 상부 표면 위에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 적어도 하나의 3-차원 지형의 측벽에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  15. 제 14 항에 있어서,
    상기 실리콘 질화물 박막이 80%보다 큰 스텝 커버리지를 갖는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  16. 제 14 항에 있어서,
    상기 실리콘-함유 전구체는 하기 일반식들 중 하나에서와 같은 식을 갖는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
    H2n+2-y-z-wSinIyAzRw
    (여기서, n=1-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n-y-z-wSinIyAzRw
    (여기서, 본 실리콘 함유 전구체는 사이클릭 화합물이고, n=3-10, y=1 또는 그 이상이고 2n-z-w까지이고, z=0 또는 그 이상이고 2n-y-w까지이고, w=0 또는 그 이상이고 2n-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSinIyAzRII w
    (여기서, n=1-10, y=0 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=1 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, y가 0이 아닐 때 RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고, y가 0일 때 RII는 I를 함유하는 유기 리간드로서 I 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n-y-z-wSinIyAzRII w
    (여기서, 본 실리콘 함유 전구체는 사이클릭 화합물이고, n=3-10, y=0 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=1 또는 그 이상이고 2n+2-y-z까지이고, 2n-y-z-w는 0 이상이고, A는 I가 아닌 할로겐이고, y가 0이 아닐 때 RII는 I 또는 Br을 함유하는 유기 리간드로서 I 또는 Br 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있고, y가 0일 때 RII는 I를 함유하는 유기 리간드로서 I 치환된 알콕사이드류, 알킬실릴류, 알킬류, 알킬아민류 및 불포화 탄화수소류로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSin(EH)n-1IyAzRw
    (여기서, n=2-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, E는 N 또는 S이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    H2n+2-y-z-wSin(NH)n-1IyAzRw
    (여기서, n=2-10, y=1 또는 그 이상이고 2n+2-z-w까지이고, z=0 또는 그 이상이고 2n+2-y-w까지이고, w=0 또는 그 이상이고 2n+2-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음);
    구조 -Si-E-Si-
    (여기서 상기 실리콘-함유 전구체는 I를 포함하는 헤테로사이클릭 화합물이고, E는 N 또는 S);
    (H3-y-z-wIyAzRwSi)3-N
    (여기서, y=1 또는 그 이상이고 3-z-w까지이고, z=0 또는 그 이상이고 3-y-w까지이고, w=0 또는 그 이상이고 3-y-z까지이고, A는 I가 아닌 할로겐이고, R은 유기 리간드로서 알콕사이드류, 알킬실릴류, 알킬, 치환된 알킬, 알킬아민류 및 불포화 탄화수소로 구성되는 군으로부터 독립적으로 선택될 수 있음).
  17. 제 14 항에 있어서,
    상기 실리콘-함유 전구체가 HSiI3, H2SiI2, H3SiI, H2Si2I4, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, Me2Si2I4, Me4Si2I2, HMeSiI2, H2Me2Si2I2, EtSiI3, Et2SiI2, Et3SiI, Et2Si2I4, Et4Si2I2, 및 HEtSiI2로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  18. 제 14 항에 있어서,
    상기 실리콘-함유 전구체가 H2SiI2인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  19. 제 14 항에 있어서,
    상기 방법이 300 ℃ 내지 400 ℃ 사이의 온도에서 수행되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  20. 제 14 항에 있어서,
    상기 실리콘 질화물 박막이 적어도 80%의 패턴 로딩 효과 및 스텝 커버리지를 보이는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  21. 제 14 항에 있어서,
    상기 적어도 하나의 3-차원 지형의 상부 표면 위에서의 상기 실리콘 질화물 박막의 식각 속도에 대한 상기 적어도 하나의 3-차원 지형의 측벽에서의 상기 실리콘 질화물 박막의 식각 속도의 비가 1인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  22. 제 14 항에 있어서,
    상기 식각 속도가 0.3 nm/분 이상 3 nm/분 미만인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  23. 기판 위에 실리콘 질화물 박막을 퇴적시키는 플라스마 강화 원자층 증착 방법으로서,
    (a) 상기 기판의 표면 위에 실리콘 전구체가 흡착되도록 상기 기판을 증기상의 실리콘 전구체에 노출시키는 단계;
    (b) 과량의 실리콘 전구체와 반응 부산물들을 상기 기판 표면으로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계;
    (c) 흡착된 상기 실리콘 전구체를 질소 함유 플라스마에 의하여 생성된 종과 접촉시키는 단계; 및
    (d) 질소 함유 플라스마의 종과 반응 부산물들을 상기 기판의 표면으로부터 그리고 상기 기판의 표면의 근처로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계;
    를 포함하고, 원하는 두께의 실리콘 질화물 막이 형성될 때까지 단계 (a) 내지 (d)가 반복되고,
    상기 실리콘 전구체가 요오드를 포함하고,
    적어도 하나의 3-차원 지형의 상부 표면 위에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 적어도 하나의 3-차원 지형의 측벽에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  24. 적어도 하나의 3-차원 지형을 포함하는 기판 위에 실리콘 질화물 박막을 퇴적시키는 플라스마 강화 원자층 증착 방법으로서,
    (a) 상기 기판의 표면 위에 실리콘 반응물이 흡착되도록 상기 기판을 증기상의 실리콘 반응물에 노출시키는 단계;
    (b) 과량의 실리콘 반응물과 반응 부산물들을 상기 기판 표면으로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계;
    (c) 흡착된 상기 실리콘 반응물을 플라스마에 의하여 질소 전구체로부터 생성된 반응 종과 접촉시키는 단계; 및
    (d) 과량의 상기 질소 전구체를 상기 기판의 표면으로부터 그리고 상기 기판의 표면의 근처로부터 제거하기 위하여 상기 기판을 퍼지 가스 및/또는 진공에 노출시키는 단계;
    를 포함하고, 원하는 두께의 실리콘 질화물 박막이 형성될 때까지 단계 (a) 내지 (d)가 반복되고,
    상기 실리콘 반응물이 요오드를 포함하고,
    상기 실리콘 질화물 박막은 50%보다 큰 스텝 커버리지를 갖고,
    상기 실리콘 질화물 박막의 식각 속도는 0.5% HF 수용액 내에서 0.3 nm/분 이상 5 nm/분 미만이고,
    상기 적어도 하나의 3-차원 지형의 상부 표면 위에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 적어도 하나의 3-차원 지형의 측벽에서의 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  25. 제 1 항에 있어서,
    단계 (a) 내지 (d)가 반복되는 동안 상기 반응 공간에 질소를 포함하는 가스가 연속적으로 제공되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  26. 반응 공간 내의 기판 위에 실리콘 질화물 박막을 퇴적시키기 위한 플라즈마 강화 원자층 증착 방법(plasma enhanced atomic layer deposition, PEALD)으로서,
    요오드를 포함하는 기상의 실리콘 반응물을 상기 기판과 접촉시키는 단계; 및
    플라스마에 의하여 질소 전구체로부터 생성된 반응성 종을 상기 기판과 접촉시키는 단계;
    를 포함하고,
    3-차원 지형의 상부 표면 위에 퇴적된 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 3-차원 지형의 측벽 위에 퇴적된 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  27. 제 26 항에 있어서,
    상기 접촉시키는 단계들은 증착 사이클을 구성하고, 상기 원자층 증착 방법은 하나 이상의 증착 사이클들을 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  28. 제 27 항에 있어서,
    원하는 두께의 실리콘 질화물 박막이 형성될 때까지 상기 증착 사이클들 반복하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  29. 제 27 항에 있어서,
    상기 증착 사이클은, 상기 실리콘 반응물을 상기 기판과 접촉시키는 단계 이후에 과량의 실리콘 반응물 및, 만일 있다면, 반응 부산물들을 제거하는 단계, 및 반응성 종을 상기 기판과 접촉시키는 단계 이후에 과량의 반응성 종 및, 만일 있다면, 반응 부산물들을 제거하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  30. 제 26 항에 있어서,
    상기 반응성 종은 수소, 수소 원자들, 수소 플라스마, 수소 라디칼류, N*, NH* 또는 NH2 * 라디칼들을 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  31. 제 26 항에 있어서,
    상기 질소 전구체가 NH3, N2H4, N2/H2 혼합물, N2, 및 이들의 임의의 혼합물들로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  32. 제 26 항에 있어서,
    상기 실리콘 반응물이 유기 리간드를 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  33. 제 26 항에 있어서,
    상기 실리콘 반응물이 요오드화 실란(iodosilanes)을 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  34. 제 33 항에 있어서,
    상기 실리콘 반응물이 H2SiI2를 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  35. 제 26 항에 있어서,
    상기 실리콘 질화물 박막의 식각 속도는 0.5% HF 수용액에서 0.3 nm/분 이상 4 nm/분 미만인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  36. 제 26 항에 있어서,
    상기 실리콘 질화물 박막은 적어도 80%의 패턴 로딩 효과 및 스텝 커버리지를 보이는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  37. 제 26 항에 있어서,
    상기 비가 1인 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  38. 반응 공간 내의 기판 위에 실리콘 질화물 박막을 형성하기 위한 플라즈마 강화 원자층 증착 방법(plasma enhanced atomic layer deposition, PEALD)으로서,
    상기 플라즈마 강화 원자층 증착 방법은 복수의 증착 사이클들을 포함하고, 각 증착 사이클은:
    요오드를 포함하는 기상의 실리콘 반응물 및 질소를 포함하는 반응성 종을 상기 기판과 교대로 그리고 순차적으로 접촉시키는 단계;
    를 포함하고,
    3-차원 지형의 수평 표면 위에 퇴적된 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도에 대한 상기 3-차원 지형의 수직 표면 위에 퇴적된 상기 실리콘 질화물 박막의 0.5% HF 수용액 내에서의 식각 속도의 비가 0.8 이상 2 미만인 플라즈마 강화 원자층 증착 방법.
  39. 제 38 항에 있어서,
    상기 반응성 종이 플라스마에 의하여 질소 전구체로부터 생성되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  40. 제 39 항에 있어서,
    상기 질소 전구체가 NH3, N2H4, N2/H2 혼합물, N2, 및 이들의 임의의 혼합물들로 구성되는 군으로부터 선택되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  41. 제 38 항에 있어서,
    상기 실리콘 반응물이 요오드화 실란(iodosilanes)을 포함하는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  42. 제 38 항에 있어서,
    상기 반응성 종은 상기 기판의 직접 위에서 생성되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  43. 제 38 항에 있어서,
    상기 반응성 종은 리모트 플라스마 생성기 내에서 생성되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.
  44. 제 38 항에 있어서,
    상기 실리콘 질화물 박막이 FinFET을 형성하는 동안 퇴적되는 것을 특징으로 하는 플라즈마 강화 원자층 증착 방법.

KR1020140029846A 2013-03-14 2014-03-13 저온에서 SiN을 퇴적시키기 위한 Si 전구체들 KR102039035B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/830,084 2013-03-14
US13/830,084 US9824881B2 (en) 2013-03-14 2013-03-14 Si precursors for deposition of SiN at low temperatures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190133724A Division KR102176030B1 (ko) 2013-03-14 2019-10-25 저온에서 SiN을 퇴적시키기 위한 Si 전구체들

Publications (2)

Publication Number Publication Date
KR20140113477A KR20140113477A (ko) 2014-09-24
KR102039035B1 true KR102039035B1 (ko) 2019-10-31

Family

ID=51500341

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020140029846A KR102039035B1 (ko) 2013-03-14 2014-03-13 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020190133724A KR102176030B1 (ko) 2013-03-14 2019-10-25 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020200144734A KR102319525B1 (ko) 2013-03-14 2020-11-02 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020200172141A KR102514553B1 (ko) 2013-03-14 2020-12-10 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020230037451A KR20230043095A (ko) 2013-03-14 2023-03-22 저온에서 SiN을 퇴적시키기 위한 Si 전구체들

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020190133724A KR102176030B1 (ko) 2013-03-14 2019-10-25 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020200144734A KR102319525B1 (ko) 2013-03-14 2020-11-02 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020200172141A KR102514553B1 (ko) 2013-03-14 2020-12-10 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR1020230037451A KR20230043095A (ko) 2013-03-14 2023-03-22 저온에서 SiN을 퇴적시키기 위한 Si 전구체들

Country Status (5)

Country Link
US (3) US9824881B2 (ko)
JP (6) JP6452292B2 (ko)
KR (5) KR102039035B1 (ko)
CN (2) CN109252145B (ko)
TW (9) TWI680202B (ko)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
KR102410146B1 (ko) 2015-06-26 2022-06-16 삼성전자주식회사 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR102505242B1 (ko) 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20180032678A (ko) * 2015-08-21 2018-03-30 어플라이드 머티어리얼스, 인코포레이티드 고온 열적 ald 실리콘 질화물 막들
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6946320B2 (ja) * 2016-03-13 2021-10-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スペーサ用の窒化ケイ素膜の選択的堆積
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020514529A (ja) * 2016-12-21 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdによる共形密封膜堆積
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP6963264B2 (ja) 2017-02-14 2021-11-05 東芝三菱電機産業システム株式会社 窒化膜成膜方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
WO2018187429A1 (en) * 2017-04-04 2018-10-11 Applied Materials, Inc. Two-step process for silicon gapfill
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6807278B2 (ja) * 2017-05-24 2021-01-06 東京エレクトロン株式会社 シリコン窒化膜の成膜方法および成膜装置
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11393674B2 (en) 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN117265500A (zh) * 2019-02-14 2023-12-22 恩特格里斯公司 氮化硅的选择性沉积
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
TW202111825A (zh) 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10988490B1 (en) * 2019-10-03 2021-04-27 Entegris, Inc. Triiodosilylamine precursor compounds
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112908846A (zh) * 2019-12-02 2021-06-04 财团法人金属工业研究发展中心 形成半导体结构的方法及半导体结构
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) * 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
JP2022113560A (ja) * 2021-01-25 2022-08-04 東京エレクトロン株式会社 成膜方法及び成膜装置
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240057450A (ko) * 2021-09-23 2024-05-02 램 리써치 코포레이션 정전 클램핑을 사용한 리모트 플라즈마 증착
US20230132200A1 (en) 2021-10-27 2023-04-27 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230369031A1 (en) * 2022-05-12 2023-11-16 Applied Materials, Inc. Integrated method and tool for high quality selective silicon nitride deposition
CN117219506B (zh) * 2023-11-09 2024-03-12 深圳基本半导体有限公司 一种消除刻蚀负载效应的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
US20050159017A1 (en) 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4683147A (en) * 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) * 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) * 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) * 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
JP3063840B2 (ja) * 1997-11-19 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
KR20010032764A (ko) * 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
KR20010074387A (ko) * 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) * 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) * 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
CN100471991C (zh) * 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) * 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
KR101082921B1 (ko) 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) * 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
CN102047386B (zh) 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) * 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
WO2011140355A2 (en) 2010-05-07 2011-11-10 Applied Materials, Inc. Oxide nitride stack for backside reflector of solar cell
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
US20130115374A1 (en) * 2010-07-19 2013-05-09 Krishnakumar M. Jayakar Polycrystalline silicon production
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
KR101657341B1 (ko) 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
KR101514231B1 (ko) 2011-08-25 2015-04-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) * 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
WO2014015237A1 (en) * 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) * 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
JP2016509662A (ja) * 2012-12-21 2016-03-31 アールイーシー シリコン インコーポレイテッド 流動床反応器のための高温グレードの鋼
CN103915341B (zh) * 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
US20050159017A1 (en) 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
TWI660067B (zh) 2019-05-21
KR102514553B1 (ko) 2023-03-27
CN109252145B (zh) 2021-01-22
TWI773572B (zh) 2022-08-01
CN104046955A (zh) 2014-09-17
JP2021061414A (ja) 2021-04-15
TWI652276B (zh) 2019-03-01
US20200013611A1 (en) 2020-01-09
TWI695905B (zh) 2020-06-11
TW202031929A (zh) 2020-09-01
TW202224020A (zh) 2022-06-16
TWI748762B (zh) 2021-12-01
KR20200143312A (ko) 2020-12-23
US20140273528A1 (en) 2014-09-18
US9824881B2 (en) 2017-11-21
US10424477B2 (en) 2019-09-24
JP7123115B2 (ja) 2022-08-22
TWI668325B (zh) 2019-08-11
KR20140113477A (ko) 2014-09-24
JP2019062225A (ja) 2019-04-18
TW201439105A (zh) 2014-10-16
TWI680202B (zh) 2019-12-21
CN104046955B (zh) 2018-12-07
JP2020145461A (ja) 2020-09-10
TWI748792B (zh) 2021-12-01
KR20230043095A (ko) 2023-03-30
CN109252145A (zh) 2019-01-22
US11289327B2 (en) 2022-03-29
TW201923142A (zh) 2019-06-16
KR102319525B1 (ko) 2021-11-01
US20180151344A1 (en) 2018-05-31
JP6452292B2 (ja) 2019-01-16
JP7219738B2 (ja) 2023-02-08
KR20200127949A (ko) 2020-11-11
JP2023027062A (ja) 2023-03-01
TW202113970A (zh) 2021-04-01
TW201920757A (zh) 2019-06-01
TWI714504B (zh) 2020-12-21
KR102176030B1 (ko) 2020-11-09
JP7383106B2 (ja) 2023-11-17
TW202000978A (zh) 2020-01-01
TW201940734A (zh) 2019-10-16
KR20190124184A (ko) 2019-11-04
JP2014179607A (ja) 2014-09-25
JP2024023223A (ja) 2024-02-21
TW202111155A (zh) 2021-03-16

Similar Documents

Publication Publication Date Title
KR102176030B1 (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
US11587783B2 (en) Si precursors for deposition of SiN at low temperatures
KR102482954B1 (ko) 기판 상에 구조물을 형성하는 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right