TWI645067B - 含硼與碳材料的沈積 - Google Patents

含硼與碳材料的沈積 Download PDF

Info

Publication number
TWI645067B
TWI645067B TW106129090A TW106129090A TWI645067B TW I645067 B TWI645067 B TW I645067B TW 106129090 A TW106129090 A TW 106129090A TW 106129090 A TW106129090 A TW 106129090A TW I645067 B TWI645067 B TW I645067B
Authority
TW
Taiwan
Prior art keywords
boron
film
substrate
carbon
reactant
Prior art date
Application number
TW106129090A
Other languages
English (en)
Other versions
TW201739954A (zh
Inventor
波爾維爾傑米
Original Assignee
Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip 控股公司 filed Critical Asm Ip 控股公司
Publication of TW201739954A publication Critical patent/TW201739954A/zh
Application granted granted Critical
Publication of TWI645067B publication Critical patent/TWI645067B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

本發明提供沈積含硼與碳膜的方法。在一些實施例中,提供沈積具有諸如共形性及蝕刻速率之所需性質之B,C膜的方法。可在低於約400℃之溫度下於基板上分解一或多種含硼及/或碳前驅體。在一些實施例中,提供沈積包括B與C的氮化矽膜之方法。可藉由包含形成SiN之ALD循環及向生長膜提供B與C之CVD循環的沈積製程來沈積氮化矽膜。

Description

含硼與碳材料的沈積
本發明大體上關於半導體裝置製造之領域,且更特定言之關於含硼與碳材料之沈積。
含硼與碳材料(諸如硼與碳膜)可具有多種用途,包含在半導體工業中之用途。氮化矽類材料可經修飾以包含硼與碳組分,例如形成包括硼與碳組分的氮化矽膜。硼與碳膜及包括硼與碳組分的氮化矽膜在半導體裝置之製造製程中可具有各種應用。
因為半導體裝置之物理幾何結構縮小,需要在具有高縱橫比的三維結構上沈積膜。因此,需要提供膜之沈積製程,所述膜可顯示具有高縱橫比的三維結構之等形覆蓋。另外,需要顯示有利的相對於半導體裝置中的一或多種其他材料的蝕刻選擇性及/或在乾式蝕刻及/或濕式蝕刻製程中所需的蝕刻速率的膜。
在一些態樣中,提供形成包括硼與碳的氮化矽膜之方法。在一些實施例中,在反應空間中在基板上沈積包括硼與碳的氮化矽類膜之方法可包含使基板與汽相矽反應物接觸以在基板表面上形成反應物層;使包括矽反應物的基板表面與氮反應物接觸;以及使基板與汽相硼及/或碳反應物接觸。在一些實施例中,進行使基板與汽相矽反應物接觸、使矽反應物與氮前驅體接觸以及使基板與汽相硼反應物接觸中之至少一者兩次或多於兩次。
在反應空間中在基板上沈積包括硼與碳的氮化矽薄膜之方法可包含使基板暴露於汽相矽前驅體;自反應空間移除過量矽前驅體及反應副產物,例如用淨化氣體(purge gas)及/或真空;使基板表面上之剩餘矽反應物與氮氣前驅體接觸;以及使基板暴露於汽相硼前驅體。在一些實施例中,可進行使基板暴露於汽相矽前驅體、使基板暴露於淨化氣體及/或真空、使吸附的矽反應物與氮氣前驅體接觸以及使基板暴露於汽相硼前驅體中之至少一者兩次或多於兩次。
在一些態樣中,提供形成硼碳膜之方法。在一些實施例中,在反應空間中在基板上沈積硼與碳膜之方法可包含在約325℃至約400℃之處理溫度下使基板與汽相硼前驅體接觸以在基板上形成硼與碳膜,其中汽相硼前驅體在基板上分解。
在一些實施例中,在反應空間中在基板上形成硼與碳膜之方法可包含在低於約400℃之處理溫度下使基板上的三維結構與汽相硼前驅體接觸以在三維結構上形成硼與碳膜,其中硼與碳膜具有大於約80%之步階覆蓋。在一些實施例中,方法可包含在使基板上的三維結構與汽相硼前驅體接觸之後淨化(purge)反應空間。
出於概述本發明及所達成的優於先前技術之優勢之目的,本文描述某些目標及優勢。當然,應理解根據任何特定實施例未必要求達成全部這類目標或優勢。因此,舉例而言,本領域的技術人員將認識到本發明可以可達成或最佳化一個優勢或一組優勢而不必達成其他目標或優勢之方式來實施或進行。
所有這些實施例意欲在本文所揭示的發明之範疇內。根據以下參考隨附圖式的詳細描述,本領域的技術人員將易於知曉這些及其他實施例,本發明不限於所揭示之任何特定實施例。
儘管下文描述了某些實施例及實例,但本領域的技術人員應瞭解,本發明擴展至特定揭示之實施例及/或用途以及其顯而易見的修改及等效物之外。因此,希望本文所揭示的發明之範疇應不受下文所描述之任何特定實施例限制。
包括硼與碳的膜可具有各種所需性質,包含化學穩定性、機械強度以及熱與電性質。因此,這類膜在許多技術領域中具有不同應用,包含在半導體、醫療、軍事、空間及核工業中之應用。舉例而言,將硼碳膜用作半導體裝置之製造中及微機電體系(microelectromechanical system,MEMS)之製造中的中子偵測器。可將其用於MEMS組件之摩擦塗層中及/或用作半導體裝置製造製程中的犧牲膜。在一些實施例中,含硼與碳膜可用作蝕刻終止層、用作促進光微影圖案化製程的層及/或用作摻雜層(例如作為硼摻雜源)。半導體領域外之其他用途對於本領域具有通常知識者將為顯而易知。
在一些實施例中揭示主要包括硼與碳膜之膜以及製備這類膜的方法,而在其他實施例中揭示包括硼及/或碳以及其他組分之膜以及製備這類膜之方法。舉例而言,在一些實施例中可形成包含硼與碳組分之氮化矽膜。包括硼與碳的氮化矽膜可具有多種應用,包含半導體裝置中之應用。包括硼與碳組分的氮化矽膜可形成半導體裝置之一部分(例如FinFET)及/或為製造半導體裝置之製程的一部分。舉例而言,可在半導體裝置製造製程期間將包括硼與碳組分的氮化矽膜沈積於三維(three-dimensional,3-D)特徵上,例如作為電晶體閘極特徵之間隙壁材料(例如作為諸如FinFET之多閘極電晶體中的閘極特徵之間隙壁材料)及/或作為半導體裝置製造製程中之犧牲層。
本文所描述之一或多種方法可用以形成硼碳膜及/或包括硼與碳的氮化矽膜,其中所述膜具有一或多種所需特徵,諸如所需程度之三維特徵的等形覆蓋、所需乾式蝕刻速率、所需濕式蝕刻速率及/或所需相對於另一材料(例如半導體裝置中之熱氧化矽(thermal silicon oxide,TOX)層)之蝕刻選擇性。舉例而言,根據本文所描述之一或多種方法沈積的膜(諸如硼與碳膜或包括硼與碳的氮化矽膜)可顯示改良的步階覆蓋、在濕式蝕刻劑中降低的蝕刻速率(例如耐濕式蝕刻劑(諸如稀氫氟酸(HF或dHF)溶液(諸如0.5重量% HF溶液))性)及/或相對於藉由其他方法所沈積的類似膜而言降低的關於熱氧化矽(TOX)材料之濕式蝕刻比率(例如提供小於約1(包含小於約0.5)的氮化矽類膜之濕式蝕刻速率與TOX之濕式蝕刻速率的比率)。在一些實施例中,包含硼與碳組分的氮化矽膜可具有所需介電常數(κ值),例如適合於用作電晶體閘極特徵之間隙壁材料。在一些實施例中,如下文所論述,包含硼與碳組分的氮化矽膜可具有小於約7(包含約4.8與約7之間及約4.8與約6之間)的介電常數。硼與碳膜
如本文所描述,主要包括硼與碳(如下文所論述,亦稱為硼碳膜或B,C膜)且根據本文所描述之一或多種方法形成的膜可有利地顯示各種所需特徵。在一些實施例中,在沈積於基板之三維(3-D)特徵(諸如具有高縱橫比之3-D特徵)上時硼與碳膜可有利地顯示所需程度之共形性(conformality)。舉例而言,在沈積於具有約3:1或高於約3:1、(包含)約10:1或高於約10:1、約20:1或高於約20:1、約25:1或高於約25:1、約40:1或高於約40:1、約50:1或高於約50:1或約80:1或高於約80:1之縱橫比的特徵上時,硼與碳膜可具有大於約90%、包含大於約95%之共形性。在一些實施例中,在沈積於具有約20:1或大於20:1、(包含)約40:1或高於約40:1以及約80:1或大於約80:1之縱橫比的特徵上時,硼與碳膜具有大於約90%、包含大於約95%的共形性。
在一些實施例中,硼與碳膜可顯示降低的濕式蝕刻速率。舉例而言,硼與碳膜在稀氫氟酸溶液(dHF)中可顯示降低的濕式蝕刻速率,例如小於暴露於dHF之TOX膜的蝕刻速率之約0.3倍的蝕刻速率。在一些實施例中,硼與碳膜在稀HF中可具有可忽略的濕式蝕刻速率。在一些實施例中,硼與碳膜在稀HF中具有小於約0.2 nm/min,較佳地小於約0.1 nm/min且更佳小於約0.05 nm/min之濕式蝕刻速率。在一些實施例中,硼與碳膜在濕式蝕刻劑中可展現小於約0.2 nm/min,較佳小於約0.1 nm/min且更佳小於約0.05 nm/min之蝕刻速率,所述濕式蝕刻劑包括硝酸(HNO3 )、氫氧化鈉(NaOH)、氫氯酸(HCl)、硫酸(H2 SO4 )及/或磷酸(H3 PO4 )。在一些實施例中,濕式蝕刻速率低於使用所述蝕刻劑中之一者的檢測極限。
在一些實施例中,根據本文所描述之一或多種方法形成的硼與碳膜顯示所需耐蝕刻性同時具有所需膜密度,諸如約2.0 g/cm3 至約2.5 g/cm3 之膜密度。舉例而言,硼與碳膜可具有如本文所描述的濕式蝕刻速率同時具有約2.0 g/cm3 至約2.5 g/cm3 之膜密度。
在一些實施例中,硼與碳膜在對其進行沈積後處理製程(諸如本文中進一步詳細描述的沈積後處理製程)之前可顯示一或多種這些所需特徵。在一些實施例中,沈積後處理製程進一步改良一或多種這些所需特徵。
在一些實施例中,諸如與沈積於不同材料(諸如不包括矽之材料)之表面上的硼與碳膜相比,沈積於包括矽之表面上(例如於諸如矽層、氮化矽層、矽酸鹽層等的矽類層之表面上)的硼與碳膜可顯示提高之均一性及/或共形性。舉例而言,沈積於氮化矽(silicon nitride,SiN)表面上(例如於氮化矽層之表面上,例如於氮化矽基板上)的硼與碳膜可顯示提高之均一性。不受任何特定理論或操作方式限制,矽類表面與硼與碳膜之一或多種組分之間的改良之相互作用可有利地促進沈積膜之改良的均一性及/或共形性。
如上文所論述,硼與碳膜通常主要包括硼與碳。為方便及簡單起見,硼與碳膜之化學式在本文中一般稱為B,C。然而,本領域具有通常知識者應理解B,C膜之實際化學式可為Bx C。在一些實施例中,舉例而言,x可在約0.1至約25範圍內改變。在一些情況下,x較佳在約1至約10範圍內且更佳地在約1至約2範圍內改變。舉例而言,x可為約1.5。
在一些實施例中,藉由化學汽相沈積(chemical vapor deposition, CVD)製程將硼與碳膜沈積於基板上,所述CVD製程包括在低於約400℃之溫度下且在約0.1托至約10托(單位:Torr)之壓力下於基板表面上分解一或多種硼前驅體(例如硼反應物)。在一些實施例中,硼前驅體可包括硼與碳。因此,在一些實施例中,用於沈積硼與碳膜之CVD製程可包含分解單一的包括硼與碳之硼前驅體。在一些實施例中,CVD製程包括於基板表面上分解兩種或多於兩種前驅體以形成硼與碳膜。在一些實施例中,兩種或多於兩種前驅體中之至少一者包括硼(B)。在一些實施例中,兩種或多於兩種前驅體中之至少一者包括碳(C)。
在一些實施例中,未將電漿用於硼與碳膜之沈積或實質上未將電漿用於硼與碳膜之沈積(例如未將電漿用於硼與碳膜生長或實質上未將電漿用於硼與碳膜生長)。在一些實施例中,CVD製程可為脈衝熱CVD製程,其中提供單一的硼前驅體之多個脈波以沈積具有所需厚度之膜。在一些實施例中,提供硼前驅體之單個脈衝以沈積具有所需厚度之膜。在前驅體之分解中熱CVD製程可不包括電漿或實質上不包括電漿。在一些實施例中,可在硼前驅體脈波之間進行淨化步驟,例如以自反應空間移除過量反應物及/或反應副產物。在一些實施例中,可將基板移動至不暴露於前驅體之空間。在一些實施例中,硼前驅體脈衝可包括一或多種載體氣體,諸如氮氣、氦氣、氖氣及/或氙氣。在一些實施例中,硼前驅體脈衝包括兩種或多於兩種載體氣體之混合物。在一些實施例中,兩種或多於兩種載體氣體之混合物包括氬氣及/或氫氣。舉例而言,兩種或多於兩種載體氣體之混合物可包括由氮氣、氦氣、氖氣、氙氣、氬氣以及氫氣中選出的兩種或多於兩種氣體。
圖1展示說明形成硼與碳(B,C)膜之製程的流程圖100。在區塊102中,使基板暴露於一或多種汽相硼反應物(例如硼及/或碳前驅體)。載體氣體可用以轉移一或多種汽相硼反應物至基板。在一些實施例中,載體氣體可促進反應物之間及/或反應物與用於形成硼與碳膜的基板表面之間的一或多種相互作用,同時對硼與碳膜之生長不起作用或實質上不起作用。
在一些實施例中,使基板暴露於單一的汽相硼反應物。在一些實施例中,單一的汽相硼反應物包括硼(B)及碳(C)。在一些實施例中,使基板暴露於兩種或多於兩種汽相硼反應物。舉例而言,兩種或多於兩種汽相硼反應物中之至少一者包括碳(C)。在一些實施例中,兩種或多於兩種汽相硼反應物中之至少一者包括硼(B)。
在一些實施例中,載體氣體可包括惰性載體氣體,諸如氮氣(N2 )、氦氣(He)、氙氣(Xe)及/或氖氣(Ne)。在一些實施例中,載體氣體可包括兩種或多於兩種氣體之混合物,包含由氮氣、氦氣、氖氣、氙氣、氬氣及氫氣中選出的兩種或多於兩種氣體。在區塊104中,諸如在脈衝CVD製程中,可使基板重複暴露於載體氣體及一或多種汽相硼反應物多次。舉例而言,可使基板暴露於載體氣體及一或多種硼碳汽相反應物持續第一持續時間,且可重複暴露約5次至約5,000次,包含約100次至約3,000次,包含約1000次以及約2,000次。在每個重複或循環中持續時間可為相同的或可在一或多個循環之間改變。舉例而言,可選擇重複之數目以促進具有所需厚度之硼與碳膜之沈積。在一些實施例中,使基板暴露於載體氣體及一或多種汽相硼反應物之後可中斷一或多種汽相硼反應物流動進入反應空間。在一些實施例中,使基板暴露於載體氣體及一或多種汽相硼反應物之後可進行淨化步驟及/或轉移基板至遠離反應物的空間(例如使得基板不暴露於反應物或實質上不暴露於反應物)。淨化步驟可經設置以自反應器腔室移除一或多種過量反應物及/或反應副產物。在一些實施例中,每次使基板暴露於載體氣體及一或多種汽相硼反應物之後跟隨有淨化步驟及/或基板之轉移。舉例而言,在每一循環中每次使基板暴露於反應物之後,可移動基板至不含或實質上不含反應物之空間或可淨化反應器之過量反應物及/或反應副產物。在一些實施例中,淨化步驟包括載體氣體之持續流動(例如載體氣體(諸如多組分載體氣體之至少一個組分)以與反應物脈衝期間之流動速率相比相同或不同的流動速率持續流動)。舉例而言,沈積硼與碳膜之製程100可包含使載體氣體持續流動同時使一或多種汽相硼反應物週期性流動。
在一些實施例中,沈積硼與碳(B,C)膜之製程可包含化學汽相沈積(chemical vapor deposition,CVD)製程。參考圖1,在一些實施例中,製程100包含在降低的處理溫度(例如低於約400℃之溫度)下進行的熱CVD製程。熱CVD製程可為不施用或實質上不施用電漿之製程,(諸如)以促進用於沈積膜之前驅體之分解。如本文中所提及的處理溫度可包括反應器腔室基座、反應器腔室壁之溫度及/或基板自身之溫度。舉例而言,在一些實施例中沈積硼與碳膜之製程100可在高達約400℃之處理溫度下進行。在一些實施例中,沈積硼與碳膜之製程100可在約325℃至約400℃、較佳約350℃至約400℃且最佳約375℃至約400℃之處理溫度下進行。不受任何特定理論或操作方式限制,在低於約400℃之溫度下沈積硼與碳膜可有利地促進表面反應受限範圍中之沈積,促進形成具有本文所描述的一或多種所需特徵(例如提高的保形性效能及/或降低的蝕刻速率)之硼與碳膜。
在一些實施例中,硼與碳(B,C)膜之沈積可在約400℃至約450℃(包含例如約400℃至約425℃)之處理溫度下進行。
根據本發明之一些實施例,在處理期間反應器腔室之壓力維持在約0.01托至約50托、較佳約0.1托至約10托。在一些實施例中,硼與碳膜之沈積可在約0.5托至約3托之反應器腔室壓力下進行。所選擇的反應腔室壓力可用以促進形成具有所需共形性及/或蝕刻速率效能之硼與碳膜。
如本文所描述,載體氣體可包括惰性載體氣體,諸如氮氣(N2 )、氦氣(He)、氙氣(Xe)及/或氖氣(Ne)。舉例而言,圖1之區塊102可包含使基板暴露於一或多種硼反應物及氮氣。不受任何特定理論或操作方式限制,氮氣、氦氣、氙氣以及氖氣可展現提高的熱導率(例如與諸如氬氣(Ar)之其他惰性載體氣體之熱導率相比更高的熱導率),由此促進一或多種硼及/或碳前驅體之分解。此外,不受任何特定理論或操作方式限制,具有提高的熱導率之載體氣體可促進一或多種硼及/或碳前驅體在三維基板表面之高縱橫比特徵中之分解,以促進在高縱橫比特徵上方形成共形及/或耐蝕刻的硼與碳膜。舉例而言,使用包括氮氣、氦氣、氙氣及/或氖氣之載體氣體(包含包括氮氣、氦氣、氙氣、氖氣、氬氣及/或氫氣中之兩者或多於兩者的載體氣體混合物)可促進形成共形及/或耐蝕刻的硼與碳膜。
在一些實施例中,沈積硼與碳(B,C)膜之製程100包括脈衝熱CVD製程。舉例而言,製程100可包括諸如藉由供應反應物脈衝至反應器腔室中持續所需持續時間來使基板與反應物接觸持續所需時間量。反應物脈衝可包括載體氣體(例如氮氣、氦氣及/或氖氣)及一或多種硼反應物。在一些實施例中,重複反應物脈衝多次以沈積具有所需厚度及/或組成之硼與碳膜。在一些實施例中,一或多種反應物脈衝之後可為淨化步驟及/或轉移基板至不含或實質上不含反應物的空間中。舉例而言,可首先將基板轉移至不含或實質上不含反應物之空間,且隨後可淨化反應器腔室之任何過量反應物及/或反應副產物。舉例而言,多個反應物脈衝之每一反應物脈衝之後可為淨化步驟及/或轉移基板至不含或實質上不含反應物之空間。淨化步驟可經設置以自反應器腔室移除一或多種過量反應物及/或反應副產物。舉例而言,淨化步驟可包括使一或多種淨化氣體流動通過反應器腔室及/或抽空反應器腔室以移除或實質上移除過量反應物及/或反應副產物(例如藉由在反應器腔室上抽真空)。在一些實施例中,淨化氣體包括惰性氣體。在一些實施例中,淨化氣體包括氮氣。在一些實施例中,淨化氣體包括稀有氣體。
在一些實施例中,反應物脈衝之後可中斷一或多種汽相硼反應物流動進入反應器腔室同時使載體氣體持續流動。舉例而言,淨化步驟可包括載體氣體之持續流動(例如在與反應物脈衝期間之流動速率相比相同的流動速率或不同的流動速率(諸如更高流動速率)下)以淨化反應器腔室。舉例而言,淨化步驟可包括載體氣體之至少一個組分之持續流動以淨化反應器腔室,所述載體氣體包括兩種或多於兩種氣體之混合物。在一些實施例中,沈積硼與碳膜之製程100可包含使載體氣體持續流動同時使一或多種汽相硼反應物交替流動。
可選擇反應物脈衝之持續時間以提供所需量之一或多種硼反應物至反應器腔室中。在一些實施例中,反應物脈衝可具有約0.1秒(s)至約5秒(包含約0.1秒至約1秒)之持續時間。舉例而言,反應物脈衝可具有約0.5秒之持續時間。在一些實施例中,反應物脈衝之間的間隔可為約1秒至約15秒。在一些實施例中,間隔包括自反應器腔室淨化過量反應物及/或反應副產物之淨化步驟。在一些實施例中,間隔包括轉移基板至不含或實質上不含反應物之空間。舉例而言,間隔可包括轉移基板至不含或實質上不含反應物之空間及具有約1秒至約15秒(包含約1秒至約10秒)之持續時間的淨化步驟。舉例而言,淨化步驟可具有約5秒之持續時間。
在一些實施例中,可基於在其上沈積硼與碳膜的基板之表面積、在其上沈積硼與碳膜的三維(3-D)結構之縱橫比及/或反應器腔室之設置來選擇反應物脈衝之持續時間及/或反應物脈衝之間的間隔(例如包含例如淨化步驟之持續時間)。舉例而言,反應物脈衝及/或反應物脈衝之間的間隔可具有增加的用於沈積硼與碳膜於較大表面積、具有提高的縱橫比之3-D結構上及/或用於在分批反應器中沈積之持續時間。在一些實施例中,選擇增加的反應物脈衝持續時間及/或反應物脈衝之間的間隔用於超高縱橫比特徵上之沈積,所述超高縱橫比特徵包含例如具有約40:1及高於40:1(包含約80:1及高於80:1)之縱橫比的特徵。
在一些實施例中,自相應的以蒸氣形式儲存反應物的源容器供應一或多種硼反應物至反應器腔室中。各反應物之蒸氣壓可促進傳遞反應物至反應器腔室中。舉例而言,可使用蒸氣抽取技術提供氣化反應物至反應器腔室中。在一些實施例中,可維持源容器在約20℃至約25℃之溫度下。例如藉由控制用於提供氣化反應物至反應器腔室中的供應閥門持續打開的程度可控制進入反應器腔室中的氣化反應物質量流動速率。
在一些實施例中,適合之硼反應物可包含一或多種包括B-C鍵之化合物。在一些實施例中,適合之硼反應物可包含具有至少一個有機配位體之硼化合物。在一些實施例中,有機配位體可具有雙鍵及/或三鍵。在一些實施例中,有機配位體可為環狀配位體。在一些實施例中,有機配位體可包括非定域電子。在一些實施例中,適合之硼反應物可包含三烷基硼化合物。在一些實施例中,適合之硼反應物可包含三乙基硼(B(C2 H5 )3 ,TEB)。在一些實施例中,適合之硼反應物可包含三甲基硼(B(CH3 )3 ,TMB)。在一些實施例中,適合之硼反應物可包含具有直鏈或分支鏈烷基之三烷基硼化合物,所述直鏈或分支鏈烷基包含例如直鏈或分支鏈C3-C8,且更佳包含直鏈或分支鏈C3-C5。適合之硼反應物可包含各種其他含硼反應物。在一些實施例中,硼反應物可包含鹵化硼、烷基硼及/或硼烷。在一些實施例中,硼反應物可包含鹵化硼、鹵化硼烷以及其複合物。舉例而言,適合之鹵化硼可具有約0.5至約1之硼與鹵素比率。
適合之硼烷可包含根據化學式I或化學式II之化合物。
Bn Hn+x (化學式I)
其中n為1至10、較佳地2至6之整數,且x為偶整數,較佳地4、6或8。
Bn Hm (化學式II)
其中n為1至10、較佳地2至6之整數,且m為不同於n的1至10、較佳地2至6之整數。
上列根據化學式I的硼烷之實例包含巢式硼烷(Bn Hn+4 )、網式硼烷(Bn Hn+6 )以及敞網式硼烷(Bn Hn+8 )。根據化學式II之硼烷之實例包含聯式硼烷(Bn Hm )。此外,可使用諸如(CH3 CH2 )3 N-BH3 之硼烷複合物。
在一些實施例中,適合之硼反應物可包含鹵化硼烷,尤其氟化硼烷、溴化硼烷以及氯化硼烷。適合之化合物之實例為B2 H5 Br。其他實例包括具有高硼/鹵素比率之鹵化硼,諸如B2 F4 、B2 Cl4 以及B2 Br4 。亦有可能使用鹵化硼烷複合物。
在一些實施例中,根據化學式III之鹵代硼烷可為適合之硼反應物。
Bn Xn (化學式III)
其中X為Cl或Br,且當X為Cl時n為4或8至12之整數,或當X為Br時n為7至10之整數。
在一些實施例中,根據化學式IV之碳硼烷可為適合之硼反應物。
C2 Bn Hn+x (化學式IV)
根據化學式IV之碳硼烷之實例包含閉式碳硼烷(C2 Bn Hn+2 )、巢式碳硼烷(C2 Bn Hn+4 )以及網式碳硼烷(C2 Bn Hn+6 )。
在一些實施例中,根據化學式V之胺-硼烷加合物可為適合之硼反應物。
R3 NBX3 (化學式V)
其中R為直鏈或分支鏈C1至C10,較佳地C1至C4烷基或H,且X為直鏈或分支鏈C1至C10,較佳C1至C4烷基、H或鹵素。
在一些實施例中,根據化學式VI之B上的一或多個取代基為胺基之胺基硼烷可為適合之硼反應物。
R2 N(化學式VI)
其中R為直鏈或分支鏈C1至C10,較佳C1至C4烷基或經取代或未經取代之芳基。
適合之胺基硼烷之實例為(CH3 )2 NB(CH3 )2
在一些實施例中,適合之硼反應物可包含環狀硼氮炔(-BH-NH-)3 及/或其揮發性衍生物。
在一些實施例中,烷基硼或烷基硼烷可為適合之硼反應物,其中烷基典型地為直鏈或分支鏈C1至C10烷基,較佳C2至C4烷基。
根據一些實施例,沈積硼與碳(B,C)膜之製程包括在約375℃至約400℃之製程溫度下且在約0.5托至約3托之壓力下進行的脈衝熱CVD製程。製程可包含使基板與包括作為載體氣體之氮氣及作為硼與碳反應物之三乙基硼(triethylboron,TEB)的反應物脈衝接觸。可在約20℃至約25℃之溫度下自儲存TEB之源容器抽取TEB源(例如可在約兩次轉動中保持用於提供TEB流至反應器腔室中的針閥打開)。反應物脈衝可具有約0.5秒之持續時間。在一些實施例中,製程之單個循環可依序包含反應物脈衝與基板不暴露於反應物之時間段(諸如淨化步驟)。淨化步驟可包括使不含反應物的氮氣流動(例如)約5秒之持續時間。製程可包含重複依序包括反應物脈衝與淨化步驟的循環多次以獲得具有所需厚度及/或組成之硼與碳膜。舉例而言,可重複循環多達約1,000次、約1,500次、約2,000次或約5,000次。在一些實施例中,可重複循環約2次至約1,000次,包含約2次至約2,000次、約3次至約2,000次或約5次至約5,000次。在一些實施例中,可重複循環約10次至約100次。在一些實施例中,可重複循環約50次至約2,000次。在一些實施例中,可重複循環約100次至約1,500次。
根據本文所描述之一或多種製程形成的一或多種硼與碳(B,C)膜可有利地顯示所需共形性(諸如當將其沈積於3-D基板表面之高縱橫比特徵上時)及/或所需蝕刻速率效能(例如濕式蝕刻速率效能,諸如在稀HF溶液中的濕式蝕刻速率效能)。膜亦可展現減小的膜密度,諸如約2.0 g/cm3 至約2.5 g/cm3 之膜密度。在一些實施例中,例如當硼與碳膜形成於具有約3:1或高於3:1、(包含)約10:1或高於10:1、約25:1或高於25:1或約50:1或高於50:1之縱橫比的3-D結構上時,硼與碳膜可顯示大於約80%,較佳大於約90%且更佳大於約95%之共形性。在一些實施例中,當硼與碳膜形成於具有約20:1或大於20:1、約40:1或大於40:1或約80:1或大於80:1之縱橫比的3-D結構上時,硼與碳膜可顯示大於約80%,較佳大於約90%且更佳大於約95%之共形性。舉例而言,當沈積於3-D基板表面之高縱橫比特徵(包含高達約250:1之縱橫比,包含約150:1及約100:1)上時,根據本文所描述之一或多種製程所形成的一或多種硼與碳膜可顯示大於約95%之共形性效能。
如本文所描述,硼與碳(B,C)膜可用於一些實施例作為在半導體裝置製造製程中之犧牲膜。舉例而言,在蝕刻製程中可選擇性地移除硼與碳膜。在一些實施例中,硼與碳膜可形成最終的半導體裝置之一部分。舉例而言,硼與碳膜可比用於半導體裝置之製造中的一或多種其他材料更耐蝕刻。在一些實施例中,藉由乾式蝕刻製程及/或濕式蝕刻製程可蝕刻硼與碳膜。在一些實施例中,在半導體裝置之製造期間使用包括氯(Cl)及/或氟(F)之蝕刻製程(諸如含氯及/或氟電漿製程)可選擇性地移除犧牲性硼與碳膜。在一些實施例中,硼與碳膜對一或多種蝕刻劑(包含濕式蝕刻劑,諸如稀HF溶液)的耐受性可更高。
在一些實施例中,硼與碳膜可顯示所需濕式蝕刻選擇性,諸如相對於熱氧化矽(TOX)層之濕式蝕刻選擇性。舉例而言,硼與碳膜可比熱氧化矽層更耐濕式蝕刻,具有小於約1(例如在稀HF溶液中)、小於約0.5或小於約0.3的硼與碳膜之濕式蝕刻速率與熱氧化矽層之濕式蝕刻速率的比率。在一些實施例中,硼與碳膜之濕式蝕刻速率與熱氧化矽層之濕式蝕刻速率的比率可小於約0.1。在一些實施例中,硼與碳膜之濕式蝕刻速率與熱氧化矽層之濕式蝕刻速率的比率可小於約0.05。
在一些實施例中,硼與碳膜可有利地顯示所需濕式蝕刻速率,包含在稀HF溶液中之蝕刻速率。舉例而言,硼與碳膜可有利地顯示低於約0.2 nm/min,包含較佳低於約0.1 nm/min,更佳低於約0.05 nm/min且最佳低於約0.02 nm/min之蝕刻速率。
在一些實施例中,在以下濕式蝕刻劑溶液中及在指定溫度下硼與碳膜可顯示低於約0.2 nm/min,包含較佳低於約0.1 nm/min,更佳低於約0.05 nm/min且最佳低於約0.02 nm/min之濕式蝕刻速率:在約室溫(例如約25℃之溫度)下約85重量%之濃度的磷酸(H3 PO4 )溶液中、在約80℃下濃硝酸HNO3 溶液(例如具有約65重量%至約75重量%之HNO3 濃度的溶液)中、在約室溫(例如約25℃之溫度)下5.5重量%氫氟酸(hydrofluoric acid,HF)中、在約室溫(例如約25℃之溫度)下具有約1:1:5之硝酸:氫氟酸:水(HNO3 :HF:H2 O)之比率的溶液中、在約室溫(例如約25℃之溫度)下具有約10重量%之NaOH濃度之氫氧化鈉(NaOH)水溶液中、在約室溫(例如約25℃之溫度)下濃鹽酸(HCl)溶液(例如具有約35重量%至約40重量%之HCl濃度之溶液)中以及在約室溫(例如約25℃之溫度)下濃硫酸(H2 SO4 )溶液(例如具有大於約90重量%之H2 SO4 濃度的溶液)中。
在一些實施例中,可選擇性地移除硼與碳膜。在一些實施例中,在裝置中硼與碳膜可具有相對於另一材料(諸如具有不同組成之膜)約5或大於5之蝕刻選擇性(例如乾式蝕刻及/或濕式蝕刻選擇性),包含約10或大於約、約20或大於20或約50或大於50之選擇性。
在一些實施例中,例如與沈積於三維特徵之頂表面上的膜之一部分之蝕刻速率相比沈積於三維結構之側壁上的硼與碳膜之一部分可顯示所需蝕刻速率。在一些實施例中,沈積於三維結構之側壁上的硼與碳膜之一部分可顯示與沈積於結構之頂表面上的硼與碳膜之一部分一致或實質上一致的蝕刻速率。舉例而言,硼與碳膜之側壁部分的蝕刻速率比硼與碳膜的頂表面部分之蝕刻速率的比率可小於約4,包含小於約2、約1.5。在一些實施例中,比率為約1。在一些實施例中,可在暴露於一或多種電漿製程(諸如如本文所描述的電漿沈積後處理製程)之後維持硼與碳膜之頂表面部分及側壁部分的一致性。
可調節硼與碳(B,C)膜生長製程之一或多個製程參數以獲得所需的硼與碳膜特徵。舉例而言,可確定硼反應物、反應物脈衝之持續時間、淨化步驟之持續時間、製程溫度及/或反應物脈衝之重複次數之選擇以提供包括所需特徵之硼與碳膜。在一些實施例中,反應物脈衝及淨化步驟的一個循環之一或多個參數可不同於另一循環(例如如參考圖1所描述的反應物脈衝及淨化步驟之一個循環)之參數。在一些實施例中,硼反應物可具有B-C鍵。在一些實施例中,硼反應物包括至少一個有機配位體(諸如烴配位體),包含包括烷基之硼反應物。
圖2展示根據一些實施例之形成硼與碳(B,C)膜的製程之另一實例之流程圖200。在區塊202中,基板暴露於硼與碳膜生長製程。硼與碳膜生長製程可包括用於沈積具有所需厚度及/或組成之硼與碳膜之沈積製程,諸如脈衝熱CVD製程。舉例而言,硼與碳膜生長製程可包括重複依序包括反應物脈衝與淨化步驟(例如如參考圖1所描述的反應物脈衝及淨化步驟)之循環多次。可重複循環多次以獲得所需硼與碳膜厚度及/或組成。
在區塊204中,可在所沈積的硼與碳膜上進行沈積後處理製程。在一些實施例中,沈積後處理製程包括電漿製程。舉例而言,處理製程可包括使所沈積的硼與碳膜與一或多個給予能量的物質接觸一段時間。在一些實施例中,沈積後處理製程包括使包括硼與碳膜之基板與電漿接觸。舉例而言,可使基板與使用含氮化合物(例如氮氣)、稀有氣體及/或含氧化合物(例如氧氣及/或臭氧)所生成的電漿接觸。在一些實施例中,沈積後處理製程之後可為淨化步驟。舉例而言,淨化步驟可包含氮氣及/或一或多種惰性氣體之流。在一些實施例中,在沈積後處理製程之後的淨化反應器腔室可包含關閉電漿電源同時使用以產生用於沈積後處理製程的電漿之一或多種氣體持續流動。舉例而言,在淨化步驟期間可使用於產生用於沈積後處理製程之電漿的一或多種氣體持續流動至反應器中同時關閉電漿功率,一或多種氣體在淨化步驟期間之流動速率與在沈積後處理製程期間之流動速率相同或不同。
在一些實施例中,使硼與碳膜暴露於沈積後處理製程可促進經處理硼與碳膜之(例如)與未進行沈積後處理製程所形成的硼與碳膜之蝕刻速率相比進一步降低的蝕刻速率。不受任何特定理論或操作方式限制,使硼與碳膜暴露於如本文所描述的沈積後處理製程可提高硼與碳膜之密度,由此得到展現與未經處理之硼與碳膜相比降低的蝕刻速率之經處理之硼與碳膜。在一些實施例中,在使硼與碳膜暴露於沈積後處理製程之後沈積於三維結構之側壁上的硼與碳膜之一部分之蝕刻速率可顯示與沈積於結構之頂表面上的硼與碳膜之一部分一致或實質上一致的蝕刻速率(例如(諸如)與在沈積後處理製程之前膜的蝕刻速率一致性相比,在暴露於沈積後處理製程之電漿製程之後可維持硼與碳膜的頂部部分與側壁部分之間的蝕刻速率一致性)。舉例而言,在沈積後處理製程之後硼與碳膜之側壁部分的蝕刻速率與硼與碳膜之頂表面部分的蝕刻速率之比率可小於約4,包含小於約2、約1.5。在一些實施例中,比率為約1。
如本文所描述,在一些實施例中,沈積後處理製程可包括使所沈積的硼與碳膜與含氮電漿接觸(例如使所沈積的硼與碳膜與含氮基團及/或離子接觸)。一或多種含氮化合物可用以產生含氮電漿,諸如不具有氫(H)之含氮化合物。舉例而言,沈積後處理製程可包括使用氮氣(N2 )生成的高能物質。
在一些實施例中,沈積後處理製程包括使硼與碳膜暴露於含氮電漿持續約1秒至約500秒、10秒至約300秒(包含約10秒至約100秒或約10秒至約50秒)之持續時間。可在約100℃至約500℃(包含約200℃至約500℃及約200℃至約400℃)之製程溫度及約0.1托至約20托(包含約1托至約10托及約1托至約8托)之壓力下進行含氮電漿沈積後處理製程。在一些實施例中,用於產生含氮電漿之電漿功率可為約50瓦特(W)至約2000瓦特,包含約50瓦特至約1000瓦特、約100瓦特至約400瓦特以及約200瓦特至約400瓦特。
在一些實施例中,沈積後處理製程包括使所沈積的硼與碳膜與含稀有氣體電漿接觸(例如使所沈積的硼與碳膜與含稀有氣體基團及/或離子接觸)。舉例而言,沈積後處理製程可包括電漿,所述電漿包括使用氦氣(He)、氬氣(Ar)及/或氖氣(Ne)生成的高能物質。在一些實施例中,沈積後處理製程包括使硼與碳膜暴露於含稀有氣體電漿持續約10秒(s)至約300秒(包含約10秒至約100秒)之持續時間。可在約100℃至約500℃(包含約200℃至約500℃及約200℃至約400℃)之製程溫度及約0.1托至約20托(包含約1托至約10托及約1托至約8托)之壓力下進行含稀有氣體電漿沈積後處理製程。在一些實施例中,用於產生含稀有氣體電漿之電漿功率可為約50瓦特(W)至約2000瓦特,包含約50瓦特至約1000瓦特、約100瓦特至約400瓦特以及約200瓦特至約400瓦特。
在一些實施例中,沈積後處理製程包括使所沈積的硼與碳膜與含氧(O)電漿接觸(例如使所沈積的硼與碳膜與含氧基團及/或離子接觸)。在一些實施例中,含氧電漿可使用含氧化合物(諸如氧氣(O2 及/或臭氧(O3 ))生成。在一些實施例中,沈積後處理製程可包括使硼與碳膜暴露於含氧電漿持續約10秒(s)至約300秒(包含約10秒至約100秒)之持續時間。可在約100℃至約500℃(包含約200℃至約500℃及約200℃至約400℃)之製程溫度及約0.1托至約20托(包含約1托至約10托及約1托至約8托)之壓力下進行含氧電漿沈積後處理製程。在一些實施例中,用於產生含氧電漿之電漿功率可為約50瓦特(W)至約2000瓦特,包含約50瓦特至約1000瓦特、約100瓦特至約400瓦特以及約200瓦特至約400瓦特。
在一些實施例中,含氧電漿(例如使用氧氣及/或臭氧所生成的)沈積後處理製程可提高硼與碳膜之折射率。在一些實施例中,含氧電漿沈積後處理製程可減小硼與碳膜之厚度(例如經處理膜之厚度可小於暴露於沈積後處理製程之前的膜之厚度)。不受任何特定理論或操作方式限制,使硼與碳膜暴露於含氧電漿可促進用氧(O)置換膜之氫(H)及/或碳(C)組分,(例如)以產生含BOx 膜。進一步不受任何特定理論或操作方式限制,硼與碳膜之組成之變化(諸如用氧(O)置換膜之氫(H)及/或碳(C)組分)可藉由膜之折射率的降低及/或膜厚之減小(例如歸因於增加的膜密度及/或揮發性BOx 物質之移除)來反映。舉例而言,在某些條件下使硼與碳膜暴露於含氧電漿可使得完全或實質上完全移除所沈積的硼與碳膜(例如硼與碳膜之電漿蝕刻)。
在一些實施例中,可在一旦沈積具有所需厚度及/或組成之硼與碳膜之後進行沈積後處理製程。在一些實施例中,可在沈積硼與碳膜之沈積製程之多個循環的每次重複之後(例如在如參考圖1所描述的反應物脈衝及淨化步驟之循環的多次重複之後)的間隔時進行沈積後處理製程。舉例而言,可在硼與碳膜沈積製程之每1、2、5、10、100、1,000次循環之後進行沈積後處理製程。其他循環次數亦可為適合的。在一些實施例中,沈積硼與碳膜之製程的循環次數比形成具有所需特徵之硼與碳膜之沈積後處理製程的循環次數之比率(例如Y:X之比率)可為約5,000:1至約1:1,包含約2,000:1至約50:1。在一些實施例中,沈積硼與碳膜之製程的循環次數比沈積後處理製程之循環次數之比率可為約1,500:1至約1:1,包含約1,000:1至約1:1、約500:1至約1:1、約100:1至約1:1、約50:1至約1:1以及約20:1至約1:1。
在一些實施例中,可調節沈積後處理製程之一或多個參數以促進具有所需特徵之硼與碳膜的形成。舉例而言,可選擇製程之持續時間、電漿功率、壓力、電漿組成及/或重複次數以促進產生具有所需蝕刻特徵之硼與碳膜。
用於本文所描述之一或多種硼與碳(B,C)膜沈積製程的適合之反應腔室可為進行積體電路之形成中的各種不同製程之組合工具之部分。在一些實施例中,本文所描述之一或多種硼與碳膜沈積製程可在分批反應器中進行,包含例如在微型分批反應器(例如具有八個基板或少於八個基板之容量的反應器)及/或鍋爐分批反應器(例如具有五十或多於五十個基板之電容器的反應器)中進行。在一些實施例中,本文所描述之一或多種硼與碳膜沈積製程可在單晶圓反應器中進行。在一些實施例中,空間反應器腔室可為適合的。在一些實施例中,具有交叉流動設置之反應器腔室(例如經設置以提供平行或實質上平行於定位在反應器腔室中的基板表面之氣流的反應器腔室)可為適合的。在一些實施例中,具有噴頭設置之反應器腔室(例如經設置以提供垂直或實質上垂直於定位在反應器腔室中的基板表面之氣流的反應器腔室)可為適合的。
示例性單晶圓反應器可以商品名脈衝星®2000(Pulsar® 2000)及脈衝星®3000(Pulsar®3000)購自先進半導體材料美國公司(ASM America, Inc)(亞利桑那州,菲尼克斯)及以商品名鷹®(Eagle®)XP及XP8購自先進半導體材料日本株式會社(ASM Japan K.K)(日本,東京)。示例性分批ALD反應器可以商品名A400™及A412™購自先進半導體材料歐洲荷蘭公司(ASM Europe B.V)(荷蘭,阿爾梅勒)。B,C 膜之實例
圖3為根據一些實施例所沈積的硼與碳(B,C)膜之生長速率(以埃/循環(Å/cycle)計)對比製程溫度(以攝氏度計)之圖表。在具有交叉流動設置之脈衝星®3000反應器腔室中使用脈衝熱CVD製程沈積圖3之硼與碳(B,C)膜。脈衝熱CVD製程之一個循環依序包含具有約0.5秒之持續時間的反應物脈衝與具有約5秒之持續時間的淨化步驟。反應物脈衝包含供應TEB及氮氣至反應器腔室中。使用蒸氣抽取方法藉由自維持在約20℃之溫度下的源容器提供氣化TEB來供應TEB至反應器腔室中。維持反應物脈衝期間的反應器腔室之壓力在約0.1托至約10托下。淨化步驟包含使氮氣流動通過反應器腔室。量測根據脈衝熱CVD製程在約375℃、約400℃以及約450℃之製程溫度下所沈積的硼與碳膜之生長速率。如圖3中所展示,硼與碳膜之生長速率/循環隨著逐漸升高的製程溫度提高。如圖3中所展示,使用這類脈衝熱CVD製程所沈積的硼與碳膜可與製程溫度呈線性或實質上呈線性關係。
藉由拉塞福背向散射光譜法(rutherford backscattering spectrometry,RBS)量測根據參考圖3所描述的製程在約400℃之製程溫度下所沈積的硼與碳(B,C)膜之組成,且發現硼與碳膜具有約B0.608 C0.392 或B1.5 C之硼與碳化學計量。藉由光譜橢圓偏振儀量測根據參考圖3所描述的製程在約400℃之製程溫度下所沈積的硼與碳膜之折射率。發現折射率在約633 nm之波長處為約1.98。量測根據參考圖3所描述的製程在約400℃之製程溫度下所沈積的膜在稀氫氟酸溶液(例如0.5重量%HF水溶液)中之濕式蝕刻速率效能,且發現所述膜出人意料地耐稀HF溶液。發現在稀HF溶液中之濕式蝕刻速率為可忽略的,例如在暴露於稀HF溶液長達約10分鐘(例如長達約10分鐘之dHF浸漬)之後。在一些實施例中,在長達約30分鐘或大於30分鐘暴露之後觀察到可忽略的蝕刻速率。發現這些膜在稀氫氟酸溶液中之濕式蝕刻速率低於熱氧化矽(thermal silicon oxide,TOX)之濕式蝕刻速率之0.3倍。
圖4展示根據參考圖3所描述的製程在約400℃之製程溫度下所沈積的硼與碳(B,C)膜之傅里葉變換紅外光譜學(FTIR)分析。FTIR分析展示硼與碳膜中C-H鍵、B-H鍵、B-C鍵、B-B鍵以及C-C鍵之存在。舉例而言,約2902公分- 1 處之峰可歸因於膜中的C-H鍵且約2573公分- 1 處之峰可歸因於膜中的B-H鍵。1201公分- 1 及1051公分-1 處之峰指示存在B-C鍵、B-B鍵以及C-C鍵。
在使用如參考圖3所描述的製程時在約400℃之製程溫度下將硼與碳(B,C)膜沈積於具有約300毫米(mm)之直徑的毯覆式晶圓上。在具有交叉流動設置之脈衝星®3000反應器腔室中進行沈積。在施用圖3之脈衝熱CVD製程之1,000次循環之後在約35.58 nm處量測平均膜厚度。所沈積的硼與碳膜展示(例如)與諸如晶圓之前邊緣(例如更遠離晶圓凹口之晶圓邊緣之一部分)的晶圓之邊緣相比在朝向晶圓之中心處增加的厚度。不受任何特定理論或操作方式限制,這類厚度特徵可指示表面反應受限生長機制,且厚度變化可歸因於定位晶圓之基座的溫度變化。表面反應受限生長可有利地促進3-D特徵上的硼與碳膜之沈積的改良之膜共形性效能。
在具有交叉流動設置的脈衝星®3000反應器腔室中在約450℃之製程溫度下將硼與碳(B,C)膜沈積於毯覆式晶圓上。在施用圖3之脈衝熱CVD製程之1,000次循環之後所沈積的硼與碳膜之平均厚度為約81.88 nm。與在約400℃沈積的硼與碳膜下相比,在450℃下沈積的膜顯示增加的厚度。例如與在400℃下沈積的硼與碳膜相比所沈積的硼與碳膜在較接近於毯覆式晶圓之前邊緣處具有增加的厚度。不受任何特定理論或操作方式限制,鄰近於前邊緣處之增加的厚度可指示質量轉移受限膜生長機制(對比表面反應受限生長機制)。
圖5A至圖5D為展示使用如參考圖3所描述的沈積製程而沈積於高縱橫比溝槽結構500上之硼與碳(B,C)膜之橫截面視圖的掃描電子顯微術(scanning electron microscopy,SEM)影像。在脈衝星®3000反應器腔室中在約400℃之製程溫度下且藉由施用如參考圖3所描述的依序為反應物脈衝與淨化步驟之循環1,500次來沈積硼與碳膜。圖5A展示在15,000倍放大率下高縱橫比溝槽結構500之SEM影像。圖5B展示,在100,000倍放大率下高縱橫比溝槽結構500之上部部分502之SEM影像。圖5C展示,在100,000倍放大率下高縱橫比溝槽結構500之中間部分504之SEM影像,且圖5D展示在100,000倍放大率下高縱橫比溝槽結構500之下部部分506之SEM影像。高縱橫比溝槽結構之上部部分、中間部分及下部部分中之每一者中所沈積的硼與碳膜之厚度分別展示於圖5B、圖5C及圖5D中。如圖5中所展示,在高縱橫比溝槽結構之側面上在溝槽結構之上部部分、中間部分及下部部分處達成相對一致的膜厚,例如表明所沈積的硼與碳膜之改良之共形性。舉例而言,在高縱橫比溝槽結構500之上部部分502中量測到約72 nm之膜厚,在溝槽結構500之中間部分504處量測到約69 nm之膜厚,以及在溝槽結構500之下部部分506處量測到約69 nm之膜厚,例如表明達成大於或等於約95%之共形性。不受任何特定理論或操作方式限制,在約400℃或低於400℃之製程溫度下的硼與碳膜之沈積可促進膜在表面反應限制範圍中之沈積,以促進所沈積的沈積之改良之共形性。含硼與碳的氮化矽膜
如本文所描述,可沈積包含硼與碳組分之氮化矽膜,且包括硼與碳組分的氮化矽膜可具有多種應用,包含在半導體裝置製造中的應用。使用原子層沈積(atomic layer deposition,ALD)在降低的溫度下(例如在低於約500℃之溫度下)沈積具有所需特徵的氮化矽類膜(例如)以得到具有降低的熱預算之製程可為較困難的。藉由習知製程在較低製程溫度下沈積的氮化矽類膜可得到具有不良膜品質之膜、對在其上沈積氮化矽類膜之三維(3-D)結構而言不良的膜共形性、不當的較高乾式蝕刻速率及/或不當的較低蝕刻選擇性(例如對半導體裝置中的另一材料之蝕刻選擇性,所述材料包含熱氧化矽材料,從而氮化矽膜可耐受用於裝置製造製程中的一或多個連續熱氧化矽蝕刻步驟)。
為方便及簡單起見,氮化矽膜之化學式在本文中一般稱為SiN。然而,本領域具有通常知識者應理解氮化矽之實際化學式可為SiNx,其中x在約0.5至約2.0範圍內改變,只要形成一些Si-N鍵即可。在一些情況下,x較佳地在約0.9至約1.7範圍內、更佳地在約1.0至約1.5範圍內且最佳地在約1.2至約1.4範圍內改變。一般形成其中Si具有+IV氧化態之氮化矽且材料中氮化物之量可改變。
為方便及簡單起見,包括硼與碳組分的氮化矽膜之化學式在本文中一般稱為SiN(B,C)。然而,本領域具有通常知識者應理解SiN(B,C)之實際化學式可為SiNx (By ,Cz )。在一些實施例中,舉例而言,x可在約0.5至約3.0範圍內改變,只要形成一些Si-N鍵即可。在一些情況下,x較佳地在約1.0至約2.0範圍內且更佳地約1.3至約1.8範圍內改變。在一些實施例中,y可在約0.1至約5.0之間,包含較佳地約0.3至約3.0且更佳地約0.5至約1.5。舉例而言,y可為約1.5。在一些實施例中,z可為約0.1至約5.0,包含較佳地約0.2至約2.5且更佳地約0.3至約1.3。舉例而言,z可為約1.0。
本文所描述之一或多種方法可包含原子層沈積(ALD)製程及/或化學汽相沈積(chemical vapor deposition,CVD)製程且可用以形成氮化矽類膜,諸如包括硼與碳組分的氮化矽膜SiN(B,C)。在一些實施例中,包括硼與碳組分的氮化矽膜具有三維(3-D)特徵之一或多種改良之等形覆蓋、所需乾式蝕刻速率、所需濕式蝕刻速率及/或所需相對於另一材料(例如半導體裝置中的熱氧化矽(TOX)層)之蝕刻選擇性。舉例而言,根據本文所描述之一或多種製程沈積的包含硼與碳組分之氮化矽膜(例如用於諸如半導體電晶體(包含諸如FinFET之多閘極電晶體)中之閘極特徵的間隙壁材料之應用)可顯示改良之步階覆蓋、降低的在濕式蝕刻劑中之蝕刻速率(例如針對諸如稀氫氟酸(HF或dHF)溶液(諸如0.5重量%HF溶液)之濕式蝕刻劑的耐性)及/或降低的相對於熱氧化矽材料之濕式蝕刻比率(例如低於約1(包含低於約0.5)的氮化矽類膜之濕式蝕刻速率比熱氧化矽材料之濕式蝕刻速率的比率)。在一些實施例中,包含硼與碳組分的氮化矽膜可具有所需介電常數(κ值),例如低於約7(包含低於約6及低於約5.5)之介電常數。舉例而言,包含硼與碳組分的氮化矽膜可具有在約4.8與約6之間(包含在約4.8與約5.5之間)的介電常數。
在一些實施例中,氮化矽ALD沈積製程可用以沈積具有所需厚度及組成之氮化矽(SiN)膜。ALD型製程基於受控、自限性表面反應。藉由使基板交替且連續地與反應物接觸來避免汽相反應。例如藉由在反應物脈衝之間自反應腔室移除過量反應物及/或反應物副產物使反應腔室中之汽相反應物與彼此分隔。舉例而言,ALD沈積製程可包含使基板與矽反應物接觸從而矽反應物吸附於基板表面上,且接著使基板與氮反應物接觸。矽反應物可包括可向氮化矽膜之生長提供矽的含矽化合物。氮反應物可包括可向氮化矽膜之生長提供氮的含氮化合物。可視需要重複使基板暴露於矽反應物及氮反應物多次以獲得具有所需厚度及組成之膜。在各接觸步驟之後,例如藉由用惰性氣體自反應空間淨化可自基板附近移除過量反應物。舉例而言,可在反應物脈衝之間淨化反應器腔室。各反應物之流動速率及時間為可調的,淨化步驟本身可達成控制膜中之摻雜劑濃度及深度分佈。在一些實施例中,在淨化反應器腔室之過量反應物及/或反應副產物之前可將基板移動至不含或實質上不含反應物之空間。
在一些實施例中,沈積氮化矽(SiN)膜之ALD製程可包含一或多次循環,各循環包括至少兩個相異的製程或階段。可將向反應空間中提供反應物並移除視為一個階段。在第一製程或階段中,提供包括矽之第一反應物且在基板表面上形成不多於約一個單層。此反應物在本文中亦稱為「矽前驅體」或「矽反應物」。在第二製程或階段中,提供包括含氮化合物之第二反應物且使其與所吸附的矽前驅體反應以形成SiN。此第二反應物亦可為稱為「氮前驅體」或「氮反應物」。如本文所描述,第二反應物可包括氨(NH3 )及/或另一適合之含氮化合物。視需要可添加另外的製程或階段且可移除階段以調節最終膜之組成。在一些實施例中,為了沈積氮化矽(SiN)膜,一或多次沈積循環典型地以依序提供矽前驅體與氮前驅體開始。在一些實施例中,一或多次沈積循環以依序提供氮前驅體與矽前驅體開始。可憑藉載體氣體(諸如氮氣(N2 )、氬氣(Ar)及/或氦氣(He))來提供一或多種反應物。
在一些實施例中,沈積具有所需特徵之包括硼與碳組分的氮化矽膜(SiN(B,C))之製程可包含包括ALD製程及CVD製程之混合製程。舉例而言,形成包括硼與碳組分的氮化矽膜之製程可包括沈積氮化矽之ALD部分及使硼與碳組分併入至生長膜中的CVD部分。在一些實施例中,氮化矽及硼與碳組分可形成連續膜,在所述連續膜中氮化矽及硼與碳組分未形成相異層或實質上未形成相異層。
在一些實施例中,未在包括硼與碳組分的氮化矽膜之沈積中使用電漿。舉例而言,沈積包括硼與碳組分的氮化矽膜之製程可包含熱ALD製程及熱CVD製程(包含脈衝熱CVD製程)。在一些實施例中,將氮前驅體之電漿用於沈積氮化矽之ALD製程中。舉例而言,包括氮前驅體之電漿的PEALD製程可用於沈積氮化矽,且PEALD製程可與熱CVD製程組合以使硼與碳組分併入至氮化矽中。
在一些實施例中,沈積包括硼與碳組分的氮化矽膜(SiN(B,C))之製程可包含沈積氮化矽(SiN)膜之ALD製程(例如包括交替且連續地使基板與矽反應物(例如包括八氯三矽烷(Si3 Cl8 ,OCTS))及氮反應物(例如包括氨(NH3 ))接觸之ALD製程)及一或多種硼反應物於基板表面上分解以引入硼與碳組分至氮化矽膜中的分解製程(例如使用一或多種硼反應物(例如包括三乙基硼(B(C2 H5 )3 ,TEB))之CVD製程,其中TEB分解)。在一些實施例中,沈積SiN膜之ALD製程可包含使基板與包括六氯二矽烷(Si2 Cl6 ,HCDS)之矽反應物接觸。在一些實施例中,引入硼與碳組分之CVD製程可包含使基板與硼反應物接觸,所述硼反應物包括三烷基硼,諸如三甲基硼(B(CH3 )3 ,TMB)或三乙基硼(TEB)。在一些實施例中,在各製程之後可藉由淨化步驟(例如淨化步驟可在矽反應物脈衝、氮反應物脈衝及/或硼反應物脈衝之後進行)來移除過量反應物及/或反應副產物。舉例而言,在引入氮反應物之前可自反應空間移除過量矽反應物及/或反應副產物,從而氮反應物與所吸附的矽反應物反應以於基板上形成單層氮化矽。在一些實施例中,在淨化反應空間之前可將基板移動至不含或實質上不含反應物之空間。
在一些實施例中,將脈衝CVD製程用於分解製程。在一些實施例中,將脈衝CVD製程用於提供硼反應物之多個較短脈衝中。在一些實施例中,提供硼反應物之單個較長脈衝。在一些實施例中,選擇條件從而在相同條件下由表面反應(ALD)形成SiN同時硼反應物分解(CVD)。在一些實施例中,引入硼與碳組分至SiN膜中之脈衝CVD製程促進硼碳製程之整合。在一些實施例中,引入硼與碳組分至SiN膜中之脈衝CVD製程促進對併入SiN膜中的硼與碳組分之量的增強的控制。在一些實施例中,亦可在ALD條件下提供硼反應物。
根據本發明之一些實施例,在處理期間反應腔室之壓力維持在約0.01托至約50托、較佳地約0.1托至約10托。
可調節沈積氮化矽膜之製程及/或引入硼與碳組分之製程的一或多個參數以得到具有所需特徵之膜。舉例而言,可調節一或多種硼反應物之流動速率及/或引入硼與碳組分之CVD製程之製程溫度。舉例而言,可調節脈衝CVD製程中之提供一或多種硼反應物之反應物脈衝的持續時間。在一些實施例中,可調節 沈積SiN膜之ALD製程之一或多個參數,諸如製程溫度、反應器腔室壓力及/或反應物暴露持續時間。
在一些實施例中,沈積包括硼與碳組分的氮化矽膜之製程可包含提供氮化矽SiN膜之製程的一或多次循環(例如SiN製程之重複)及/或引入硼與碳組分之製程之一或多次循環(例如硼碳製程之重複)。在一些實施例中,可調整SiN製程及硼碳製程之重複次數以得到具有所需特徵之膜。在一些實施例中,選擇SiN製程之重複次數比硼碳製程之重複次數的比率以得到所需膜組成。在一些實施例中,相對於每一硼碳製程循環重複SiN製程循環2、3、4、5、6、7、8、9、10或更多次。在一些實施例中,重複SiN製程循環數次之後為重複硼碳製程循環數次,其中SiN製程循環之重複次數不同於硼碳製程循環之重複次數。
在一些實施例中,沈積包括硼與碳組分的氮化矽膜之製程可包含包括重複沈積氮化矽SiN膜的ALD製程數次及/或重複引入硼與碳組分之CVD製程數次之工序,選擇ALD製程、CVD製程中之每一者的重複次數及/或ALD製程及CVD製程之工序的重複總次數以得到具有所需特徵及/或所需硼與碳組分組成之膜。舉例而言,可調節硼與碳含量以得到具有所需蝕刻效能(例如濕式蝕刻速率及/或乾式蝕刻速率)及/或共形性效能之膜。在一些實施例中,可基於CVD製程及/或ALD製程之一或多個參數選擇CVD製程之重複次數。在一些實施例中,可基於ALD製程及/或CVD製程之一或多個參數選擇ALD製程之重複次數。在一些實施例中,可重複包含重複ALD製程循環數次及重複CVD製程循環數次之工序以得到具有所需組成及/或厚度之膜。
在一些實施例中,沈積包括硼與碳組分的氮化矽膜之製程並不包含電漿增強型製程。亦即,在全部製程期間未使用電漿。舉例而言,製程可包含熱ALD製程及脈衝熱CVD製程(例如一或多種硼反應物之熱分解,諸如TEB之分解)。
圖6為形成包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之製程流程600之實例的流程圖。在區塊602中,可將基板暴露於一或多種汽相矽反應物(例如一或多種矽前驅體)。矽反應物層形成於基板表面上。在一些實施例中,一或多種汽相矽反應物可吸附到基板表面上。在一些實施例中,一或多種矽反應物在基板表面至少部分分解。在區塊604中,可將基板暴露於一或多種汽相氮反應物(例如氮前驅體)。舉例而言,一或多種氮反應物可在基板表面上與一或多種矽反應物相互作用(例如一或多種氮反應物可在基板表面上與一或多種矽反應物反應以形成氮化矽(SiN))。在區塊606中,可將基板暴露於一或多種汽相硼反應物(例如一或多種硼及/或碳前驅體)。一或多種硼反應物可在基板表面上與氮化矽反應,由此引入硼與碳組分至膜中從而形成包括硼與碳組分的氮化矽膜。在一些實施例中,一或多種硼反應物在基板表面上分解。
在一些實施例中,一或多種反應物可在基板表面上至少部分分解。舉例而言,在化學汽相沈積(CVD)條件下提供一或多種矽反應物、氮反應物或硼反應物。
在一些實施例中,使基板暴露於矽反應物、氮反應物及/或硼反應物之製程可包含化學汽相沈積(CVD)製程。在一些實施例中,使基板暴露於矽反應物、氮反應物以及硼反應物中之每一者可包含CVD製程(包含例如脈衝CVD製程)。
在一些實施例中,使基板暴露於矽反應物、氮反應物及/或硼反應物可包含汽相沈積製程,所述汽相沈積製程中一或多種反應物分解以促進SiN(B,C)膜之形成。
在一些實施例中,ALD製程及/或CVD製程可為電漿增強型製程(例如直接電漿製程及/或遠端電漿製程)。在一些實施例中,ALD製程及/或CVD製程並不包含電漿增強型製程。舉例而言,ALD製程可為熱製程。
在一些實施例中,可重疊或合併使基板暴露於矽反應物、氮反應物及/或硼反應物之製程。舉例而言,可以部分或完全重疊的脈衝提供一或多種矽反應物、氮反應物及/或硼反應物。
在一些實施例中,可在整個沈積SiN(B,C)膜之製程中持續饋入含氮氣體(例如氮氣(N2 )及/或氨(NH3 ))(例如含氮氣體可充當載體氣體及/或作為反應物)。舉例而言,在電漿製程中含氮氣體可充當反應物之載體氣體(例如用於產生含氮電漿)。在一些實施例中,在整個沈積製程中(例如包含在將矽反應物及/或硼反應物之反應物脈衝引入反應器腔室中時)持續或實質上持續饋入含氮氣體至反應腔室中。在沈積製程期間(例如在矽反應物及/或硼反應物及/或碳反應物之脈衝期間)可調節含氮氣體流動速率及/或氮氣流之濃度。
各種矽反應物可為適合的。在一些實施例中,沈積氮化矽膜之製程中的適合之矽反應物可包含鹵化矽、矽烷基胺(silicon alkylamine)、矽胺及/或矽烷(例如包含包括一或多個烷基之矽烷)中之至少一者。舉例而言,適合之矽反應物可包含氯化矽。在一些實施例中,矽反應物可包含鹵代矽烷。在一些實施例中,矽反應物可包含包括鹵素之烷基矽化合物。在一些實施例中,矽反應物可為烷基矽烷。在一些實施例中,矽反應物可包含八氯三矽烷(Si3 Cl8 ,OCTS)。在一些實施例中,矽反應物可包含六氯二矽烷(Si2 Cl6 ,HCDS)。
適合之氮反應物可包含各種含氮反應物。在一些實施例中,氮反應物可包含鍵結至氮之氫(N-H)。在一些實施例中,適合之氮反應物可為氨(NH3 )。在一些實施例中,適合之氮反應物可為肼(N2 H4 )。在一些實施例中,適合之氮反應物可包括藉由含氮電漿(包含例如含氮基團)產生的一或多種反應性物質。在一些實施例中,適合之氮反應物可包含氮原子。
在一些實施例中,適合之硼反應物可包含具有至少一個有機配位體之硼化合物。在一些實施例中,有機配位體可具有雙鍵及/或參鍵。在一些實施例中,有機配位體可為環狀配位體。在一些實施例中,有機配位體可包括非定域電子。在一些實施例中,適合之硼反應物可包含三烷基硼化合物。在一些實施例中,適合之硼反應物可包含三乙基硼(B(C2 H5 )3 ,TEB)。在一些實施例中,適合之硼反應物可包含三甲基硼(B(CH3 )3 ,TMB)。在一些實施例中,適合之硼反應物可包含具有直鏈或分支鏈烷基之三烷基硼化合物,所述直鏈或分支鏈烷基包含例如直鏈或分支鏈C3-C8,且更佳包含直鏈或分支鏈C3-C5。適合之硼反應物可包含各種其他含硼反應物。在一些實施例中,硼反應物可包含鹵化硼、烷基硼及/或硼烷。在一些實施例中,硼反應物可包含鹵化硼、鹵化硼烷以及其錯合物。舉例而言,適合之鹵化硼可具有約0.5至約1之硼比鹵素比率。
適合之硼烷可包含根據化學式I或化學式II之化合物。 Bn Hn+x (化學式I) 其中n為1至10、較佳地2至6之整數,且x為偶整數,較佳地4、6或8。 Bn Hm (化學式II) 其中n為1至10、較佳地2至6之整數,且m為不同於n的1至10,較佳地2至6之整數。
上列根據化學式I的硼烷之實例包含巢式硼烷(Bn Hn+4 )、網式硼烷(Bn Hn+6 )以及敞網式硼烷(Bn Hn+8 )。根據化學式II之硼烷之實例包含聯式硼烷(Bn Hm )。此外,可使用諸如(CH3 CH2 )3 N-BH3 之硼烷錯合物。
在一些實施例中,適合之硼反應物可包含鹵化硼烷,尤其氟化硼烷、溴化硼烷以及氯化硼烷。適合之化合物之實例為B2 H5 Br。其他實例包括具有高硼/鹵素比率之鹵化硼,諸如B2 F4 、B2 Cl4 以及B2 Br4 。亦有可能使用鹵化硼烷錯合物。
在一些實施例中,根據化學式III之鹵代硼烷可為適合之硼反應物。 Bn Xn (化學式III) 其中X為Cl或Br,且當X為Cl時n為4或8至12之整數,或當X為Br時n為7至10之整數。
在一些實施例中,根據化學式IV之碳硼烷可為適合之硼反應物。 C2 Bn Hn+x (化學式IV)
根據化學式IV之碳硼烷之實例包含閉式碳硼烷(C2 Bn Hn+2 )、巢式碳硼烷(C2 Bn Hn+4 )以及網式碳硼烷(C2 Bn Hn+6 )。
在一些實施例中,根據化學式V之胺-硼烷加合物可為適合之硼反應物。 R3 NBX3 (化學式V) 其中R為直鏈或分支鏈C1至C10,較佳地C1至C4烷基或H,且X為直鏈或分支鏈C1至C10,較佳地C1至C4烷基、H或鹵素。
在一些實施例中,根據化學式VI之B上的一或多個取代基為胺基之胺基硼烷可為適合之硼反應物。 R2 N(化學式VI) 其中R為直鏈或分支鏈C1至C10,較佳地C1至C4烷基或經取代或未經取代之芳基。
適合之胺基硼烷之實例為(CH3 )2 NB(CH3 )2
在一些實施例中,適合之硼反應物可包含環狀硼氮炔(-BH-NH-)3 及/或其揮發性衍生物。
在一些實施例中,烷基硼或烷基硼烷可為適合之硼反應物,其中烷基典型地為直鏈或分支鏈C1至C10烷基,較佳地C2至C4烷基。
在一些實施例中,將需要沈積包括硼與碳的氮化矽膜於其上之基板(諸如半導體工件)載入反應器腔室中。反應器腔室可為進行積體電路之形成中之各種不同製程的組合工具之部分。在一些實施例中,本文所描述之一或多種沈積製程可在分批反應器中進行,包含例如在微型分批反應器(例如具有八個基板或少於八個基板之容量的反應器)及/或鍋爐分批反應器(例如具有五十或多於五十個基板之電容器的反應器)中進行。在一些實施例中,本文所描述之一或多種沈積製程可在單晶圓反應器中進行。在一些實施例中,空間反應器腔室(例如空間ALD反應器腔室)可為適合的。在一些實施例中,具有交叉流動設置之反應器腔室可為適合的。在一些實施例中,具有噴頭設置之反應器腔室可為適合的。
示例性單晶圓反應器可以商品名脈衝星®2000及脈衝星®3000購自先進半導體材料美國公司(亞利桑那州,菲尼克斯)及以商品名鷹®XP及XP8購自先進半導體材料日本株式會社(日本,東京)。示例性分批ALD反應器可以商品名A400™及A412™購自先進半導體材料歐洲荷蘭公司(荷蘭,阿爾梅勒)。
圖7為展示形成包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之製程700之另一實例的流程圖。製程700可包含具有於基板表面上形成氮化矽之製程704及引入硼與碳組分至氮化矽中之製程712的工序702。在一些實施例中,可重複工序702數次以形成具有所需組成及/或厚度之SiN(B,C)膜。可改變所進行的製程704之次數比所進行的製程712之次數之比率以調整膜中的硼與碳組分之濃度且因此以獲得具有所需特徵之膜。舉例而言,可選擇重複製程704之次數相對於重複製程712之次數以得到具有所需硼與碳組分含量的膜。
於基板表面上形成氮化矽之製程704可包含區塊706、區塊708及區塊710。在區塊706中,可將基板暴露於一或多種矽反應物。在區塊708中,可將基板暴露於一或多種氮反應物。可重複區塊710、區塊706以及區塊708數次(例如數次製程704之循環)。在一些實施例中,在區塊706中將基板暴露於一或多種矽反應物可包括將基板暴露於矽反應物脈衝,且在區塊708中將基板暴露於一或多種氮反應物可包括將基板暴露於氮反應物脈衝。在一些實施例中,藉由經設置以自反應器腔室移除過量矽反應物及/或反應副產物之淨化步驟(未展示)來分隔區塊706之矽反應物脈衝及區塊708之氮反應物脈衝。淨化步驟可包括淨化氣體之流動及/或抽空反應器腔室(例如藉由在反應器腔室上抽真空)以便移除或實質上移除過量反應物及/或反應副產物。在一些實施例中,在進行區塊710中的重複製程之前,(例如)藉由在區塊708中之將基板暴露於一或多種氮反應物之後進行淨化步驟(未展示)來移除過量氮反應物及/或反應副產物。在一些實施例中,製程704為ALD製程。在一些實施例中,製程704為CVD製程,其中反應物中之至少一者於基板表面上至少部分分解。在一些實施例中,矽反應物及氮反應物之脈衝可至少部分重疊。
引入硼與碳組分至氮化矽中之製程712可包含區塊714及區塊716。在區塊714中,可將基板暴露於一或多種硼反應物。可重複區塊716、區塊714數次(例如數次製程712之循環)。在一些實施例中,將基板暴露於一或多種硼反應物包括將基板暴露於硼反應物脈衝。舉例而言,在區塊716中,可重複區塊714之硼反應物脈衝數次。在一些實施例中,可藉由經設置以移除過量硼反應物及/或反應副產物之淨化步驟(未展示)來分隔開各硼反應物脈衝。在一些實施例中,提供單個硼反應物脈衝(例如不進行製程714之重複)。在一些實施例中,在CVD條件下提供一或多種硼反應物,從而一或多種硼反應物於基板表面上分解。
在一些實施例中,形成SiN(B,C)膜之製程(例如圖7之製程700)可為包含ALD製程及CVD製程之混合製程。舉例而言,形成氮化矽(SiN)膜的製程(例如圖7之製程704)可包含ALD製程且引入硼與碳組分至氮化矽(SiN)膜中的製程(例如圖7之製程712,以便形成SiN(B,C)膜)可包含CVD製程。
在一些實施例中,未將電漿用於704或712中之任一者中。舉例而言,製程704及/或712可包含熱製程,諸如熱ALD製程及/或熱CVD製程。
提供氮化矽膜(SiN)之ALD製程之矽反應物可包括八氯三矽烷(Si3 Cl8 ,OCTS)及/或六氯二矽烷(Si2 Cl6 ,HCDS),且ALD製程之氮反應物可包括氨(NH3 )。將基板暴露於矽反應物(例如圖7之區塊706)可包含將基板暴露於Si3 Cl8 及/或Si2 Cl6 。舉例而言,(包含(例如)憑藉氮載體氣體)可將Si3 Cl8 及/或Si2 Cl6 饋入至反應器腔室中(例如矽反應物脈衝)一段持續時間。將基板暴露於氮反應物(例如圖7之區塊)可包含將基板暴露於NH3 。舉例而言,(包含(例如)憑藉氮載體氣體)可將NH3 饋入至反應器腔室中(例如氮反應物脈衝)一段持續時間。矽反應物脈衝及/或氮反應物脈衝之脈衝長度可為約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。舉例而言,氮反應物脈衝及/或矽反應物脈衝可為約1秒。
如本文所描述,ALD製程中用於遞送一或多種反應物至反應器腔室中的反應物脈衝之後可為淨化步驟,(諸如)為了自基板表面附近移除過量反應物及/或反應副產物。可將諸如氮氣(N2 )、氬氣(Ar)及/或氦氣(He)之氣體用作淨化氣體以輔助過量反應物及/或反應副產物之移除。在一些實施例中,ALD製程之淨化步驟可為約1秒至約20秒、約1秒至約15秒或約1秒至約10秒,包含約5秒。舉例而言,使基板暴露於矽反應物及/或氮反應物之ALD製程的一次循環可依序包含約0.5秒之反應物脈衝與約5秒之淨化步驟。在一些實施例中,ALD製程之一次循環可依序包含約0.5秒之矽反應物脈衝,約5秒之淨化步驟,約0.5秒之氮反應物脈衝以及約5秒之淨化步驟。
可重複ALD製程之循環數次直至獲得具有所需厚度及/或組成之膜。在一些實施例中,可在ALD製程期間改變一或多次沈積循環之沈積參數(諸如反應物流動速率、反應物流動持續時間、淨化步驟持續時間及/或反應物本身)以便獲得具有所需特徵之膜。舉例而言,ALD製程循環之一或多個沈積參數可不同於另一ALD製程循環之沈積參數。
在一些實施例中,如本文所描述,沈積包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之製程可包含化學汽相沈積(CVD)製程。引入硼與碳組分至氮化矽膜中的CVD製程可包含一或多種反應物之分解及/或氮化矽膜上之多個反應物之間的化學相互作用。舉例而言,可將反應物饋入至反應器腔室中,所述反應物之分解促進所需膜之形成。在一些實施例中,適合之硼反應物可包含三乙基硼(B(C2 H5 )3 ,TEB)及/或三甲基硼(B(CH3 )3 ,TMB)。舉例而言,饋入至反應器腔室中之TEB可於氮化矽膜上分解以促進引入硼與碳組分至氮化矽膜中。
在一些實施例中,可使用脈衝CVD製程。在一些實施例中,沈積SiN(B,C)膜之製程包含經設置以於基板表面上得到氮化矽SiN膜之ALD製程及在ALD製程之至少一次循環之後進行之CVD製程,所述CVD製程經設置以引入硼與碳組分至氮化矽膜中以便形成SiN(B,C)膜(例如遞送一或多種硼反應物之脈衝至反應器腔室中之脈衝CVD製程)。在一些實施例中,可重複CVD製程數次以得到具有所需組成之SiN(B,C)膜(例如重複依序包含反應物脈衝與淨化製程的CVD製程之循環數次)。在一些實施例中,引入硼與碳組分之CVD製程並非脈衝CVD製程,從而將硼反應物在連續流或實質上連續流中饋入至反應器腔室中以獲得具有所需硼與碳組分含量之SiN(B,C)膜。
脈衝CVD製程可包含饋入反應物氣體(例如反應物脈衝)至反應器腔室中一段持續時間。在一些實施例中,CVD製程之反應物脈衝可具有約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒之持續時間。舉例而言,反應物脈衝可為約0.5秒。
在一些實施例中,兩個反應物脈衝之間的間隔可包括中斷反應物脈衝之一或多種反應物之流動。反應物脈衝之間的間隔可具有約1秒至約20秒(包含約1秒至約15秒或約1秒至約10秒)之持續時間。舉例而言,間隔可為約5秒。在一些實施例中,間隔包括轉移基板至不含或實質上不含反應物之空間。在一些實施例中,間隔包括淨化步驟。舉例而言,間隔可包括轉移基板至不含或實質上不含反應物之空間及淨化步驟。舉例而言,脈衝CVD製程之用於遞送一或多種反應物至反應器腔室中的脈衝之後可為淨化步驟,(諸如)為了自基板表面附近移除過量反應物及/或反應副產物。淨化步驟可包含使一或多種惰性氣體(例如氬氣(Ar)、氦氣(He)及/或氮氣(N2 ))流動通過反應器腔室。在一些實施例中,各反應物脈衝之後可為淨化步驟。淨化步驟可包含自基板附近移除過量反應物及/或副產物。在一些實施例中,CVD製程之淨化步驟可具有約1秒至約20秒、約1秒至約15秒或約1秒至約10秒之持續時間。舉例而言,淨化製程可為約5秒。反應物脈衝及/或淨化製程之其他持續時間亦可為適合的,如可由本領域具有通常知識者鑒於特定情況所確定。
適合的提供反應物氣體至反應器腔室中之持續時間及/或淨化步驟之持續時間、反應物脈衝及/或淨化步驟中的氣體流動速率可視反應製程之一或多個參數而定,例如調節反應物脈衝持續時間及/或反應物脈衝氣體流動速率及/或淨化步驟持續時間及/或淨化步驟氣體流動速率,從而提供所需反應物及/或自基板表面附近移除反應物。
如本文所描述,沈積SiN(B,C)膜之製程可包含依序具有數次提供氮化矽膜的製程之重複與數次引入硼與碳組分至氮化矽膜中的製程之重複的工序(例如圖7之工序702)。在一些實施例中,可重複工序數次(例如重複工序Z次)以得到具有所需組成及/或厚度之SiN(B,C)膜。舉例而言,形成SiN(B,C)膜之製程可包含依序具有數次沈積氮化矽SiN膜的ALD製程之循環與數次引入硼與碳組分至氮化矽SiN膜中的CVD製程之循環,重複所述工序數次以得到具有所需組成及/或厚度的SiN(B,C)膜。
在一些實施例中,可重複依序包含數次沈積氮化矽膜的ALD製程之循環與數次引入硼與碳組分至氮化矽膜中的CVD製程之循環之工序約1次至約150次,包含約25次至約75次。舉例而言,可重複所述工序約75次。舉例而言,可重複所述工序100次。
可選擇工序中之提供氮化矽(SiN)膜之製程的循環次數(例如X次ALD製程之循環,諸如重複圖7之製程704的循環數次或進行圖7之製程704的循環數次)及/或引入硼與碳組分至氮化矽中之製程的循環次數(例如Y次CVD製程之循環,諸如重複圖7之製程712的循環數次或進行圖7之製程712的循環數次)以獲得所需膜特徵。舉例而言,工序可依序包含數次ALD製程的循環與數次CVD製程之循環。可改變ALD循環之次數及/或CVD循環次數以得到包括所需組成及/或厚度的SiN(B,C)膜。舉例而言,可選擇引入硼與碳組分之製程的循環次數以得到具有所需硼與碳組分含量(例如為了顯示所需蝕刻速率、共形性效能及/或其他膜特徵)之SiN(B,C)膜。
在一些實施例中,工序內之提供氮化矽膜之硼與碳組分的製程之循環次數可為約一次循環至約二十次循環,包含約一次循環至約十次循環。在一些實施例中,可重複提供硼與碳組分之製程五次。舉例而言,在工序內可重複引入硼與碳組分至氮化矽膜中之脈衝CVD製程三次。可在沈積氮化矽SiN膜之製程的額外循環之前進行提供硼與碳組分之製程的循環。舉例而言,SiN(B,C)膜沈積製程的工序可依序包含首先循環沈積氮化矽SiN膜之製程數次,且其次循環添加硼與碳組分至SiN膜之製程數次。
在一些實施例中,在工序內之沈積氮化矽膜之製程的循環次數比引入硼與碳組分之製程的循環次數之比率(例如Y:X之比率)可為約1:1至約100:1,包含約3:1至約50:1。在一些實施例中,工序內之沈積氮化矽膜之製程的循環次數比引入硼與碳組分之製程的循環次數之比率可為約5:1至約20:1。比率可以百分比或硼碳製程分數(boron carbon process fraction)形式表示,諸如在工序中引入硼與碳組分之製程占循環總數之百分比。舉例而言,可調節硼碳製程分數或在工序中引入硼與碳組分之製程占循環總數之百分比以得到具有所需組成的SiN(B,C)膜。可藉由下式計算百分比或硼碳製程分數:X/(X+Y) * 100%。在一些實施例中,硼碳製程分數或在工序中引入硼與碳組分之製程占循環總數之百分比可為約0.01%至約50%,包含約5%至約20%。舉例而言,硼碳製程分數可為約10%。舉例而言,具有約5.0%至約10%之硼碳製程分數的製程可形成SiNx (By ,Cz )膜,其中x可為約1.3至約1.8,y可為約0.5至約1.5,且z可為約0.3至約1.3。
可在約25℃至約800℃(包含約100℃至約600℃)之製程溫度下進行ALD製程之第一階段及/或第二階段及/或CVD製程。如本文中所提及的製程溫度可包括反應器腔室基座、反應器腔室壁之溫度及/或基板自身之溫度。在一些實施例中,可在約150℃至約500℃之製程溫度下進行ALD製程之第一階段及/或第二階段及/或CVD製程。舉例而言,可在約200℃至約400℃之製程溫度下進行ALD製程之第一階段及第二階段中之一者或兩者及/或CVD製程。舉例而言,可在具有基座、基板及/或經加熱至約200℃至約400℃之溫度(諸如約400℃之溫度)的反應器腔室壁之反應器腔室中進行本文所描述的ALD製程之一或多次循環之第一階段及/或第二階段。舉例而言,可在具有基座、基板及/或經加熱至約400℃之溫度的反應器腔室壁之反應器腔室中進行CVD製程。在一些實施例中,可在低於400℃(包含例如約325℃至約400℃及約350℃至約400℃)之製程溫度下進行引入硼與碳組分至氮化矽中之CVD製程。
在一些實施例中,沈積氮化矽SiN膜之製程及/或引入硼與碳組分之製程的溫度可足夠高以促進一或多種反應物(例如ALD製程之矽反應物及/或氮反應物及/或CVD製程之硼反應物)之分解及/或反應物之間及/或反應物與基板表面之間的反應,同時提供具有減少的熱預算之製程。在一些實施例中,沈積氮化矽SiN膜及/或引入硼與碳組分之製程溫度可為約325℃至約800℃,包含約350℃至約600℃、約400℃至約600℃或約375℃至約450℃。舉例而言,可在約400℃之製程溫度下進行引入硼與碳組分至氮化矽中之CVD製程(例如包含分解硼反應物TEB以引入硼與碳組分至氮化矽膜之CVD製程)。在一些實施例中,可在約400℃之製程溫度下進行沈積氮化矽SiN膜之ALD製程(例如在形成SiN膜中一或多種反應物可分解的ALD製程)。在一些實施例中,ALD製程之溫度可不同於CVD製程之溫度。在一些實施例中,將相同溫度用於形成氮化矽SiN膜之ALD製程及添加硼與碳組分至SiN膜之CVD製程。
可使用形成氮化矽SiN膜的熱ALD製程進行(例如在可購自亞利桑那州菲尼克斯之先進半導體材料美國公司之脈衝星®3000腔室中)形成SiN(B,C)膜之沈積製程之實例。可在約400℃之製程溫度下於300毫米(mm)晶圓上進行熱ALD製程,所述熱ALD製程包含用載體氣體(例如氮氣)將包括八氯三矽烷(Si3 Cl8 ,OCTS)之矽反應物饋入至反應器腔室中從而矽反應物脈衝具有約1秒的持續時間且之後為具有約5秒的持續時間之淨化製程(例如使用包括氮氣之淨化氣體)。可將OCTS儲存於約40℃之溫度下的鼓泡器中且自鼓泡器提供所述OCTS至反應器腔室中(例如可藉由控制用於遞送OCTS至反應器腔室中之閥門持續打開的程度來控制OCTS之質量流動速率)。熱ALD製程可包含將包括氨(NH3 )之氮反應物饋入至反應器腔室中從而氮反應物脈衝具有約1秒之持續時間且之後為具有約5秒的持續時間之淨化製程(例如使用包括氮氣之淨化氣體)。可自維持在約1.5巴之壓力下的氣體源提供NH3 至反應器腔室中(例如可藉由控制用於遞送NH3 至反應器腔室中之閥門持續打開的程度來控制NH3 之質量流動速率)。可循環ALD製程數次。數次ALD製程的循環之後可為數次引入硼與碳組分至SiN膜中的熱CVD製程之循環。可在約400℃之溫度下進行熱CVD製程且可包含用於提供包括三乙基硼(B(C2 H5 )3 ,TEB)之硼反應物至反應器腔室中的硼反應物脈衝,其中所述硼反應物脈衝可具有約0.5秒之持續時間。硼反應物脈衝之後可為具有約5秒之持續時間的淨化步驟(例如使用包括氮氣之淨化氣體)。舉例而言,SiN(B,C)沈積製程可包含依序包括19次ALD製程之循環與2次CVD製程之循環的工序(例如提供約10%之硼碳製程分數),其中重複所述工序75次。
可調節包括硼與碳組分的氮化矽膜之組成,例如藉由增加或減小沈積所述膜之製程的硼碳製程分數。舉例而言,藉由調節膜製造製程之硼碳製程分數可調節膜之硼與碳含量。在一些實施例中,包括硼與碳組分的氮化矽膜可具有約0.1原子%至約50原子%之硼,包含約1原子%至約35原子%之硼。舉例而言,包括硼與碳組分的氮化矽膜可具有約5原子%至約30原子%硼。在一些實施例中,包括硼與碳組分的氮化矽膜可具有約0.1原子%至約50原子%之碳,包含約1原子%至約35原子%之碳。舉例而言,包括硼與碳組分的氮化矽膜可具有約5原子%至約30原子%碳。在一些實施例中,藉由調節膜製造製程之硼碳製程分數可調節矽及/或氮含量。
在一些實施例中,根據本文所描述之一或多種製程形成的SiN(B,C)膜可具有所需介電常數(κ值)。SiN(B,C)膜之介電常數可低於習知氮化矽膜之介電常數。在一些實施例中SiN(B,C)膜可具有低於約7(包含低於約6)之介電常數。舉例而言,SiN(B,C)膜可具有約4.8與約7之間(包含約4.8與6之間及約4.8與約5.5之間)的介電常數。在一些實施例中,藉由調節膜製造製程之硼碳製程分數可調節SiN(B,C)膜之介電常數。在一些實施例中,使用具有約10%或高於10%之硼碳製程分數的沈積製程可形成具有約5.5之介電常數的SiN(B,C)膜。用於半導體裝置之某些應用(例如作為電晶體閘極特徵的間隙壁材料)之具有減小的介電常數(例如低於習知氮化矽膜之介電常數的介電常數)之SiN(B,C)膜可促進一或多個裝置電參數之改良,包含裝置寄生電容之減小。
如本文所描述,SiN(B,C)膜可為半導體裝置製造製程中之犧牲膜。舉例而言,在蝕刻製程中可選擇性地移除SiN(B,C)膜。在一些實施例中,在半導體裝置之製造期間使用包括氯(Cl)及/或氟(F)之蝕刻製程(諸如含氯及/或氟電漿製程)可選擇性地移除犧牲性SiN(B,C)膜。在一些實施例中,SiN(B,C)膜可形成最終的半導體裝置之一部分。舉例而言,SiN(B,C)膜可比用於半導體裝置之製造中的一或多種其他材料更耐蝕刻。
SiN(B,C)膜可具有所需的相對於裝置中之另一材料之蝕刻選擇性。舉例而言,藉由調節膜之硼與碳組分含量(例如藉由調節膜製造製程之硼碳製程分數)可調整SiN(B,C)膜的蝕刻選擇性。在一些實施例中,藉由乾式蝕刻製程及/或濕式蝕刻製程可蝕刻SiN(B,C)膜。舉例而言,藉由電漿蝕刻製程(包含含氟電漿)可蝕刻SiN(B,C)膜。在一些實施例中,SiN(B,C)膜可具有約5或大於5之相對於裝置之另一材料的蝕刻選擇性(例如乾式蝕刻及/或濕式蝕刻選擇性),包含約10或大於10、約20或大於20或約50或大於50之選擇性。
在一些實施例中,SiN(B,C)膜可顯示所需的濕式蝕刻選擇性,諸如相對於熱氧化矽(TOX)層之濕式蝕刻選擇性。舉例而言,SiN(B,C)膜可比熱氧化矽層更耐濕式蝕刻,具有小於約1、小於約0.5或小於約0.3的SiN(B,C)膜之濕式蝕刻速率比熱氧化矽層之濕式蝕刻速率的比率。在一些實施例中,SiN(B,C)膜之濕式蝕刻速率比熱氧化矽層之濕式蝕刻速率的比率可小於約0.1。
在一些實施例中,根據本文所描述之一或多種製程形成之一或多種包括硼與碳組分的氮化矽膜(SiN(B,C))可在多種蝕刻劑溶液中具有所需蝕刻速率。在一些實施例中,包括硼與碳組分之氮化矽膜(例如SiN(B,C)膜)可為耐一或多種濕式蝕刻劑的或實質上耐一或多種濕式蝕刻劑的。舉例而言,在以下處於所提供的溫度下之蝕刻劑溶液之一或多者中SiN(B,C)膜可具有低於約1 nm/min(包含低於約0.5 nm/min、包含低於約0.2 nm/min以及包含低於約0.1 nm/min)之蝕刻速率:在約80℃下之濃硝酸HNO3 溶液(例如具有約65重量%至約75重量%之HNO3 濃度的溶液)、在室溫(例如約25℃之溫度)下之5.5重量%氫氟酸(HF)、在約室溫(例如約25℃之溫度)下的具有約1:1:5之硝酸:氫氟酸:水(HNO3 :HF:H2 O)之比率的溶液、在約室溫(例如約25℃之溫度)下之具有約10重量%的氫氧化鈉(NaOH)濃度之NaOH水溶液、在約室溫(例如約25℃之溫度)下之濃鹽酸(HCl)溶液(例如具有約35重量%至約40重量%的HCl濃度之溶液)以及在約室溫(例如約25℃之溫度)下之濃硫酸(H2 SO4 )溶液(例如具有大於約90重量%的H2 SO4 濃度之溶液)。
在一些實施例中,包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)可為耐濕式蝕刻劑的或實質上耐濕式蝕刻劑的,所述濕式蝕刻劑包括在約室溫(例如約25℃之溫度)下之濃度約85重量%之磷酸(H3 PO4 )。在一些實施例中,包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)可為耐一或多種以下濕式蝕刻劑的或實質上耐一或多種以下濕式蝕刻劑的(例如低於約3 nm/min之蝕刻速率,且在浸漬於1重量%氫氟酸(HF)中約2分鐘之後):在約室溫(例如約25℃之溫度)下之濃度為約85重量%的磷酸(H3 PO4 )、在約室溫(例如約25℃之溫度)下之具有約10重量%的濃度之氫氧化鈉(NaOH)水溶液、在約室溫(例如約25℃之溫度)下之具有約35重量%至約40重量%(例如約37重量%)的濃度之氫氯酸(HCl)溶液以及在約室溫(例如約25℃之溫度)下之具有大於約90重量%(例如98重量%)的濃度之硫酸溶液(H2 SO4 )。
在一些實施例中,在約室溫下(例如在約25℃之溫度下)之具有以體積計約5:5:90的過氧化氫:氫氟酸:水(H2 O2 :HF:H2 O)之比率的溶液中SiN(B,C)膜可具有大於約1.0 nm/min之蝕刻速率。在一些實施例中,可在暴露於包含例如臭氧及/或含氧電漿(例如包括氧原子及/或其他含氧基團之電漿)的含氧氛圍中之處理之後蝕刻SiN(B,C)膜。
如本文所描述,可將SiN(B,C)膜沈積於三維(3-D)結構上及/或在三維結構上方同時顯示所需共形性或步階覆蓋。在一些實施例中,在具有約2:1或高於2:1(包含約3:1或高於3:1、約5:1或高於5:1或約8:1或高於8:1)之縱橫比之三維結構上方SiN(B,C)膜可顯示所需共形性或步階覆蓋。在一些實施例中,在具有約10:1或高於10:1、約25:1或高於25:1或約50:1或高於50:1之縱橫比之三維結構上方SiN(B,C)膜可顯示所需共形性或步階覆蓋。在一些實施例中,在如本文所描述之一或多種特徵上方SiN(B,C)膜可顯示所需步階覆蓋,包含約80%或高於80%(包含約90%或高於90%、約95%或高於95%或約100%)之步階覆蓋。在一些實施例中,當形成於具有高達約250:1(包含高達約150:1及高達約100:1)之縱橫比的三維結構上時SiN(B,C)膜可顯示約80%或高於80%(包含約90%或高於90%、約95%或高於95%或約100%)之步階覆蓋。
在一些實施例中,與沈積於三維特徵之頂表面上的膜之一部分之蝕刻速率相比沈積於三維結構之側壁上的SiN(B,C)膜之一部分可顯示所需蝕刻速率。在一些實施例中,沈積於三維結構之側壁上的SiN(B,C)膜之一部分可顯示與沈積於結構之頂表面上的SiN(B,C)膜之一部分一致或實質上一致的SiN(B,C)膜之蝕刻速率。舉例而言,SiN(B,C)膜之側壁部分的蝕刻速率比SiN(B,C)膜之頂表面部分的蝕刻速率之比率可小於約4,包含小於約2、約1.5。在一些實施例中,比率為約1。
在一些實施例中,在形成包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之後可對其進行退火製程。在一些實施例中,可在惰性氣體氛圍(例如包括氮氣及/或一或多種惰性氣體之氛圍)中退火SiN(B,C)膜。舉例而言,可在氮氣氛圍中在約600℃或高於600℃、約800℃或高於800℃或1000℃或高於1000℃之溫度下進行退火製程。在一些實施例中,可在高達約900℃之溫度下退火SiN(B,C)膜。在一些實施例中,可在氫氣氛圍中(諸如)在約600℃或高於600℃、約800℃或高於800℃或1000℃或高於1000℃(包含高達約900℃)之溫度下退火SiN(B,C)膜。在一些實施例中,當在氮氣氛圍中在高達約900℃之溫度下退火時SiN(B,C)膜之硼與碳組分並不從膜當中擴散出來。在一些實施例中,可在氫氣或惰性氣體氛圍中(例如)在約600℃或高於600℃、約800℃或高於800℃或1000℃或高於1000℃之溫度下進行退火。SiN(B,C) 膜之實例
圖8A圖示四個具有約0%至約15%之硼碳製程分數(例如引入硼與碳含量至氮化矽SiN膜中之製程的循環占循環總數之百分比)的膜之組成(例如如藉由拉塞福背向散射光譜法(RBS)所量測)。展示四個膜中之每一者之矽、氮、硼、碳以及氯的原子百分比,其中參考左側垂直軸線展示矽、氮、硼以及碳之原子百分比且參考右側垂直軸線展示氯之原子百分比。可根據如本文所描述之一或多種製程形成四個膜中之每一者。舉例而言,使用在脈衝星®3000腔室(例如可購自亞利桑那州菲尼克斯之先進半導體材料美國公司)中進行的沈積製程使用形成氮化矽SiN膜之熱ALD製程可沈積具有改變的組成之SiN膜及SiN(B,C)膜。可在約400℃之溫度及約0.1托至約10托之壓力下於300毫米(mm)晶圓上進行熱ALD製程,所述熱ALD製程包含用載體氣體(例如氮氣)將包括八氯三矽烷(Si3 Cl8 ,OCTS)的矽反應物饋入至反應器腔室中從而矽反應物脈衝具有約1秒之持續時間且之後為具有約5秒之持續時間的淨化步驟(例如使用包括氮氣之淨化氣體)。可將OCTS儲存於約40℃之溫度下的鼓泡器中且自鼓泡器提供所述OCTS至反應器腔室中(例如可藉由控制用於遞送OCTS至反應器腔室中之閥門持續打開的程度來控制OCTS之質量流動速率)。熱ALD製程可包含將包括氨(NH3 )之氮反應物饋入至反應器腔室中從而氮反應物脈衝具有約1秒之持續時間且之後為具有約5秒的持續時間之淨化步驟(例如使用包括氮氣之淨化氣體)。可自維持在約1.5巴之壓力下的氣體源提供NH3 至反應器腔室中(例如可藉由控制用於遞送NH3 至反應器腔室中之閥門持續打開的程度來控制NH3 之質量流動速率)。可循環ALD製程數次。數次ALD製程之循環之後可為數次引入硼與碳組分至SiN膜中的脈衝熱CVD製程之循環。可在約400℃之溫度及約0.1托至約10托之壓力下進行熱CVD製程,且所述熱CVD製程可包含將包括三乙基硼(B(C2 H5 )3 ,TEB)之硼反應物饋入至反應器腔室中,其中硼反應物脈衝可具有約0.5秒的持續時間,反應物脈衝之後為具有約5秒之持續時間的淨化步驟(例如使用包括氮氣之淨化氣體)。舉例而言,SiN(B,C)沈積製程可包含依序包含數次ALD製程之循環與一次至三次CVD製程(例如以得到約0%至約15%的硼碳製程分數)之循環之工序,其中可重複工序數次(例如約50次至約100次)。舉例而言,可重複工序75次。
圖8A之圖表展示膜之硼與碳含量可隨著硼碳製程分數之增加而增加。舉例而言,硼與碳組分含量可隨著硼碳製程分數之增加而線性增加或實質上線性增加。圖8A展示矽含量及氮含量可隨著硼碳製程分數之增加而減小。舉例而言,矽及/或氮含量可隨著硼碳製程分數之增加而線性減小或實質上線性減小。圖8A進一步展示氯含量可隨著硼碳製程分數之增加而減小。
圖8B圖示藉由具有約0%至約15%之硼碳製程分數之製造製程所形成的四個膜之以埃/循環(Å/循環)計之膜生長速率。如圖8B中所展示,循環可對應於包含數次提供氮化矽SiN膜之製程的循環及數次引入硼與碳至SiN膜中之製程的循環之工序(例如圖7之工序702)。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述的製程)形成四個膜中之每一者。圖8B展示膜生長速率可隨著硼碳製程分數之增加而減小。不受任何特定理論或操作方式限制,吸附至基板之表面上的硼反應物可減小矽反應物及/或氮反應物(例如來自連續氮化矽沈積製程之矽反應物及/或氮反應物)之恰當吸附至基板表面上的能力。增加硼碳製程分數(例如以在SiN(B,C)膜製造製程中提供增加量之硼反應物形式)可愈來愈減小來自連續氮化矽沈積製程之矽反應物及/或氮反應物的吸附至基板表面上之能力。此外,不受任何特定理論或操作方式限制,來自連續氮化矽沈積製程之矽反應物及/或氮反應物之減小的吸附至基板表面上之能力亦可產生具有高於將另外基於硼碳製程分數所預期的硼與碳組分含量之膜。
在一些實施例中,膜厚不一致性(例如一個δ(1σ)厚度不一致性)可不受增加的硼碳製程分數負面影響。在一些實施例中,膜厚不一致性保持與增加的硼碳製程分數相同或實質上相同。舉例而言,沈積具有硼與碳組分之氮化矽膜之製程的膜厚不一致性可小於約20%,包含小於約10%及約5%。在一些實施例中,可用高達一特定值之硼碳製程分數的減小來改良膜厚不一致性。舉例而言,低於約10%之硼碳製程分數可得到改良的膜厚不一致性。
參考圖9,展示具有約0%至約15%之硼碳製程分數的四個膜之傅里葉變換紅外光譜學(FTIR)分析。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述的製程)形成四個膜中之每一者。FTIR表明各個膜內的各種特徵之存在,包含例如各種化學鍵之存在。舉例而言,FTIR分析可展示在進行膜製造製程之後膜的特徵之添加及/或特徵之變化。用「O」或用「*」標識圖9中對應於各個膜之各種特徵的峰以指示顯著特徵之起點。舉例而言,藉由「O」標記的圖表中之峰表明特徵(例如氫鍵結至氮(N-H)、氫鍵結至氧(O-H)、氫鍵結至矽(Si-H)、氮鍵結至矽(Si-N))是藉由沈積氮化矽SiN膜之製程所提供。舉例而言,藉由「*」標記的峰指示特徵(例如氫鍵結至碳、氫鍵結至硼、碳鍵結至硼、碳鍵結至另一碳、硼鍵結至另一硼)是藉由引入硼與碳組分至氮化矽SiN膜中之製程來提供。圖9展示引入硼與碳至氮化矽膜中之製程可得到諸如氫鍵結至碳(C-H)及/或氫鍵結至硼(B-H)(例如如圖9中所展示在約2500公分-1 與約3000公分-1 之間)之特徵及諸如碳鍵結至硼(B-C)、硼鍵結至另一硼(B-B)及/或碳鍵結至另一碳(C-C)(例如如圖9中所展示在約1000公分- 1 與約1500公分- 1 之間,諸如在約1200公分- 1 處)之特徵。圖9展示Si-H鍵結特徵隨著硼碳製程分數之增加而減少。Si-H鍵結特徵之減少可促進改良的SiN(B,C)膜效能,例如膜之電學性質之改良。圖9亦展示對應於氮鍵結至矽(Si-N)之峰可隨著硼碳製程分數之增加偏移至更高波數,(例如)指示在矽與氮之間的鍵之變化。
圖10展示基於四個具有約0%至約15%之硼碳製程分數的膜之X射線反射(X-ray reflectivity,XRR)量測值之分析。展示以奈米計之膜厚、以公克/立方公分(g/cm3 )計之膜密度以及以奈米(nm)計之膜粗糙度。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述的製程)形成四個膜中之每一者。圖10展示隨著硼碳製程分數之增加膜密度減小及膜粗糙度輕微增加。
圖11圖示藉由具有各種硼碳製程分數之製程所形成的對應膜之以奈米/分鐘(nm/min)展示之在稀HF溶液(例如0.5重量%HF溶液)中的濕式蝕刻速率。可根據如本文所描述之一或多種製程(諸如如參考圖9A所描述之製程)形成膜。如圖11中所展示,包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之濕式蝕刻速率可隨著增加的硼碳製程分數顯著減小。圖11展示具有高於約5%之硼碳製程分數的膜沈積製程可產生具有顯著減小之濕式蝕刻速率之SiN(B,C)膜。舉例而言,可藉由具有高於約10%之硼碳製程分數的製程來形成在稀HF中具有所需濕式蝕刻速率之SiN(B,C)膜(例如適合於間隔劑應用之SiN(B,C)膜)。
圖12A-12D展示沈積於基板之溝槽結構1200上之包括硼與碳組分的氮化矽膜(例如SiN(B,C)膜)之濕式蝕刻效能。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述之製程)形成膜。圖12A及圖12C展示使膜1202暴露於濕式蝕刻劑之前具有在溝槽結構1200之一或多個表面上的膜1202之溝槽結構1200之掃描電子顯微術(SEM)影像。舉例而言,使用包括稀氫氟酸(HF)溶液(例如0.5重量%HF溶液)之濕式蝕刻劑一段時間(例如約2分鐘)。圖12B及圖12D展示暴露於濕式蝕刻劑之後的膜1202。圖12B及圖12D展示膜1202不受濕式蝕刻劑影響或實質上不受濕式蝕刻劑影響。舉例而言,膜1202之濕式蝕刻速率比底層熱氧化層(例如熱二氧化矽,TOX)之蝕刻速率的比率可小於約3:10。圖12B及圖12D亦展示濕式蝕刻後的膜1202提供溝槽結構1200之等形覆蓋,例如膜1202不自底層溝槽結構剝離及/或不顯示其他缺陷。
圖13A至圖13D展示在暴露於濕式蝕刻劑持續約4分鐘之時間段之後(例如在浸漬於稀氫氟酸(HF或dHF)溶液(諸如0.5重量%HF溶液)中之後)高縱橫比溝槽1300的表面上之SiN(B,C)膜之掃描電子顯微術(SEM)影像。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述之製程)形成膜。圖13A為結構1300之13,000倍放大率下之較低放大率影像,展示溝槽之上部部分1302、溝槽之中間部分1304以及溝槽之下部部分1306。圖13B中展示在更高放大率下(在250,000倍放大率下)之上部部分1302,圖13C中展示在更高放大率下(在250,000倍放大率下)之中間部分1304以及圖13D中展示以更高放大率(在250,000倍放大率下)之下部部分1306。如圖13A至圖13D中所展示,SiN(B,C)膜可顯示在暴露於濕式蝕刻劑之後高縱橫比溝槽1300之極好的共形性或步階覆蓋。舉例而言,圖13A至圖13D展示形成於溝槽結構1300之上部部分1302上的具有約20 nm之厚度之SiN(B,C)膜、形成於溝槽結構1300之中間部分1304上的具有約20 nm之厚度之SiN(B,C)膜以及形成於溝槽結構1300之下部部分1306上的具有約19 nm之厚度之SiN(B,C)膜(例如約95%或大於95%的共形性)。如圖13A至圖13D中所展示的SiN(B,C)膜之濕式蝕刻速率比底層熱氧化物(例如熱二氧化矽,TOX)之蝕刻速率的比率可小於約1:2。
圖14A至圖14D展示在暴露於濕式蝕刻劑之前高縱橫比溝槽1400之表面上的包括硼與碳之氮化矽膜(例如SiN(B,C)膜)之掃描電子顯微術(SEM)影像。可根據如本文所描述之一或多種製程(諸如如參考圖8A所描述之製程)形成膜。圖14A溝槽結構1400之較低放大率影像(在11,000倍放大率下),及圖14B展示在200,000倍之較高放大率下的溝槽1400之上部部分1402,圖14C展示在200,000倍之較高放大率下的溝槽1400之中間部分1404,以及圖14D展示在200,000倍之較高放大率下的溝槽1400之下部部分1406。圖14A至圖14D展示SiN(B,C)膜可顯示極好的步階覆蓋或共形性。舉例而言,圖14B至圖14D展示形成於溝槽結構1400之上部部分1402上的具有約23 nm之厚度之SiN(B,C)膜、形成於溝槽結構1400之中間部分1404上的具有約23 nm之厚度之SiN(B,C)膜以及形成於溝槽結構1400之下部部分1406上的具有約24 nm之厚度之SiN(B,C)膜(例如約95%或大於95%之共形性)。
在濕式蝕刻劑(例如具有以體積計約5:5:90之H2 O2 :HF:H2 O之比率的濕式蝕刻劑)中SiN(B,C)膜之蝕刻速率可為約1.1 nm/min±約0.3 nm/min。在一些實施例中,在暴露於濕式蝕刻劑之前可將SiN(B,C)膜浸沒於臭氧(O3 )中(例如)以提高膜之蝕刻速率。在暴露於濕式蝕刻之前浸沒於臭氧中的SiN(B,C)膜之蝕刻速率可具有約2.2 nm/min±約0.5 nm/min之膜蝕刻速率。在一些實施例中,蝕刻速率可視膜組成而改變。
在浸漬於0.5重量%HF溶液約2分鐘中之前及之後藉由拉塞福背向散射光譜法(RBS)分析沈積於毯覆式矽晶圓上的SiN(B,C)膜展示所沈積的膜之組成為:矽(Si)20原子%、氮(N)35原子%、硼(B)20原子%、碳(C)18原子%、氧(O)6原子%、氯(Cl)1原子%。在浸漬於HF溶液中之後膜之組成為:Si 19原子%、N 30原子%、B 25原子%、C 19原子%、O 7原子%、Cl 1原子%。RBS分析展示膜之組成可不受HF浸漬製程顯著影響。
儘管已在某些實施例及實例之上下文中提供本發明,但本領域的技術人員應理解除特定描述之實施例以外本發明延伸至其他替代實施例及/或實施例之用途以及其明顯修改及等效物。此外,儘管已展示並詳細描述本發明之實施例之若干變化,但基於本發明本領域的技術人員將易於知曉在本發明範疇內之其他修改。亦預期可進行實施例之特定特徵及態樣之各種組合或子組合且仍屬於本發明之範疇內。應理解所揭示實施例之各種特徵及態樣可與彼此組合或彼此取代以便形成本發明之實施例的不同模式。因此,希望本發明之範疇不受上文所描述的特定實施例限制。
本文所提供之標題(若存在)僅為方便起見且不一定影響本文所揭示之裝置及方法之範疇或意義。
100‧‧‧步驟
102‧‧‧區塊
104‧‧‧區塊
200‧‧‧流程圖
202‧‧‧區塊
204‧‧‧區塊
500‧‧‧溝槽結構
502‧‧‧步驟
502‧‧‧上部部分
504‧‧‧中間部分
506‧‧‧下部部分
600‧‧‧製程流程
602‧‧‧區塊
604‧‧‧區塊
606‧‧‧區塊
700‧‧‧製程
702‧‧‧工序
704‧‧‧製程
706‧‧‧區塊
708‧‧‧區塊
710‧‧‧區塊
712‧‧‧製程
714‧‧‧區塊
716‧‧‧區塊
1200‧‧‧溝槽結構
1202‧‧‧膜
1300‧‧‧溝槽結構
1302‧‧‧上部部分
1304‧‧‧中間部分
1306‧‧‧下部部分
1400‧‧‧溝槽結構
1402‧‧‧上部部分
1404‧‧‧中間部分
1406‧‧‧下部部分
參考某些實施例之圖式描述本發明之這些及其他特徵、態樣以及優勢,所述圖式意欲說明某些實施例且不限制本發明。 圖1展示根據一個實施例沈積硼與碳膜的製程之實例之流程圖。 圖2展示根據一個實施例沈積硼與碳膜的製程之另一實例之流程圖。 圖3為根據一個實施例之硼與碳膜的生長速率對比處理溫度之圖表。 圖4為展示根據一個實施例沈積的硼與碳膜之傅里葉變換紅外光譜學(fourier transform infrared spectroscopy,FTIR)光譜的圖。 圖5A至圖5D為沈積於高縱橫比溝槽結構上的硼與碳膜之SEM影像。 圖6展示根據一個實施例沈積包括硼與碳的氮化矽膜之製程之實例的流程圖。 圖7展示根據另一實施例沈積包括硼與碳的氮化矽膜之製程之實例的流程圖。 圖8A為包括硼與碳之氮化矽膜之實例的組成隨沈積製程中TEB脈衝之百分比而變的圖表。 圖8B為包括硼與碳之氮化矽膜之實例的膜生長速率隨沈積製程中TEB脈衝之百分比而變的圖表。 圖9展示包括硼與碳的氮化矽膜之實例之FTIR光譜。 圖10展示根據本文所揭示之實施例沈積之包括硼與碳的氮化矽膜之實例之XRR數據。 圖11為包括硼與碳之氮化矽膜之實例的蝕刻速率隨沈積製程中TEB脈衝之分數而變的圖表。 圖12A至圖12D為展示包括硼與碳的氮化矽膜之實例之蝕刻效能的SEM影像。 圖13A至圖13D為展示包括硼與碳組分的氮化矽膜之實例之蝕刻效能的SEM影像。 圖14A至圖14D為展示包括硼與碳組分的氮化矽膜之實例之步階覆蓋的SEM影像。

Claims (22)

  1. 一種在反應空間中在基板上沈積BxC薄膜之方法,包括:在低於400℃之製程溫度下使所述基板與載體氣體中的汽相硼前驅體接觸以於所述基板上形成所述BxC薄膜,其中x為0.1至25,其中所述汽相硼前驅體於所述基板上分解,且其中所述BxC薄膜在氫氟酸中具有小於0.2nm/min之蝕刻速率。
  2. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述BxC薄膜具有相對於熱氧化物小的蝕刻速率。
  3. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述載體氣體包括氮氣。
  4. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述載體氣體包括氦氣及氖氣中的至少一者。
  5. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述BxC薄膜之膜密度小於2.5g/cm3
  6. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述基板包括矽。
  7. 如申請專利範圍第6項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述基板包括氮化矽。
  8. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述基板包括三維結構,且其中使所述基板與所述汽相硼前驅體接觸包括使所述汽相硼前驅體與所述基板上的所述三維結構接觸以於所述三維結構上形成所述BxC薄膜。
  9. 如申請專利範圍第8項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述BxC薄膜在所述三維結構上具有大於95%之步階覆蓋。
  10. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述BxC薄膜為蝕刻終止層。
  11. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述BxC薄膜形成為用於圖案化製程的一部分。
  12. 如申請專利範圍第1項所述之在反應空間中在基板上沈積BxC薄膜之方法,還包括在沈積所述BxC薄膜之後使所述BxC薄膜暴露於沈積後電漿處理製程。
  13. 如申請專利範圍第12項所述之在反應空間中在基板上沈積BxC薄膜之方法,其中所述沈積後電漿處理製程包括自稀有氣體、氮氣、氧氣以及臭氧中的至少一者產生電漿。
  14. 一種在反應空間中在基板上形成蝕刻終止層之方法,包括:在低於400℃之製程溫度下使所述基板與汽相硼前驅體接觸以使得所述硼前驅體於所述基板上分解。
  15. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述蝕刻終止層包括BxC薄膜,其中x為0.1至25。
  16. 如申請專利範圍第15項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述BxC薄膜形成為用於圖案化製程的一部分。
  17. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述蝕刻終止層具有2.0g/cm3至2.5g/cm3之膜密度。
  18. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述蝕刻終止層具有相對於熱氧化物小的蝕刻速率。
  19. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述製程溫度在375℃與400℃之間。
  20. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述製程溫度低於350℃。
  21. 如申請專利範圍第14項所述之在反應空間中在基板上形成蝕刻終止層之方法,還包括在沈積所述蝕刻終止層之後使所述基板暴露於沈積後電漿處理製程。
  22. 如申請專利範圍第21項所述之在反應空間中在基板上形成蝕刻終止層之方法,其中所述沈積後電漿處理製程包括自稀有氣體、氮氣、氧氣以及臭氧中的至少一者產生電漿。
TW106129090A 2013-10-16 2014-10-16 含硼與碳材料的沈積 TWI645067B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361891813P 2013-10-16 2013-10-16
US61/891,813 2013-10-16

Publications (2)

Publication Number Publication Date
TW201739954A TW201739954A (zh) 2017-11-16
TWI645067B true TWI645067B (zh) 2018-12-21

Family

ID=52810038

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103135826A TWI602247B (zh) 2013-10-16 2014-10-16 含硼與碳材料的沈積
TW106129090A TWI645067B (zh) 2013-10-16 2014-10-16 含硼與碳材料的沈積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103135826A TWI602247B (zh) 2013-10-16 2014-10-16 含硼與碳材料的沈積

Country Status (3)

Country Link
US (5) US9362109B2 (zh)
KR (2) KR102002481B1 (zh)
TW (2) TWI602247B (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9812336B2 (en) * 2013-10-29 2017-11-07 Globalfoundries Inc. FinFET semiconductor structures and methods of fabricating same
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102576122B1 (ko) 2015-06-05 2023-09-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US20170053792A1 (en) * 2015-08-21 2017-02-23 Applied Materials, Inc. High Temperature Thermal ALD Silicon Nitride Films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
CN117165927A (zh) * 2015-09-18 2023-12-05 应用材料公司 用于沉积共形bcn膜的方法
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
CN105609603A (zh) * 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 一种具有复合结构的氮化物缓冲层
US9754837B1 (en) * 2016-05-20 2017-09-05 Globalfoundries Inc. Controlling within-die uniformity using doped polishing material
JP2018041836A (ja) * 2016-09-07 2018-03-15 キヤノン株式会社 固体撮像装置およびその製造方法ならびにカメラ
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
FR3064283B1 (fr) * 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US11282845B2 (en) * 2017-08-24 2022-03-22 Micron Technology, Inc. Semiconductor devices comprising carbon-doped silicon nitride and related methods
CN111095481B (zh) 2017-09-03 2024-01-02 应用材料公司 使用保形掺杂物膜沉积在3d结构中的保形卤素掺杂
JP7076971B2 (ja) * 2017-09-28 2022-05-30 キヤノン株式会社 撮像装置およびその製造方法ならびに機器
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
CN115135801A (zh) * 2020-02-24 2022-09-30 朗姆研究公司 用于半导体应用的高模量硼基陶瓷
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
US11830729B2 (en) * 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films
EP4284960A1 (en) * 2021-03-02 2023-12-06 Versum Materials US, LLC Compositions and methods using same for films comprising silicon and boron

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658834A (en) * 1993-07-07 1997-08-19 Syracuse University Forming B1-x Cx semiconductor layers by chemical vapor deposition
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6440786B1 (en) * 1996-09-20 2002-08-27 Board Of Regents, University Of Nebraska-Lincoln Boron-carbide and boron rich rhobohedral based transistors and tunnel diodes
US20040084690A1 (en) * 2002-11-06 2004-05-06 Dowben Peter A. N-type boron-carbide semiconductor polytype and method of fabricating the same
US20130171839A1 (en) * 2011-09-09 2013-07-04 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JP2003522826A (ja) 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
KR100924055B1 (ko) 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US9315896B2 (en) 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
TWI509695B (zh) * 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
JP5699980B2 (ja) 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6009870B2 (ja) 2012-09-11 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
JP6159143B2 (ja) 2013-05-10 2017-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) * 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
JP2015103729A (ja) 2013-11-27 2015-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6320129B2 (ja) 2014-04-02 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
KR102021708B1 (ko) 2015-09-17 2019-09-16 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN108475624B (zh) 2016-02-29 2023-10-20 株式会社国际电气 半导体器件的制造方法、衬底处理装置以及记录介质

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658834A (en) * 1993-07-07 1997-08-19 Syracuse University Forming B1-x Cx semiconductor layers by chemical vapor deposition
US6440786B1 (en) * 1996-09-20 2002-08-27 Board Of Regents, University Of Nebraska-Lincoln Boron-carbide and boron rich rhobohedral based transistors and tunnel diodes
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US20040084690A1 (en) * 2002-11-06 2004-05-06 Dowben Peter A. N-type boron-carbide semiconductor polytype and method of fabricating the same
US20130171839A1 (en) * 2011-09-09 2013-07-04 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices

Also Published As

Publication number Publication date
TW201521115A (zh) 2015-06-01
TW201739954A (zh) 2017-11-16
KR102135799B1 (ko) 2020-07-21
KR20150044416A (ko) 2015-04-24
US20170309471A1 (en) 2017-10-26
US20150104954A1 (en) 2015-04-16
US20150104955A1 (en) 2015-04-16
US9543140B2 (en) 2017-01-10
US9922817B2 (en) 2018-03-20
US20190378709A1 (en) 2019-12-12
US10410856B2 (en) 2019-09-10
US10790137B2 (en) 2020-09-29
US9362109B2 (en) 2016-06-07
KR20190089131A (ko) 2019-07-30
US20180330939A1 (en) 2018-11-15
TWI602247B (zh) 2017-10-11
KR102002481B1 (ko) 2019-07-22

Similar Documents

Publication Publication Date Title
TWI645067B (zh) 含硼與碳材料的沈積
US9576790B2 (en) Deposition of boron and carbon containing materials
CN106992114B (zh) 含硅薄膜的高温原子层沉积
US20200365392A1 (en) Deposition of SiN
US10186420B2 (en) Formation of silicon-containing thin films
US20200126788A1 (en) Atomic layer deposition of silicon carbon nitride based material
KR20220137859A (ko) 기판 상의 구조물 형성 방법
CN109252145B (zh) 用于在低温下沉积SiN的Si前体
EP3663301B1 (en) Boron-containing compounds, compositions, and methods for the deposition of boron containing films
TW202208663A (zh) 含矽及氮膜的製造方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
TWI673761B (zh) 摻雜半導體基板的方法及沈積含硼及碳的膜的方法
US11056353B2 (en) Method and structure for wet etch utilizing etch protection layer comprising boron and carbon