JP2014096599A - ケイ素含有フィルムの低温堆積 - Google Patents

ケイ素含有フィルムの低温堆積 Download PDF

Info

Publication number
JP2014096599A
JP2014096599A JP2013268396A JP2013268396A JP2014096599A JP 2014096599 A JP2014096599 A JP 2014096599A JP 2013268396 A JP2013268396 A JP 2013268396A JP 2013268396 A JP2013268396 A JP 2013268396A JP 2014096599 A JP2014096599 A JP 2014096599A
Authority
JP
Japan
Prior art keywords
clsi
plasma
nitrogen
silicon
containing material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013268396A
Other languages
English (en)
Other versions
JP5890386B2 (ja
Inventor
Liu Yang
ヤン,リウ
Xinjian Lei
レイ,シンチャン
Bing Fan
ハン,ビン
Manchao Xiao
シャオ,マンチャオ
Joseph Karwacki Eugene Jr
ジョセフ,ジュニア カーワクキ,ユージン
Kazuhide Hasebe
一秀 長谷部
Masanobu Matsunaga
正信 松永
Masahito Yonezawa
雅人 米澤
Hansong Cheng
チェン,ハンソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Air Products and Chemicals Inc
Original Assignee
Tokyo Electron Ltd
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/476,734 external-priority patent/US8298628B2/en
Application filed by Tokyo Electron Ltd, Air Products and Chemicals Inc filed Critical Tokyo Electron Ltd
Publication of JP2014096599A publication Critical patent/JP2014096599A/ja
Application granted granted Critical
Publication of JP5890386B2 publication Critical patent/JP5890386B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】本発明は、窒化ケイ素、酸窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、炭素ドープ酸窒化フィルムを低い堆積温度で形成する方法を開示する。
【解決手段】この堆積に用いられるケイ素含有前駆体は、モノクロロシラン(MCS)及びモノクロロアルキルシランである。この方法は、好ましくは、プラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長を用いることによって実行される。
【選択図】図2

Description

窒化ケイ素の薄膜は、そのユニークな物理的、化学的及び機械的特性に起因して、様々な用途で幅広く用いられてきた。特に半導体デバイスにおいて、窒化ケイ素フィルムは、ゲート絶縁体、拡散マスク、サイドウォールスペーサ、不動態化材及びカプセル化材等として用いられている。典型的には、トランジスタ工程(FEOL:Front End of Line)で用いられる窒化ケイ素フィルムは、現在、ジクロロシラン及びアンモニアを用いて、750℃超のホットウォール型の(hot wall)リアクター中で、低圧化学気相成長(LPCVD:Low pressure chemical vapor deposition)によって堆積させている。しかし、集積回路の水平寸法及び垂直寸法が縮小し続けるにしたがい、Siと金属との不必要な反応を避けるために、また正確なドーピングプロファイル制御を有する超高集積デバイスを実現するために、窒化ケイ素フィルムをずっと低い温度(550℃未満)で堆積させる需要が増加している。
低温で窒化ケイ素フィルムを成長させるために、近年、少量のGeの添加が、窒化ケイ素フィルムの必要堆積温度の低下を可能とする場合があることが報告されている(特許文献1)。しかし、これは、そのフィルムに望ましくない不純物を導入し、フィルムが適合するデバイスに信頼性の問題を引き起こす場合があり、また堆積プロセスの複雑性及びコストを増加させる場合もある。
相補型金属酸化物半導体(CMOS)トランジスタ性能を向上させる近年の革新は、現在の超大規模集積技術と適合する歪みセラミック層(strained ceramic layer)に対する産業的需要を発生させた。特に、N型金属酸化物半導体(NMOS)トランジスタに対するチャネルキャリア移動度を、MOSトランジスタのチャネル領域への一軸又は二軸の引張り歪みの導入を通じて、向上させることができる。同様に、圧縮的に歪ませたフィルムを、P型金属酸化物半導体(PMOS)トランジスタに対するチャネルキャリア移動度の増加を実現するために用いることができる。特許文献2では、歪ませたSiNフィルム及び、その歪ませたSiNフィルムを含む半導体デバイスを形成する方法が開示されている。
米国特許第7,119,016号 米国特許出願公開第2008/0081470号
本発明は、窒化ケイ素、酸窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、炭素ドープ酸窒化物のフィルムを低い堆積温度で堆積する方法を開示する。この堆積に用いられるケイ素含有前駆体は、モノクロロシラン(MCS)及びモノクロロアルキルシランである。
一実施態様によると、本発明は、窒化ケイ素又は炭素ドープ窒化ケイ素を、プロセスチャンバー中で基材に堆積させる方法に関する。この方法は、次のステップを含む:
a.基材と窒素含有原料とを接触させて、基材上に、窒素含有原料の少なくとも一部を吸着させるステップ;
b.未吸着の窒素含有原料をパージするステップ;
c.基材とケイ素含有前駆体とを接触させて、吸着した窒素含有原料の一部と反応させるステップ;及び
d.未反応のケイ素含有原料をパージするステップ;
ここで、この方法はプラズマで促進される方法である。
他の一実施態様によると、本発明は、酸化ケイ素又は炭素ドープ酸化ケイ素を、プロセスチャンバー中で基材に堆積させる方法に関する。この方法は、次のステップを含む:
a.基材と酸素含有原料とを接触させて、基材上に、酸素含有原料の少なくとも一部を吸着させるステップ;
b.未吸着の酸素含有原料をパージするステップ;
c.基材とケイ素含有前駆体とを接触させて、吸着した酸素含有原料の一部と反応させるステップ;及び
d.未反応のケイ素含有原料をパージするステップ;
他の一実施態様によると、本発明は、酸窒化ケイ素又は炭素ドープ酸窒化ケイ素をプロセスチャンバー中で基材に堆積させる方法に関する。この方法は、次のステップを含む: a.基材と、酸素含有原料及び窒素含有原料の混合物とを接触させて、基材上に、酸素含有原料の少なくとも一部及び窒素含有原料の少なくとも一部を吸着させるステップ;
b.未吸着の酸素含有原料及び窒素含有原料をパージするステップ;
c.基材とケイ素含有前駆体とを接触させて、吸着した酸素含有原料及び窒素含有原料の一部と反応させるステップ;及び
d.未反応のケイ素含有原料をパージするステップ;
上記の実施態様における方法は、好ましくはプラズマで促進される方法、たとえばプラズマ原子層堆積(PEALD:plasma enhanced atomic layer deposition)、プラズマ化学気相成長(PECVD:plasma enhanced chemical vapor deposition)、プラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ(in−situ generated plasma)又はリモート生成プラズマ(remotely generated plasma)である。
モノクロロシラン(MCS)及びジクロロシラン(DCS)を用いてPEALDにより堆積させた窒化ケイ素フィルムの、ウェットエッチングレートの比較データを与える。 モノクロロシラン(MCS)及びジクロロシラン(DCS)を用いて、アンモニアプラズマ下で450℃で堆積させたALD窒化ケイ素フィルムに関する、二次イオン質量分析(SIMS)によって解析された塩化物濃度の比較データを与える。
本発明は、窒化ケイ素、酸窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素及び炭素ドープ酸窒化フィルムを、低い堆積温度で形成する課題に向けられる。
ジクロロシラン(DCS)は、アンモニアと反応させることによって窒化ケイ素を堆積させるためのケイ素原料として、半導体産業で幅広く用いられてきた。典型的な堆積温度は、550℃超であり、その副生成物は、DCS1モル当たり、2モルのHClである。本発明は、モノクロロシラン(MCS)を用いてDCSを置き換えて、堆積温度を低下させ、且つ生成フィルム中への塩化物の混入も低下させる。
Figure 2014096599
アンモニアプラズマ下でのDCS及びモノクロロシランに関するサイクリック化学気相成長法又は原子層堆積法の反応を理解するために、スピン偏極密度汎関数理論及びPW91交換相関汎関数を用いて、量子力学計算を実行した。偏極関数で拡張された二重数値原子軌道基底関数を用いて、分子種の電子構造を表した。基底状態の分子構造が、完全な構造最適化で得た。DCS又はMCSと、アンモニアプラズマ下で生成されたNH・ラジカルとのさまざまな反応に対して計算された熱化学エネルギーを、表1に示す。
表1に示した計算データから、アンモニアプラズマとの反応に関して、Si−H結合を熱化学的に切断するためには(反応2、6、10)、その化学的プロセスは、穏やかに発熱性であることが明らかである。これに対して、Si−Cl結合をアンモニアプラズマによって切断するためには、反応(反応1、5、9)は、すべて吸熱性である。アンモニアプラズマとの反応に関して、Si−Cl結合よりも、Si−H結合を切断するほうが、ずっと容易である。これは、DCSによって固定された−SiHCl部と反応するのよりもずっと簡単に、NH・ラジカルが、−SiH部と反応するであろうことを示唆している。ここで、−SiH部は、MCSと基材表面とが反応することによって半作製基材(semi−fabricated substrate)上に固定されるものである。結果として、ALD反応温度と塩化物の混入を、低下させることができる。
実施例:窒化ケイ素フィルム
この実施例において、酸化ケイ素フィルムを次のステップを用いて堆積させた。
フィルムを堆積させる基材を、ホットウォール型の原子層堆積反応器に装填した。反応器を、Arでフラッシュして、そして0.1Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われた温度まで加熱した。
Si前駆体としてMCS(モノクロロシラン)を、一定流量で反応器に導入した。一定の短時間(典型的には10秒)で、反応器をMCSで飽和させ、そして0.1Tまでポンプで排出し、続いて一定流量のNHを導入した。NH前駆体の飽和の後に再び一定の短時間(典型的には20秒)で、反応器をポンプで排出した。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
プラズマの電源を、約100Wに設定し、且つ温度を、約450℃に設定した。
プラズマは、窒素プラズマ、窒素及び水素の混合物、又は窒素及びアルゴンの混合物とすることができる。プラズマは、その場(in−situ)プラズマ又はリモートで生成することができる。MCSを、プラズマ励起することもできる。
図1は、PEALDにより堆積させた窒化ケイ素フィルムの、ウェットエッチングレートの比較データを与える。図1は、モノクロロシラン(MCS)からのPEALDフィルムが、DCSのものより、ずっとエッチング耐性があることを示している。
図2は、アンモニアプラズマ下で450℃で堆積させたALD窒化ケイ素フィルムに対する、SIMSによって解析された塩化物濃度の比較データを与える。図2は、MCSが、比較的低い塩化物含量、又は比較的低い塩化物混入を与えることを示唆している。
実施態様1:酸化ケイ素フィルム
本実施態様において、酸化ケイ素フィルムを形成する方法は、次のステップを有する。
フィルムを堆積させる基材を、ホットウォール型のCVD反応器又はALD反応器に装填する。反応器を、Arでフラッシュして、そして2Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われる温度まで加熱する。
CVDプロセスに関して、Si前駆体として一定流量のMCS(モノクロロシラン)を、反応器に導入する。酸素前駆体として一定流量のオゾンを、MCSと同時に反応器に導入する。所望のフィルム厚みが達成されたときに、その流れを止めて、そして堆積プロセスを止める。
ALDプロセス又はサイクリックCVDプロセスに関して、Si前駆体として一定流量のMCS(モノクロロシラン)を、反応器に導入する。一定の短時間(典型的には10秒)で、反応器をMCSで飽和させ、そして2Tまでポンプで排出し、続いて一定流量のオゾン又はプラズマ励起Oを導入する。N前駆体の飽和の後に再び一定の短時間(典型的には10秒)で、反応器をポンプで排出する。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
この方法は、好ましくはプラズマを援用した方法、例えばプラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ又はリモート生成プラズマである。
この堆積プロセスは、550℃以下の温度で実行される。
実施態様2:酸窒化ケイ素フィルム
本実施態様において、酸窒化ケイ素フィルムを形成する方法は、次のステップを含む。
フィルムを堆積させる基材を、ホットウォール型のCVD反応器又はALD反応器に装填する。反応器をArでフラッシュして、そして2Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われる温度まで加熱する。
CVDプロセスに関して、Si前駆体として一定流量のMCS(モノクロロシラン)を、反応器に導入する。一定流量の窒素原料、例えばNH及び酸素前駆体として一定流量のOを、MCSと同時に導入する。所望のフィルム厚みが達成されたときに、その流れを止めて、そして堆積プロセスを止める。
ALDプロセス又はサイクリックCVDプロセスに関して、Si前駆体として一定流量のMCS(モノクロロシラン)を、反応器に導入する。一定の短時間(典型的には10秒)で、反応器をMCSで飽和させ、そして2Tまでポンプで排出し、続いて酸素前駆体として一定流量のO及び一定流量のNHを導入する。N前駆体の飽和の後に再び一定の短時間(典型的には10秒)で、反応器をポンプで排出する。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
この方法は、好ましくはプラズマを援用した方法、例えばプラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ又はリモート生成プラズマである。
この堆積プロセスは、550℃以下の温度で実行される。
実施態様3:炭素ドープ窒化ケイ素フィルム
本実施態様において、炭素ドープ窒化ケイ素フィルムを形成する方法は、次のステップを含む。
フィルムを堆積させる基材を、ホットウォール型のCVD反応器又はALD反応器に装填する。反応器を、Arでフラッシュして、そして2Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われる温度まで加熱する。
CVDプロセスに関して、Si前駆体として、次の一般式を有する一定流量のモノクロロアルキルシランを、反応器に導入する:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。一定流量の窒素原料、例えばNHを、モノクロロアルキルシランと同時に導入する。所望のフィルム厚みが達成されたときに、その流れを止めて、そして堆積プロセスを止める。
この方法は、好ましくはプラズマを援用した方法、例えばプラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ又はリモート生成プラズマである。
ALDプロセス又はサイクリックCVDプロセスに関して、上述した一定流量のSi前駆体を、反応器に導入する。一定の短時間(典型的には10秒)で、反応器をSi前駆体で飽和させ、そして2Tまでポンプで排出し、続いて一定流量のNHを導入する。N前駆体の飽和の後に再び一定の短時間(典型的には10秒)で、反応器をポンプで排出する。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
モノクロロアルキルシランの例は、ClSiMeH、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)Hがある。
この堆積プロセスは、550℃以下の温度で実行される。
実施態様4:炭素ドープ酸化ケイ素フィルム
本実施態様において、炭素ドープ酸化ケイ素フィルムを形成する方法は、次のステップを含む。
フィルムを堆積させる基材を、ホットウォール型のCVD反応器又はALD反応器に装填する。反応器を、Arでフラッシュして、そして2Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われる温度まで加熱する。
CVDプロセスに関して、Si前駆体として、次の一般式を有する一定流量のモノクロロアルキルシランを、反応器に導入する:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。一定流量の酸素原料、例えばオゾンを、そのSi前駆体と同時に導入する。所望のフィルム厚みが達成されたときに、その流れを止めて、そして堆積プロセスを止める。
この方法は、好ましくはプラズマを援用した方法、例えばプラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ又はリモート生成プラズマである。
ALDプロセス又はサイクリックCVDプロセスに関して、上述した一定流量のSi前駆体を、反応器に導入する。反応器を、一定の短時間(典型的には10秒)の間に、Si前駆体で飽和させ、そして2Tまでポンプで排出し、続いて一定流量のオゾンを導入する。N前駆体の飽和の後に再び一定の短時間(典型的には10秒)で、反応器をポンプで排出する。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
モノクロロアルキルシランの例は、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)Hがある。
この堆積プロセスは、550℃以下の温度で実行される。
実施態様5:酸窒化ドープ酸化ケイ素フィルム
本実施態様において、炭素ドープ酸窒化ケイ素フィルムを形成する方法は、次のステップを含む。
フィルムを堆積させる基材を、ホットウォール型のCVD反応器又はALD反応器に装填する。反応器を、Arでフラッシュして、そして2Torr(T)未満の低圧までポンプで排出し、そしてフィルムの堆積が行われる温度まで加熱する。
CVDプロセスに関して、Si前駆体として、次の一般式を有する一定流量のモノクロロアルキルシランを、反応器に導入する:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。一定流量の窒素原料、例えばNH及び酸素前駆体として一定流量のOを、そのSi前駆体と同時に導入する。所望のフィルム厚みが達成されたときに、その流れを止めて、そして堆積プロセスを止める。
ALDプロセス又はサイクリックCVDプロセスに関して、上述した一定流量のSi前駆体を、反応器に導入する。一定の短時間(典型的には10秒)で、反応器をSi前駆体で飽和させ、そして2Tまでポンプで排出し、続いて一定流量のオゾンを導入する。N前駆体の飽和の後に再び一定の短時間(典型的には10秒)で、反応器をポンプで排出する。このサイクルを、所望のフィルム厚みが達成されるまで繰り返す。
この方法は、好ましくはプラズマを援用した方法、例えばプラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長である。プラズマは、その場生成プラズマ又はリモート生成プラズマである。
モノクロロアルキルシランの例は、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)Hがある。
この堆積プロセスは、550℃以下の温度で実行される。
上記の本発明の実施例及び実施態様は、本発明で実施することができる多くの実施態様の典型である。この方法の多くの他の構成を使用することができ、且つこの方法で用いられる材料を、具体的に開示したもの以外の多くの材料から選択することができると考慮される。

Claims (22)

  1. 次のステップを含む方法であって、プラズマで促進される、窒化ケイ素又は炭素ドープ窒化ケイ素をプロセスチャンバー中で基材に堆積させる方法:
    a.前記基材と窒素含有原料とを接触させて、前記基材上に、前記窒素含有原料の少なくとも一部を吸着させるステップ;
    b.未吸着の窒素含有原料をパージするステップ;
    c.前記基材とケイ素含有前駆体とを接触させて、吸着した窒素含有原料の前記一部と反応させるステップ;及び
    d.未反応のケイ素含有原料をパージするステップ。
  2. 前記ケイ素含有原料が、モノクロロシランである、窒化ケイ素を堆積させる請求項1に記載の方法。
  3. 前記ケイ素含有原料が、次の一般式を有するモノクロロアルキルシランである、請求項1に記載の炭素ドープ窒化ケイ素を堆積する方法:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。
  4. 前記ケイ素含有原料が、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H及びこれらの混合物からなる群より選択される、請求項3に記載の方法。
  5. プラズマ原子層堆積、及びプラズマサイクリック化学気相成長からなる群より選択される方法であって、前記プラズマが、アンモニアプラズマ、窒素プラズマ、窒素プラズマ及び水素プラズマの混合物、並びに窒素プラズマ及びアルゴンプラズマの混合物からなる群より選択され、プラズマ励起ケイ素前駆体が任意である、請求項1に記載の方法。
  6. 前記プラズマが、その場生成プラズマ、又はリモート生成プラズマである、請求項5に記載の方法。
  7. 窒化ケイ素を堆積させるための前記窒素含有原料が、窒素、アンモニア、ヒドラジン、モノアルキルヒドロジン、ジアルキルヒドロジン及びこれらの混合物から選択され;炭素ドープ窒化ケイ素を堆積させるための前記窒素含有前駆体が、窒素、アンモニア、ヒドラジン、モノアルキルヒドロジン、ジアルキルヒドロジン、ヒドロキシルアミン(NHOH)、tert−ブチルアミン(NHC(CH)、アリルアミン(NHCHCHCH)、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン、トリエチルアミン及びこれらの混合物から選択される、請求項1に記載の方法。
  8. 次のステップを含む、酸化ケイ素又は炭素ドープ酸化ケイ素を、プロセスチャンバー中で基材に堆積させる方法:
    a.前記基材と酸素含有原料とを接触させて、前記基材上に、前記酸素含有原料の少なくとも一部を吸着させるステップ;
    b.未吸着の酸素含有原料をパージするステップ;
    c.前記基材とケイ素含有前駆体とを接触させて、吸着した酸素含有原料の前記一部と反応させるステップ;及び
    d.未反応のケイ素含有原料をパージするステップ;
  9. 前記ケイ素含有原料が、モノクロロシランである、酸化ケイ素を堆積させる請求項8に記載の方法。
  10. 前記ケイ素含有原料が、次の一般式を有するモノクロロアルキルシランである、炭素ドープ酸化ケイ素を堆積させる請求項8に記載の方法:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。
  11. 前記ケイ素含有原料が、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H及びこれらの混合物からなる群より選択される、請求項10に記載の方法。
  12. 前記方法が、プラズマ原子層堆積、プラズマ化学気相成長、及びプラズマサイクリック化学気相成長からなる群より選択される、請求項8に記載の方法。
  13. 前記プラズマが、その場生成プラズマ、又はリモート生成プラズマである、請求項12に記載の方法。
  14. 前記酸素含有原料が、酸素、水、窒素酸化物、オゾン、及びこれらの混合物からなる群より選択される、請求項8に記載の方法。
  15. 次のステップを含む、酸窒化ケイ素、又は炭素ドープ酸窒化ケイ素を、プロセスチャンバー中で基材に堆積させる方法:
    a.前記基材と、酸素含有原料及び窒素含有原料の混合物とを接触させて、前記基材上に、前記酸素含有原料の少なくとも一部及び窒素含有原料の少なくとも一部を吸着させるステップ;
    b.未吸着の酸素含有原料及び窒素含有原料をパージするステップ;
    c.前記基材とケイ素含有前駆体とを接触させて、吸着した酸素含有原料及び窒素含有原料の前記一部と反応させるステップ;及び
    d.未反応のケイ素含有原料をパージするステップ;
  16. 前記ケイ素含有原料が、モノクロロシランである、酸窒化ケイ素を堆積させる請求項15に記載の方法。
  17. 前記ケイ素含有原料が、次の一般式を有するモノクロロアルキルシランである、炭素ドープ酸窒化ケイ素を堆積させる請求項15に記載の方法:ClSiH m−x(ここで、x=1、2;m=1、2、3;n=0、1;n+m=<3;R及びRは、個々に、炭素数1〜10を有するアルキル、アルケニル、アルキニル、及びアリールからなる群より選択される直鎖、分岐鎖又は環状の基である)。
  18. 前記ケイ素含有原料が、ClSiEtH、ClSiEtH、ClSi(CH=CH)H、ClSi(CH=CH)MeH、ClSi(CH=CH)EtH、ClSi(CCH)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H、ClSi(iso−Pr)H、ClSi(sec−Bu)H、ClSi(tert−Bu)H及びこれらの混合物からなる群より選択される、請求項17に記載の方法。
  19. 前記方法が、プラズマ原子層堆積、プラズマ化学気相成長及びプラズマサイクリック化学気相成長からなる群より選択される、請求項15に記載の方法。
  20. 前記プラズマが、その場生成プラズマ、又はリモート生成プラズマである、請求項19に記載の方法。
  21. 前記酸素含有原料が、酸素、水、窒素酸化物、オゾン、及びこれらの混合物からなる群より選択される、請求項15に記載の方法。
  22. 前記窒素含有原料が、窒素、アンモニア、ヒドラジン、モノアルキルヒドロジン、ジアルキルヒドロジン及びこれらの混合物から選択され;炭素ドープ窒化ケイ素を堆積させるための前記窒素含有前駆体が、窒素、アンモニア、ヒドラジン、モノアルキルヒドロジン、ジアルキルヒドロジン、ヒドロキシルアミン(NHOH)、tert−ブチルアミン(NHC(CH)、アリルアミン(NHCHCHCH)、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン、トリエチルアミン及びこれらの混合物から選択される、請求項15に記載の方法。
JP2013268396A 2008-06-03 2013-12-26 ケイ素含有フィルムの低温堆積 Active JP5890386B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US5837408P 2008-06-03 2008-06-03
US61/058,374 2008-06-03
US12/476,734 2009-06-02
US12/476,734 US8298628B2 (en) 2008-06-02 2009-06-02 Low temperature deposition of silicon-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012168226A Division JP5453495B2 (ja) 2008-06-03 2012-07-30 ケイ素含有フィルムの低温堆積

Publications (2)

Publication Number Publication Date
JP2014096599A true JP2014096599A (ja) 2014-05-22
JP5890386B2 JP5890386B2 (ja) 2016-03-22

Family

ID=43775435

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2011512610A Active JP5102393B2 (ja) 2008-06-03 2009-06-03 ケイ素含有フィルムの低温堆積
JP2012168226A Active JP5453495B2 (ja) 2008-06-03 2012-07-30 ケイ素含有フィルムの低温堆積
JP2013268396A Active JP5890386B2 (ja) 2008-06-03 2013-12-26 ケイ素含有フィルムの低温堆積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2011512610A Active JP5102393B2 (ja) 2008-06-03 2009-06-03 ケイ素含有フィルムの低温堆積
JP2012168226A Active JP5453495B2 (ja) 2008-06-03 2012-07-30 ケイ素含有フィルムの低温堆積

Country Status (3)

Country Link
JP (3) JP5102393B2 (ja)
KR (2) KR101266135B1 (ja)
CN (1) CN102047386B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022003689A (ja) * 2015-11-12 2022-01-11 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11996284B2 (en) 2015-11-12 2024-05-28 Asm Ip Holding B.V. Formation of SiOCN thin films

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5841222B2 (ja) * 2010-04-12 2016-01-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140059107A (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
JP5847783B2 (ja) * 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9644269B2 (en) * 2014-01-30 2017-05-09 Varian Semiconductor Equipment Associates, Inc Diffusion resistant electrostatic clamp
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11017997B2 (en) * 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
KR102105977B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
EP3680245A4 (en) 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd SILICON PRECURSOR AND METHOD FOR PRODUCING A THIN FILM CONTAINING SILICON USING THEREOF
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102364476B1 (ko) 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
KR20240043711A (ko) 2022-09-27 2024-04-03 메르크 파텐트 게엠베하 비대칭 구조의 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 함유 박막의 제조방법

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6246915A (ja) * 1985-08-26 1987-02-28 Mitsui Toatsu Chem Inc シラン類の不均化の方法
JPH05182952A (ja) * 1991-12-27 1993-07-23 Matsushita Electric Ind Co Ltd 半導体装置
US5250473A (en) * 1990-08-06 1993-10-05 U.S. Philips Corp. Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pressure (LPCVD)
JPH0776622A (ja) * 1993-09-08 1995-03-20 Mitsui Toatsu Chem Inc ポリ(シリレン)アセチレン類およびその製造方法
JPH08148481A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Ind Co Ltd 絶縁薄膜の形成方法
JP2001122609A (ja) * 1999-10-27 2001-05-08 Tokuyama Corp シラン化合物の不均化反応生成物の製造方法
JP2001122965A (ja) * 1999-10-25 2001-05-08 Chisso Corp ヒドロシリル基含有ポリシルセスキオキサン化合物、及びその製造方法
WO2006083821A1 (en) * 2005-02-04 2006-08-10 Asm America, Inc. Selective deposition of silicon-containing films
JP2006290747A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 絶縁膜用フェニル含有シランとそれを用いた絶縁膜の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2800210B2 (ja) * 1988-12-19 1998-09-21 セイコーエプソン株式会社 電界効果トランジスタの製造方法
JPH07193129A (ja) * 1993-12-27 1995-07-28 Kawasaki Steel Corp 半導体装置の製造方法
JP2003528467A (ja) * 2000-03-20 2003-09-24 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置およびその製造方法
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
TW473915B (en) * 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2004022595A (ja) * 2002-06-12 2004-01-22 Toshiba Corp 絶縁膜の製造方法、およびプラズマcvd装置
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
JP2005057133A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法及び基板処理装置
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR100676521B1 (ko) * 2005-12-19 2007-02-01 주식회사 실트론 저온 산화물 배면 실 형성 방법 및 이를 사용하여 제조되는웨이퍼
KR20070099913A (ko) * 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6246915A (ja) * 1985-08-26 1987-02-28 Mitsui Toatsu Chem Inc シラン類の不均化の方法
US5250473A (en) * 1990-08-06 1993-10-05 U.S. Philips Corp. Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pressure (LPCVD)
JPH05182952A (ja) * 1991-12-27 1993-07-23 Matsushita Electric Ind Co Ltd 半導体装置
JPH0776622A (ja) * 1993-09-08 1995-03-20 Mitsui Toatsu Chem Inc ポリ(シリレン)アセチレン類およびその製造方法
JPH08148481A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Ind Co Ltd 絶縁薄膜の形成方法
JP2001122965A (ja) * 1999-10-25 2001-05-08 Chisso Corp ヒドロシリル基含有ポリシルセスキオキサン化合物、及びその製造方法
JP2001122609A (ja) * 1999-10-27 2001-05-08 Tokuyama Corp シラン化合物の不均化反応生成物の製造方法
WO2006083821A1 (en) * 2005-02-04 2006-08-10 Asm America, Inc. Selective deposition of silicon-containing films
JP2008530782A (ja) * 2005-02-04 2008-08-07 エーエスエム アメリカ インコーポレイテッド シリコン含有膜の選択的堆積
JP2006290747A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 絶縁膜用フェニル含有シランとそれを用いた絶縁膜の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022003689A (ja) * 2015-11-12 2022-01-11 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
JP7135187B2 (ja) 2015-11-12 2022-09-12 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US11996284B2 (en) 2015-11-12 2024-05-28 Asm Ip Holding B.V. Formation of SiOCN thin films
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films

Also Published As

Publication number Publication date
JP5890386B2 (ja) 2016-03-22
JP2012216873A (ja) 2012-11-08
KR101444707B1 (ko) 2014-09-26
CN102047386B (zh) 2013-06-19
JP5102393B2 (ja) 2012-12-19
JP2011524087A (ja) 2011-08-25
KR20130039769A (ko) 2013-04-22
KR101266135B1 (ko) 2013-05-27
JP5453495B2 (ja) 2014-03-26
CN102047386A (zh) 2011-05-04
KR20110017404A (ko) 2011-02-21

Similar Documents

Publication Publication Date Title
JP5890386B2 (ja) ケイ素含有フィルムの低温堆積
US8906455B2 (en) Low temperature deposition of silicon-containing films
JP4906270B2 (ja) デバイス性能を向上させるコンタクト・エッチング層用の新材料
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
KR100943113B1 (ko) 실리콘 질화물 화학 기상 증착용 방법
US9984868B2 (en) PEALD of films comprising silicon nitride
JP5631958B2 (ja) シリコン酸化物含有膜の形成方法
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
JP2007509836A (ja) 窒化シリコンの低温堆積
US11823893B2 (en) Methods of depositing SiCON with C, O, and N compositional control
TWI385270B (zh) 含矽膜的低溫沉積方法
TWI246719B (en) Low temperature deposition of silicon nitride
US6759346B1 (en) Method of forming dielectric layers

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150518

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160218

R150 Certificate of patent or registration of utility model

Ref document number: 5890386

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250