CN102047386A - 含硅薄膜的低温沉积 - Google Patents

含硅薄膜的低温沉积 Download PDF

Info

Publication number
CN102047386A
CN102047386A CN2009801202775A CN200980120277A CN102047386A CN 102047386 A CN102047386 A CN 102047386A CN 2009801202775 A CN2009801202775 A CN 2009801202775A CN 200980120277 A CN200980120277 A CN 200980120277A CN 102047386 A CN102047386 A CN 102047386A
Authority
CN
China
Prior art keywords
clsi
plasma
source
substrate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801202775A
Other languages
English (en)
Other versions
CN102047386B (zh
Inventor
杨柳
雷新建
韩冰
萧满超
E·J·卡瓦基
长谷部一秀
松永正信
米泽雅人
程寒松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Air Products and Chemicals Inc
Original Assignee
Tokyo Electron Ltd
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/476,734 external-priority patent/US8298628B2/en
Application filed by Tokyo Electron Ltd, Air Products and Chemicals Inc filed Critical Tokyo Electron Ltd
Publication of CN102047386A publication Critical patent/CN102047386A/zh
Application granted granted Critical
Publication of CN102047386B publication Critical patent/CN102047386B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明公开了在低沉积温度下形成氮化硅、氧氮化硅、氧化硅、碳掺杂的氮化硅、碳掺杂的氧化硅和碳掺杂的氧氮化硅薄膜的方法。用于沉积的含硅前体是一氯甲硅烷和一氯烷基硅烷。该方法优选通过使用等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积进行。

Description

含硅薄膜的低温沉积
相关申请的交叉引用
本申请要求于2008年6月2日提交的美国临时申请第61/057891号、2008年6月3日提交的美国临时申请第61/058,374号和2009年6月2日提交的美国非临时申请第12/476734号的优先权。本文通过引用并入这些临时申请的公开内容。
发明背景
由于其独特的物理、化学和机械性能,氮化硅薄膜已广泛用于各种应用中。特别是在半导体设备中,氮化硅薄膜用作栅极绝缘、扩散掩模、侧壁隔片、钝化和封装等。通常情况下,目前在>750℃的热壁反应器中使用二氯甲硅烷和氨通过低压化学气相沉积法(LPCVD)沉积用于前端工艺(Front End Of Line,FEOL)的氮化硅薄膜。但是,由于集成电路(IC)的横向和竖向尺寸继续缩小,为了避免Si和金属之间的不必要的反应和实现具有精确的掺杂分布控制的超高集成装置,存在着对在低得多的温度(<550℃)下沉积氮化硅薄膜的不断增加的需求。
为了在低温下生长氮化物薄膜,最近有报道说,加入少量的Ge可能导致氮化硅薄膜沉积所需要的温度降低(US 7,119,016B2)。但是,这可能会向薄膜中引入不想要的杂质,造成薄膜适用的设备的可靠性问题,也可能增加沉积过程的复杂性和成本。
最近对于提高互补金属氧化物半导体(CMOS)晶体管性能的创新已经产生了对于与当前的超大规模集成(ULSI)技术兼容的应变(strained)陶瓷层的工业需求。特别是,可以通过在MOS晶体管的通道区域引入拉伸单轴或双轴应变(strain),提高负性金属氧化物半导体(NMOS)晶体管的通道载体迁移率。同样,压缩应变的薄膜可用于实现正性金属氧化物半导体(PMOS)晶体管的通道载体迁移率的增强。在美国公布2008/0081470A1中,公开了用于形成应变SiN薄膜和包含该应变SiN薄膜的半导体装置的方法。
发明内容
本发明公开了在低沉积温度下沉积氮化硅、氧氮化硅、氧化硅、碳掺杂的氮化硅、碳掺杂的氧化硅和碳掺杂的氧氮化硅薄膜的方法。用于沉积的含硅前体是一氯甲硅烷(monochlorosilane,MCS)和一氯烷基硅烷(monochloroalkylsilanes)。
根据一个实施方式,本发明涉及一种在处理室中在基底上沉积氮化硅或碳掺杂的氮化硅的方法,包括:
a.将基底与含氮源接触,以在基底上吸收含氮源的至少一部分;
b.清洗未吸收的含氮源;
c.将基底与含硅前体接触,以与吸收的含氮源的部分反应;和
d.清洗未反应的含硅源;
其中,该方法是等离子体增强的方法。
根据另一个实施方式,本发明涉及一种在处理室中在基底上沉积氧化硅或碳掺杂的氧化硅的方法,包括:
a.将基底与含氧源接触,以在基底上吸收含氧源的至少一部分;
b.清洗未吸收的含氧源;
c.将基底与含硅前体接触,以与吸收的含氧源的部分反应;和
d.清洗未反应的含硅源。
根据另一个实施方式,本发明涉及一种在处理室中在基底上沉积氧氮化硅或碳掺杂的氧氮化硅的方法,包括:
a.将基底与含氧源和含氮源的混合物接触,以在基底上吸收含氧源的至少一部分和含氮源的至少一部分;
b.清洗未吸收的含氧源和含氮源;
c.将基底与含硅前体接触,以与吸收的含氧源和含氮源的部分反应;和
d.清洗未反应的含硅源。
上述实施方式中的方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积(PEALD)、等离子体增强的化学气相沉积(PECVD)和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
附图说明
图1提供了使用一氯甲硅烷(MCS)和二氯甲硅烷(DCS)通过PEALD沉积的氮化硅薄膜的湿法蚀刻速率的比较数据。
图2提供了对于使用一氯甲硅烷(MCS)和二氯甲硅烷(DCS)在氨等离子体下、在450℃下沉积的ALD氮化硅薄膜通过二次离子质谱法(SIMS)分析的氯离子(chloride)浓度的比较数据。
具体实施方式
本发明是为了解决在低沉积温度下形成氮化硅、氧氮化硅、氧化硅、碳掺杂的氮化硅、碳掺杂的氧化硅和碳掺杂的氧氮化硅薄膜的问题。
二氯甲硅烷(DCS)作为硅源已被广泛用于半导体产业,以通过与氨的反应沉积氮化硅。典型的沉积温度大于550℃,且副产物是每摩尔DCS两摩尔的HCl。本发明使用一氯甲硅烷(MCS)取代DCS,以降低沉积温度以及产生的薄膜中的氯离子污染。
表1
Figure BPA00001263666700031
Figure BPA00001263666700041
为了理解在氨等离子下用于DCS和一氯甲硅烷的反应的循环化学气相沉积或原子层沉积过程,使用具有PW91交换关联泛函(exchange-correlation functional)的自旋极化密度泛函理论进行量子力学计算。极化函数增强的双数值原子轨道基础函数组(A double numerical atomic orbital basis set augumented with polarization functions)用来代表分子物质的电子结构。在完全几何最优化后获得基态分子结构。对于在氨等离子体下DCS或MCS与产生的NH2·自由基的各种反应计算的热化学能如表1中所示。
根据表1所示的计算数据,明显的是:对于与氨等离子体的反应,为热化学破坏Si-H键(反应2、6、10),化学过程是适度放热的。然而,为通过氨等离子体破坏Si-Cl键,反应(反应1、5、9)都是吸热的。对于与氨等离子体的反应,破坏Si-H键比破坏Si-Cl键容易得多,这表明NH2·自由基与通过MCS与基底表面反应而锚固于半制造基底上的-SiH3片段反应,比与通过DCS锚固的-SiH2Cl片段反应容易得多。因此,ALD的反应温度以及氯化物的污染可以降低。
工作实施例:氮化硅薄膜
在本工作实施例中,氧化硅薄膜已通过使用以下步骤沉积。
将待沉积薄膜的基底装载到热壁原子层沉积(ALD)反应器上。用Ar快速冲洗反应器,并抽吸至小于0.1托(T)的低压和加热至进行薄膜沉积的温度。
以固定的流速将作为硅前体的MCS(一氯甲硅烷)引入反应器中。用MCS饱和该反应器一段短的固定时间(通常是10秒),然后抽吸至0.1T,接着引入固定流速的NH3。在NH3前体饱和一段短的固定时间(通常是20秒)后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
等离子体功率设定为大约100W,且温度设定为大约450℃。
等离子体可以是氮等离子体、氮和氢等离子体的混合物或氮和氩的混合物。等离子体可以是原位产生的等离子体或远处产生的等离子体。MCS也可以是等离子体激发的。
图1提供了通过PEALD沉积的氮化硅薄膜的湿法蚀刻速率的比较数据。图1表明来自一氯甲硅烷(MCS)的PEALD薄膜比来自DCS的PEALD薄膜抗蚀刻性强得多。
图2提供了在氨等离子体下、在450℃下沉积的ALD氮化硅薄膜通过SIMS分析的氯离子浓度的比较数据。图2表明MCS给出较低的氯离子含量或较低的氯离子污染。
实施方式1:氧化硅薄膜
在本实施方式中,形成氧化硅薄膜的方法包括以下步骤。
将待沉积薄膜的基底装载到热壁CVD或ALD反应器上。用Ar快速冲洗反应器,并将其抽吸至小于2托(T)的低压和加热至进行薄膜沉积的温度。
对于CVD法,将作为硅前体的固定流速的MCS(一氯甲硅烷)引入反应器中。在引入MCS的同时,将作为氧前体的固定流速的臭氧引入反应器中。当达到所需的薄膜厚度时,流停止,然后沉积过程停止。
对于ALD或循环CVD法,将作为硅前体的固定流速的MCS(一氯甲硅烷)引入反应器中。用MCS饱和该反应器一段短的固定时间(通常少于10秒),然后抽吸至2T,接着引入固定流速的臭氧或等离子激发的O2。在N前体饱和一段短的固定时间(通常少于10秒)后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
本方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
沉积过程在等于或低于550℃的温度下进行。
实施方式2:氧氮化硅薄膜
在本实施方式中,形成氧氮化硅薄膜的方法包括以下步骤。
将待沉积薄膜的基底装载到热壁CVD或ALD反应器上。用Ar快速冲洗反应器,并将其抽吸至小于2T的低压和加热至进行薄膜沉积的温度。
对于CVD法,将作为硅前体的固定流速的MCS(一氯甲硅烷)引入反应器中。在引入MCS的同时,将固定流速的氮源(如NH3)和作为氧前体的固定流速的O2引入反应器中。当达到所需的薄膜厚度时,流停止,然后沉积过程停止。
对于ALD或循环CVD法,将作为硅前体的固定流速的MCS(一氯甲硅烷)引入反应器中。用MCS饱和该反应器一段短的固定时间(通常少于10秒),然后抽吸至2T,接着引入作为氧前体的固定流速的O2和固定流速的NH3。在N前体饱和一段短的固定时间(通常少于10秒)后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
本方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
沉积过程在等于或低于550℃的温度下进行。
实施方式3:碳掺杂的氮化硅薄膜
在本实施方式中,形成碳掺杂的氮化硅薄膜的方法包括以下步骤。
将待沉积薄膜的基底装载到热壁CVD或ALD反应器中。用Ar快速冲洗反应器,并将其抽吸至小于2T的低压加热至进行薄膜沉积的温度。
对于CVD法,将作为硅前体的固定流速的一氯烷基硅烷引入反应器,所述一氯烷基硅烷具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基、芳基。在引入一氯烷基硅烷的同时,将固定流速的氮源(诸如NH3)引入反应器中。当达到所需的薄膜厚度时,流停止,然后沉积过程停止。
本方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
对于ALD或循环CVD法,将上文公开的固定流速的硅前体引入反应器中。该反应器用硅前体饱和一段短的固定时间(通常少于10秒),然后抽吸至2T,接着引入固定流速的NH3。在N前体饱和一段短的固定时间(通常少于10秒)之后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
一氯烷基硅烷的例子为ClSiMeH2、ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2
沉积过程在等于或低于550℃的温度下进行。
实施方式4:碳掺杂的氧化硅薄膜
在本实施方式中,形成碳掺杂的氧化硅薄膜的方法包括以下步骤。
将待沉积薄膜的基底装载到热壁CVD或ALD反应器上。用Ar快速冲洗反应器,并将其抽吸至小于2T的低压和加热至进行薄膜沉积的温度。
对于CVD法,将作为硅前体的固定流速的一氯烷基硅烷引入反应器中,所述一氯烷基硅烷具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基、芳基。在引入硅前体的同时,将固定流速的氧源(诸如臭氧)引入反应器中。当达到所需的薄膜厚度时,流停止,然后沉积过程停止。
本方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
对于ALD或循环CVD法,将上文公开的固定流速的硅前体引入反应器中。该反应器用硅前体饱和一段短的固定时间(通常少于10秒),然后抽吸至2T,接着引入固定流速的臭氧。在N前体饱和一段短的固定时间(通常少于10秒)之后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
一氯烷基硅烷的例子为ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2
沉积过程在等于或低于550℃的温度下进行。
实施方式5:碳掺杂的氧氮化硅薄膜
在本实施方式中,形成碳掺杂的氧氮化硅薄膜的方法包括以下步骤。
将待沉积薄膜的基底装载到热壁CVD或ALD反应器上。用Ar快速冲洗反应器,并将其抽吸至小于2T的低压和加热至进行薄膜沉积的温度。
对于CVD法,将作为硅前体的固定流速的一氯烷基硅烷引入反应器中,所述一氯烷基硅烷具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基、芳基。在引入硅前体的同时,将固定流速的氮源(如NH3)和作为氧前体的固定流速的O2引入反应器中。当达到所需的薄膜厚度时,流停止,然后沉积过程停止。
对于ALD或循环CVD法,将上文公开的固定流速的硅前体引入反应器中。该反应器用硅前体饱和一段短的固定时间(通常少于10秒),然后抽吸至2T,接着引入固定流速的臭氧。在N前体饱和一段短的固定时间(通常少于10秒)之后,再次抽吸反应器。重复这一循环,直至达到所需的薄膜厚度。
本方法优选是等离子体增强的方法,诸如等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。等离子体是原位产生的等离子体或远处产生的等离子体。
一氯烷基硅烷的例子为ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2
沉积过程在等于或低于550℃的温度下进行。
上面列出的本发明的工作实施例和实施方式是可实施本发明的许多实施方式的示例。可以设想:可以使用本方法的许多其他配置,且本方法中使用的材料可以选自具体公开的那些材料之外的许多材料。

Claims (22)

1.一种在处理室中在基底上沉积氮化硅或碳掺杂的氮化硅的方法,包括:
a.将基底与含氮源接触,以在基底上吸收含氮源的至少一部分;
b.清洗未吸收的含氮源;
c.将基底与含硅前体接触,以与吸收的含氮源的部分反应;和
d.清洗未反应的含硅源;
其中,所述方法是等离子体增强的方法。
2.根据权利要求1的沉积氮化硅的方法,其中,所述含硅源是一氯甲硅烷。
3.根据权利要求1的沉积碳掺杂的氮化硅的方法,其中,所述含硅源是一氯烷基硅烷,具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基和芳基。
4.根据权利要求3所述的含硅源,选自ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2及其混合物。
5.根据权利要求1所述的方法,其选自等离子体增强的原子层沉积和等离子体增强的循环化学气相沉积;其中,所述等离子体选自氨等离子体、氮等离子体、氮和氢等离子体的混合物及氮和氩等离子体的混合物;等离子体激发的硅前体是任选的。
6.根据权利要求5的方法,其中,所述等离子体是原位产生的等离子体或远处产生的等离子体。
7.根据权利要求1的方法,其中,所述用于沉积氮化硅的含氮源选自氮、氨、肼、单烷基肼、二烷基肼及其混合物;和用于沉积碳掺杂的氮化硅的含氮源选自氮、氨、肼、单烷基肼、二烷基肼、羟胺(NH2OH)、叔丁胺(NH2C(CH3)3)、烯丙胺(NH2CH2CHCH2)、盐酸羟胺、甲胺、二乙胺、三乙胺及其混合物。
8.一种在处理室中在基底上沉积氧化硅或碳掺杂的氧化硅的方法,包括:
a.将基底与含氧源接触,以在基底上吸收含氧源的至少一部分;
b.清洗未吸收的含氧源;
c.将基底与含硅前体接触,以与吸收的含氧源的部分反应;和
d.清洗未反应的含硅源。
9.根据权利要求8的沉积氧化硅的方法,其中,所述含硅源是一氯甲硅烷。
10.根据权利要求8的沉积碳掺杂的氧化硅的方法,其中,所述含硅源是一氯烷基硅烷,具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基和芳基。
11.根据权利要求10所述的含硅源,选自ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2及其混合物。
12.根据权利要求8所述的方法,选自等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。
13.根据权利要求12的方法,其中,所述等离子体是原位产生的等离子体或远处产生的等离子体。
14.根据权利要求8的方法,其中,所述含氧源选自氧、水、一氧化二氮、臭氧及其混合物。
15.一种在处理室中在基底上沉积氧氮化硅或碳掺杂的氧氮化硅的方法,包括:
a.将基底与含氧源和含氮源的混合物接触,以在基底上吸收含氧源的至少一部分和含氮源的至少一部分;
b.清洗未吸收的含氧源和含氮源;
c.将基底与含硅前体接触,以与吸收的含氧源和含氮源的部分反应;和
d.清洗未反应的含硅源。
16.根据权利要求15的沉积氧氮化硅的方法,其中,所述含硅源是一氯甲硅烷。
17.根据权利要求15的沉积碳掺杂的氧氮化硅的方法,其中,所述含硅源是一氯烷基硅烷,具有通式ClSiHxR1 nR2 m-x,其中,x=1、2;m=1、2、3;n=0、1,n+m=<3;R1和R2为直链、支链或环状的,独立地选自具有1-10个碳原子的烷基、链烯基、炔基和芳基。
18.根据权利要求17所述的含硅源,选自ClSiEtH2、ClSiEt2H、ClSi(CH=CH2)H2、ClSi(CH=CH2)MeH、ClSi(CH=CH2)EtH、ClSi(CCH)H2、ClSi(iso-Pr)2H、ClSi(sec-Bu)2H、ClSi(tert-Bu)2H、ClSi(iso-Pr)H2、ClSi(sec-Bu)H2、ClSi(tert-Bu)H2及其混合物。
19.根据权利要求15所述的方法,其选自等离子体增强的原子层沉积、等离子体增强的化学气相沉积和等离子体增强的循环化学气相沉积。
20.根据权利要求19的方法,其中,所述等离子体是原位产生的等离子体或远处产生的等离子体。
21.根据权利要求15的方法,其中,所述含氧源选自氧、水、一氧化二氮、臭氧及其混合物。
22.根据权利要求15的方法,其中,所述含氮源选自氮、氨、肼、单烷基肼、二烷基肼、羟胺(NH2OH)、叔丁胺(NH2C(CH3)3)、烯丙胺(NH2CH2CHCH2)、盐酸羟胺、甲胺、二乙胺、三乙胺及其混合物。
CN2009801202775A 2008-06-03 2009-06-03 含硅薄膜的低温沉积 Active CN102047386B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5837408P 2008-06-03 2008-06-03
US61/058,374 2008-06-03
US12/476,734 2009-06-02
US12/476,734 US8298628B2 (en) 2008-06-02 2009-06-02 Low temperature deposition of silicon-containing films
PCT/US2009/046108 WO2009149167A2 (en) 2008-06-02 2009-06-03 Low temperature deposition of silicon-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310204535.6A Division CN103632955A (zh) 2008-06-02 2009-06-03 含硅薄膜的低温沉积

Publications (2)

Publication Number Publication Date
CN102047386A true CN102047386A (zh) 2011-05-04
CN102047386B CN102047386B (zh) 2013-06-19

Family

ID=43775435

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801202775A Active CN102047386B (zh) 2008-06-03 2009-06-03 含硅薄膜的低温沉积

Country Status (3)

Country Link
JP (3) JP5102393B2 (zh)
KR (2) KR101444707B1 (zh)
CN (1) CN102047386B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105525276A (zh) * 2014-10-20 2016-04-27 K.C.科技股份有限公司 一种薄膜形成方法及原子层沉积装置
CN106233451A (zh) * 2014-01-30 2016-12-14 瓦里安半导体设备公司 抗扩散静电夹
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN110431192A (zh) * 2017-03-29 2019-11-08 Dnf有限公司 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法
CN114959653A (zh) * 2018-08-29 2022-08-30 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5841222B2 (ja) * 2010-04-12 2016-01-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140059107A (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
EP3680245A4 (en) 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd SILICON PRECURSOR AND METHOD FOR PRODUCING A THIN FILM CONTAINING SILICON USING THEREOF
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102364476B1 (ko) 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20230151303A (ko) 2022-04-25 2023-11-01 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법
KR20240043711A (ko) 2022-09-27 2024-04-03 메르크 파텐트 게엠베하 비대칭 구조의 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 함유 박막의 제조방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0692248B2 (ja) * 1985-08-26 1994-11-16 三井東圧化学株式会社 シラン類の不均化の方法
JP2800210B2 (ja) * 1988-12-19 1998-09-21 セイコーエプソン株式会社 電界効果トランジスタの製造方法
NL9001770A (nl) * 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JP3424835B2 (ja) * 1991-12-27 2003-07-07 松下電器産業株式会社 カラー固体撮像装置およびカラーフィルタ
JPH0776622A (ja) * 1993-09-08 1995-03-20 Mitsui Toatsu Chem Inc ポリ(シリレン)アセチレン類およびその製造方法
JPH07193129A (ja) * 1993-12-27 1995-07-28 Kawasaki Steel Corp 半導体装置の製造方法
JPH08148481A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Ind Co Ltd 絶縁薄膜の形成方法
JP4147705B2 (ja) * 1999-10-25 2008-09-10 チッソ株式会社 ヒドロシリル基含有ポリシルセスキオキサン化合物、及びその製造方法
JP2001122609A (ja) * 1999-10-27 2001-05-08 Tokuyama Corp シラン化合物の不均化反応生成物の製造方法
WO2001071801A1 (en) * 2000-03-20 2001-09-27 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing same
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
TW473915B (en) * 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2004022595A (ja) * 2002-06-12 2004-01-22 Toshiba Corp 絶縁膜の製造方法、およびプラズマcvd装置
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
JP2005057133A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法及び基板処理装置
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP2006290747A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 絶縁膜用フェニル含有シランとそれを用いた絶縁膜の製造方法
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR100676521B1 (ko) * 2005-12-19 2007-02-01 주식회사 실트론 저온 산화물 배면 실 형성 방법 및 이를 사용하여 제조되는웨이퍼
KR20070099913A (ko) * 2006-04-06 2007-10-10 주성엔지니어링(주) 산화막 형성 방법 및 산화막 증착 장치
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106233451A (zh) * 2014-01-30 2016-12-14 瓦里安半导体设备公司 抗扩散静电夹
CN106233451B (zh) * 2014-01-30 2020-06-30 瓦里安半导体设备公司 制造静电夹的方法、静电夹及静电夹系统
CN105525276A (zh) * 2014-10-20 2016-04-27 K.C.科技股份有限公司 一种薄膜形成方法及原子层沉积装置
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN110431192A (zh) * 2017-03-29 2019-11-08 Dnf有限公司 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法
CN114959653A (zh) * 2018-08-29 2022-08-30 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法

Also Published As

Publication number Publication date
KR101444707B1 (ko) 2014-09-26
KR20130039769A (ko) 2013-04-22
JP5453495B2 (ja) 2014-03-26
JP5890386B2 (ja) 2016-03-22
KR101266135B1 (ko) 2013-05-27
JP5102393B2 (ja) 2012-12-19
KR20110017404A (ko) 2011-02-21
JP2014096599A (ja) 2014-05-22
JP2011524087A (ja) 2011-08-25
JP2012216873A (ja) 2012-11-08
CN102047386B (zh) 2013-06-19

Similar Documents

Publication Publication Date Title
CN102047386B (zh) 含硅薄膜的低温沉积
CN103632955A (zh) 含硅薄膜的低温沉积
JP4960276B2 (ja) ケイ素含有膜の周期的プラズマ化学気相堆積
CN100452318C (zh) 用于产生栅极叠层侧壁隔片的方法
KR101542267B1 (ko) 규소 함유 막의 형성 방법
JP4906270B2 (ja) デバイス性能を向上させるコンタクト・エッチング層用の新材料
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
KR102014175B1 (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
CN101061255A (zh) 低温SiN沉积方法
KR20080027859A (ko) 광여기 증착 프로세스 동안 실리콘-함유 재료들을 형성하는방법
JP2007509836A (ja) 窒化シリコンの低温堆積
US11823893B2 (en) Methods of depositing SiCON with C, O, and N compositional control
JP7156999B2 (ja) シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
TWI385270B (zh) 含矽膜的低溫沉積方法
TWI246719B (en) Low temperature deposition of silicon nitride

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CB03 Change of inventor or designer information

Inventor after: Yang Liu

Inventor after: Lei Xinjian

Inventor after: Han Bing

Inventor after: Xiao Manchao

Inventor after: King Curzi Danielle M.

Inventor after: Hasebe Kazuhide

Inventor after: Matsunaga Masanobu

Inventor after: Yonezawa Masato

Inventor after: Cheng Hansong

Inventor before: Yang Liu

Inventor before: Lei Xinjian

Inventor before: Han Bing

Inventor before: Xiao Manchao

Inventor before: Karwacki Eugene Joseph

Inventor before: Hasebe Kazuhide

Inventor before: Matsunaga Masanobu

Inventor before: Yonezawa Masato

Inventor before: Cheng Hansong

COR Change of bibliographic data