CN110431192A - 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法 - Google Patents

用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法 Download PDF

Info

Publication number
CN110431192A
CN110431192A CN201880019561.2A CN201880019561A CN110431192A CN 110431192 A CN110431192 A CN 110431192A CN 201880019561 A CN201880019561 A CN 201880019561A CN 110431192 A CN110431192 A CN 110431192A
Authority
CN
China
Prior art keywords
silicon
film
composition
independently
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880019561.2A
Other languages
English (en)
Other versions
CN110431192B (zh
Inventor
金成基
朴廷主
朴重进
张世珍
杨炳日
李相道
李三东
李相益
金铭云
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DNF Co Ltd
Original Assignee
DNF Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DNF Co Ltd filed Critical DNF Co Ltd
Priority claimed from PCT/KR2018/003682 external-priority patent/WO2018182318A1/en
Publication of CN110431192A publication Critical patent/CN110431192A/zh
Application granted granted Critical
Publication of CN110431192B publication Critical patent/CN110431192B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/14Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/16Applications used for films
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/20Applications use in electrical or conductive gadgets

Abstract

提供了含有甲硅烷基胺化合物的组合物及使用其制造含硅薄膜的方法,且更具体地,提供了用于沉积含硅薄膜的含有甲硅烷基胺化合物的组合物,该甲硅烷基胺化合物能够形成具有显著优异的水蒸气穿透率的含硅薄膜从而有用地用作含硅薄膜的前体和显示器的密封剂,以及使用该组合物制造含硅薄膜的方法。

Description

用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法
技术领域
本发明涉及用于沉积含硅薄膜的组合物和使用该组合物制造含硅薄膜的方法,并且更具体地,涉及用于沉积含硅薄膜的含有甲硅烷基胺化合物作为沉积薄膜的前体的组合物,以及使用该组合物制造含硅薄膜的方法。
背景技术
含硅薄膜是通过半导体领域中的各种沉积工艺制造的,从而被制造成各种形式,诸如硅膜、氧化硅膜、氮化硅膜、碳氮化硅膜和氧氮化硅膜,并且含硅薄膜的应用领域可以很宽。
特别地,由于氧化硅膜和氮化硅膜具有显著优异的阻挡性和抗氧化性,所以氧化硅膜和氮化硅膜在制造装置中被用作绝缘膜、扩散阻挡层、硬掩模、蚀刻停止层、种子层、间隔物、沟槽隔离、金属间介电材料和钝化层。
近来,多晶硅薄膜已经用于薄膜晶体管(TFT)、太阳能电池等,且其应用领域已逐渐多样化。
作为本领域已知的用于制造含硅薄膜的代表性技术,存在使气体型硅前体和反应气体彼此反应以在基底的表面形成膜或使气体型硅前体和反应气体直接在表面上彼此反应以形成膜的金属有机化学气相沉积(MOCVD)方法,以及物理或化学吸附气体型硅前体并且相继注入反应气体以形成膜的原子层沉积(ALD)方法。用于制造薄膜的各种技术,诸如应用上述方法的低压化学气相沉积(LPCVD)法、能够在低温下进行沉积的等离子体增强化学气相沉积(PECVD)法和等离子体增强原子层沉积(PEALD)方法等,被应用于制造下一代半导体和显示器件的工艺,从而用于形成超精细图案并以纳米级厚度沉积具有均匀和优异性能的超薄膜。
用于形成含硅薄膜的前体的代表性实例可包括硅烷、硅烷化合物、氨基硅烷和烷氧基硅烷化合物。其具体实例可包括氯硅烷化合物,诸如二氯硅烷(SiH2Cl2)和六氯乙硅烷(Cl3SiSiCl3)、三甲硅烷基胺(N(SiH3)3)、双二乙基氨基硅烷(H2Si(N(CH2CH3)2)2)、二异丙基氨基硅烷(H3SiN(i-C3H7)2)等。这些前体已用于制造半导体和显示器的批量生产工艺中。
然而,由于器件的超高集成度引起的器件精细度和宽高比的增加以及器件材料的多样化,需要形成具有所期望的均匀和薄的厚度和低温下优异的电性质的超精细薄膜的技术。因此,使用现有硅前体的高温工艺(600℃或更高),薄膜的台阶覆盖、蚀刻性能以及物理和电性质已成为问题,从而研究了开发新型更优异的硅前体以及形成薄膜的方法。
发明内容
技术问题
本发明的目的是提供用于沉积含硅薄膜的组合物,该组合物含有能够用作含硅薄膜的前体的甲硅烷基胺化合物。
本发明的另一目的是提供使用根据本发明的用于沉积含硅薄膜的组合物制造含硅薄膜的方法。
问题解决方案
在一个总的方面,提供了用于沉积含硅薄膜的含有甲硅烷基胺化合物的组合物,该甲硅烷基胺化合物作为沉积含硅薄膜的前体具有优异的内聚力、高沉积速率以及优异的物理和电性质,该甲硅烷基胺化合物由以下化学式1表示。
[化学式1]
(在化学式1中,
R1至R4各自独立地为氢、(C1-C7)烷基或(C2-C7)烯基,或R1和R2以及R3和R4各自独立地相互连接形成环;以及
R5和R6各自独立地为(C1-C7)烷基或(C2-C7)烯基。)
优选地,在根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物中,R5和R6可各自独立地为(C1-C5)烷基。
优选地,根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可以由以下化学式2或3表示。
[化学式2]
[化学式3]
(在化学式2或3中,
R11至R14各自独立地为氢、(C1-C5)烷基或(C2-C5)烯基;
R5和R6各自独立地为(C1-C5)烷基或(C2-C5)烯基;以及
n和m各自独立地为1至7的整数。)
优选地,在根据本发明示例性实施方式的化学式2或3中,R5和R6可各自独立地为(C1-C5)烷基;并且n和m可各自独立地为1至4的整数。
根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可选自以下化合物,但不限于此。
在另一总的方面,提供了使用根据本发明示例性实施方式的用于沉积含硅薄膜的组合物制造含硅薄膜的方法。
在制造含硅薄膜的方法中,含硅薄膜可以通过原子层沉积(ALD)方法、化学气相沉积(CVD)方法、金属有机化学气相沉积(MOCVD)方法、低压化学气相沉积(LPCVD)方法、等离子体增强化学气相沉积(PECVD)方法或等离子体增强原子层沉积(PEALD)方法形成,并且可以是氧化硅(SiO2)膜、碳氧化硅(SiOC)膜、氮化硅(SiN)膜、氮氧化硅(SiON)膜、碳氮化硅(SiCN)膜或碳化硅(SiC)膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
a)将安装在腔室中的基底的温度保持在30至500℃;
b)使根据本发明的用于沉积含硅薄膜的组合物与基底接触,以将根据本发明的用于沉积含硅薄膜的组合物吸附在基底中;以及
c)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜。
在制造含硅薄膜的方法中,可以在通过产生50至1000W的等离子体功率的等离子体而激活反应气体之后,供应该反应气体。
发明的有益效果
用于沉积含硅薄膜的组合物含有作为前体的甲硅烷基胺,该化合物在室温下是液体并且具有高挥发性和优异的热稳定性,使得可以在较低的等离子体功率和成膜温度条件下提供具有高纯度和耐久性的高质量含硅薄膜。
此外,在使用根据本发明的用于沉积含硅薄膜的组合物来制造含硅薄膜的方法中,即使在低成膜温度条件下也可以实现优异的沉积速率和优异的应力强度,并且在由此制造的含硅薄膜中,诸如碳、氧和氢的杂质的含量被最小化,使得含硅薄膜可具有高纯度、优异的物理和电性质以及优异的水蒸气穿透率。
附图说明
图1是说明通过测量实施例1中制备的双(二甲基氨基甲基甲硅烷基)胺化合物的蒸气压而获得的结果的图。
图2是说明实施例1中制备的双(二甲基氨基甲基甲硅烷基)胺化合物的热重分析结果的图。
图3是说明通过对实施例2至8和比较例2中制造的含硅薄膜的沉积膜进行红外光谱分析而得到的结果的图。
图4是说明通过对实施例9至15中制造的含硅薄膜的沉积膜进行红外光谱分析而获得的结果的图。
图5是通过测量实施例6中制备的含硅薄膜的水蒸气穿透率而获得的结果。
图6是通过测量实施例11中制备的含硅薄膜的水蒸气穿透率而获得的结果。
具体实施方式
本发明提供了用于沉积含硅薄膜的含有由以下化学式1表示的甲硅烷基胺化合物的组合物,该化合物在室温下为液体,并具有高挥发性和优异的热稳定性,因此可用作形成含硅薄膜的非常有用的前体,其中甲硅烷基胺化合物由以下化学式1表示。
[化学式1]
(在化学式1中,
R1至R4各自独立地为氢、(C1-C7)烷基或(C2-C7)烯基,或R1和R2以及R3和R4各自独立地相互连接形成环;以及
R5和R7各自独立地为(C1-C7)烷基或(C2-C7)烯基。)
在用于沉积含硅薄膜的组合物中所含的甲硅烷基胺化合物中,胺具有两个氨基甲硅烷基官能团作为取代基,使得在室温下为液体的甲硅烷基胺化合物具有高挥发性。因此,甲硅烷基胺化合物可以非常有用地用于形成含硅薄膜。
更具体地,根据本发明的甲硅烷基胺化合物是具有硅氮烷主链的化合物,但必须具有两个氨基甲硅烷基官能团(),并且只有当氨基甲硅烷基官能团的每个硅原子必须连接有一个氢原子时,甲硅烷基胺化合物作为用于沉积薄膜的前体才可以具有有用效果。
优选地,在根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物中,R5和R6可以各自独立地为(C1-C5)烷基。
优选地,根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可以由以下化学式2或3表示。
[化学式2]
[化学式3]
(在化学式2和3中,
R11至R14各自独立地为氢、(C1-C5)烷基或(C2-C5)烯基;
R5和R6各自独立地为(C1-C5)烷基或(C2-C5)烯基;以及
n和m各自独立地为1至7的整数。)
在根据本发明的甲硅烷基胺化合物中,硅氮烷主链中的两个氨基甲硅烷基基团的每个硅原子必须具有一个与其连接的氢原子,使得甲硅烷基胺化合物作为用于沉积含硅薄膜的前体具有更优异的效果。
优选地,在根据本发明示例性实施方式的化学式2或3中,R5和R6可各自独立地为(C1-C5)烷基或(C2-C5)烯基;并且n和m各自独立地为1至4的整数。更优选地,R5和R6可各自独立地为(C1-C5)烷基;并且n和m各自独立地为1至3的整数。
更优选的是,由化学式1表示的甲硅烷基胺化合物是由以下化学式4表示的化合物,其中NH基团的两侧彼此对称以便作为用于沉积含硅薄膜的前体具有更优异的效果。
[化学式4]
(在化学式4中,
R1和R2各自独立地为氢、(C1-C7)烷基或(C2-C7)烯基,或R1和R2相互连接形成环;以及
R5是(C1-C7)烷基或(C2-C7)烯基。)
根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可选自以下化合物。
根据本发明的用于沉积含硅薄膜的组合物包含由化学式1表示的甲硅烷基胺化合物作为用于沉积薄膜的前体,并且该甲硅烷基胺化合物可以在一定含量范围内包含在该用于沉积含硅薄膜的组合物中,本领域技术人员考虑到薄膜的成膜条件、厚度、性质等可以确认该含量。
如本文所用,术语“烷基”是指具有1至7个碳原子,优选1至5个碳原子,且更优选1至3个碳原子的直链、支链和环状饱和和不饱和烃,并且其实例可包括甲基、乙基、丙基、丁基、异丁基、戊基等。
如本文所用,“卤素”是指卤族元素,并且其实例包括氟、氯、溴、碘。
如本文所公开的,术语“烯基”作为单一基团或另一基团的一部分是指具有2至7个碳原子和一个或多个碳-碳双键的直链、支链或环状烃基。更优选的烯基是具有2至5个碳原子的低级烯基。最优选的低级烯基是具有约2至3个碳原子的低级烯基。此外,烯基基团可以在随机可用的附连点处被取代。烯基的实例包括乙烯基、丙烯基、烯丙基、丁烯基和4-甲基丁烯基。术语“烯基”和“低级烯基”包括具有顺式和反式取向的基,或者替代地,具有E和Z取向的基。
如本文所用,短语“R1和R2以及R3和R4各自独立地相互连接形成环”包括R1和R2相互连接形成环但R3和R4不形成环的情况;与之相反,R1和R2不形成环而R3和R4相互连接形成环的情况;以及R1和R2相互连接形成环且R3和R4相互连接形成环的情况,其中所形成的环可以是包含N的脂环或芳香环,且优选脂环。
根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可以通过方法制备,只要该方法可以被本领域技术人员公认。
此外,本发明提供了使用根据本发明的用于沉积含硅薄膜的组合物制造含硅薄膜的方法。
在根据本发明的用于制造含硅薄膜的方法中,使用根据本发明的用于沉积含硅薄膜的组合物,其包含室温下为液体且具有高挥发性和优异的热稳定性的由化学式1表示的甲硅烷基胺化合物作为前体,使得可以容易处理,可以制造各种薄膜,并且即使在低温和低功率下也可以以高沉积速率制造具有高纯度的含硅薄膜。
另外,通过根据本发明的方法制造的含硅薄膜具有优异的耐久性和电性质,并且耐氟化氢性和水蒸气穿透率也是优异的。
在根据本发明的制造含硅薄膜的方法中,含硅薄膜可以通过任何方法形成,只要该方法可以被本领域技术人员公认。然而,优选地,含硅薄膜可以通过原子层沉积(ALD)方法、化学气相沉积(CVD)方法、金属有机化学气相沉积(MOCVD)方法、低压化学气相沉积(LPCVD)方法、等离子体增强化学气相沉积(PECVD)方法或等离子体增强原子层沉积(PEALD)方法来形成,但更优选PECVD、ALD或PEALD以使薄膜更容易沉积,并使制造的薄膜具有优异的性能。
根据本发明的含硅薄膜可以是氧化硅(SiO2)膜、碳氧化硅(SiOC)膜、氮化硅(SiN)膜、氮氧化硅(SiON)膜、碳氮化硅(SiCN)膜或碳化硅(SiC)膜,且可以制造具有高质量的各种薄膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
a)将安装在腔室中的基底的温度保持在30至500℃;
b)使根据本发明的用于沉积含硅薄膜的组合物与基底接触,以将用于沉积含硅薄膜的组合物吸附在基底中;以及
c)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
A)将安装在腔室中的基底的温度保持在30至500℃;
B)使用于沉积含硅薄膜的组合物与基底接触,以将用于沉积含硅薄膜的组合物吸附在基底中;
C)吹扫剩余的用于沉积含硅薄膜的组合物和副产物;
D)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜;以及
E)吹扫剩余的反应气体和副产物,其中,步骤D)中的反应气体可以除去用于沉积含硅薄膜的组合物中包含的甲硅烷基胺化合物的配体以形成Si-O原子层。
优选地,根据示例性实施方式的反应气体可以在通过产生等离子体功率为50至1000W的等离子体而激活之后供应。
在根据本发明示例性实施方式的制造含硅薄膜的方法中,可以根据所需薄膜的结构或热性质来调节沉积条件。根据本发明示例性实施方式的沉积条件的实例可包括含有甲硅烷基胺化合物的用于沉积含硅薄膜的组合物的注入流速、反应气体和载气的注入流速、压力、RF功率、基底的温度等。作为沉积条件的非限制性实例,用于沉积含硅薄膜的组合物的注入流速可以在10至1000cc/min的范围内调节,载气的注入流速可以在10至1000cc/min的范围内调节,反应气体的注入流速可以在1至1500cc/min的范围内调节,压力可以在0.5至10托的范围内调节,RF功率可以在50至1000W,优选400至800W的范围内调节,并且基底的温度可以在30至500℃,优选50至200℃,且更优选50至100℃的范围内调节,但是沉积条件不限于此。
在根据本发明的制造含硅薄膜的方法中使用的反应气体不受限制,但可以是选自氢气(H2)、肼(N2H4)、臭氧(O3)、氧气(O2)、氧化亚氮(N2O)、氨气(NH3)、氮气(N2)、硅烷(SiH4)、硼烷(BH3)、乙硼烷(B2H6)和磷化氢(PH3)中的一种,或者是其中一种或多种的混合气体,并且载气可以是选自氮气(N2)、氩气(Ar)和氦气(He)中的一种,或者是其中两种或更多种的混合气体。
在根据本发明的制造含硅薄膜的方法中使用的基底可以是包含选自Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs和InP中的一种或多种半导体材料的基底;绝缘体上硅(SOI)基底;石英基底;用于显示器的玻璃基底;或者由聚酰亚胺、聚对苯二甲酸乙二醇酯(PET)、聚萘二甲酸乙二醇酯(PEN)、聚甲基丙烯酸甲酯(PMMA)、聚碳酸酯(PC)、聚醚砜(PES)、聚酯等制成的柔性塑料基底,但不限于此。
此外,含硅薄膜可以直接形成在基底上。替代地,也可以在基底和含硅薄膜之间形成大量导电层、介电层、绝缘层等。
优选地,根据本发明示例性实施方式的用于沉积含硅薄膜的组合物可以用作有机发光二极管(OLED)的密封剂。
将参考以下实施例详细描述本发明。本说明书和权利要求中使用的术语和词语不应被解释为限于典型含义或字典定义,而应解释为基于一定规则具有与本发明的技术范围相关的含义和概念,根据该规则发明人可以适当地定义该术语的概念,以最恰当地描述他或她知道的用于实施本发明的最佳方法。
因此,在本说明书的实施方式中描述的以及在附图中示出的配置仅指示最优选的实施例而不是指示本发明的所有技术构思,并因此应理解可以存在可以替换上述配置的各种等同物和修改。
此外,在所有以下实施例中,通过本领域已知的等离子体增强原子层沉积(PEALD)方法使用商业化的200mm单晶片淋浴头型ALD装置(CN1,Atomic Premium)进行沉积。另外,通过本领域已知的等离子体增强化学气相沉积(PECVD)方法使用商业化的200mm单晶片淋浴头型CVD(PECVD)装置(CN1,Atomic Premium)进行沉积。
使用椭偏仪(OPTI-PROBE 2600,THERMA-WAVE)测量沉积的含硅薄膜的厚度,并使用红外光谱法(IFS66V/S&Hyperion 3000,Bruker Optics)、X射线光电子能谱法分析薄膜的性质。此外,使用WVTR分析仪(MOCON,Aquatran 2)测量水蒸气穿透率(WVTR),测量中使用的氮气量为20ml/min·空气,且WVTR测量区域设定为50cm2。使用Frontier半导体(FSM500TC)测量应力,测量区域设定为160mm,并且硅晶片的厚度设定为0.725μm,从而分析薄膜的性质。
[实施例1]双(二甲基氨基甲基甲硅烷基)胺的制备
在无水和惰性气氛下,在将六甲基二硅氮烷(((CH3)3Si)2NH,250g(1.55mol))和氯化铝(AlCl3,10g(0.075mol))放入到2000mL火焰干燥烧瓶中之后,向其中缓慢地加入二氯甲基硅烷(CH3SiHCl2,713.19g(6.20mol)),同时搅拌混合物并将温度保持在25℃。然后,将反应溶液缓慢加热至40℃。将该混合物反应溶液搅拌3小时,通过过滤从其中除去氯化铝(AlCl3),并通过简单蒸馏或减压蒸馏除去形成的三甲基氯硅烷((CH3)3SiCl)和过量加入的二氯甲基硅烷(CH3SiHCl2)。将回收的双(氯甲基甲硅烷基)胺((CH3SiHCl)2NH))溶液搅拌,并保持温度在-15℃,同时向其中缓慢地加入二甲胺((CH3)2NH,293.47g(4.2mol))。加入完成之后,将反应溶液缓慢加热至室温并在室温下搅拌6小时。通过过滤除去形成的白色固体,从而获得滤液。减压下从该滤液中除去溶剂,然后减压蒸馏,从而得到双(二甲基氨基甲基甲硅烷基)胺(CH3SiHN(CH3)2)2NH,222.54g(1.16mol),产率:75%)。
1H-NMR(于C6D6中):δ0.12(s,6H,((CH3SiHN(CH3)2)2NH),2.47(s,12H,((CH3SiHN(CH3)2)2NH),4.43(m,2H,((CH3SiHN(CH3)2)2NH),2.0(b,1H,((CH3SiHN(CH3)2)2NH)。
[比较例1]二异丙基氨基硅烷的制备
将二异丙胺(280.3g(2.77mol))放入到3L火焰干燥Schlenk烧瓶中,并向其中加入戊烷并与其一起搅拌。在搅拌该溶液并保持温度在-20℃的同时,向其中缓慢加入三氯硅烷(187.60g(1.38mol)),并将反应溶液缓慢加热至室温。将该混合反应溶液在室温下搅拌4小时,并在形成白色固体后,通过过滤除去白色固体,从而得到滤液。减压下从该滤液中除去溶剂,并向其中加入二甲氧基甲烷(895.89g(11.77mol))作为溶剂,并向其中缓慢加入氢化锂(LiH,27.53g(3.46mol))。加入后,将混合物回流约6小时,同时保持烧瓶温度在50℃。回流后,将烧瓶冷却至室温,并通过过滤除去白色固体,从而得到滤液。减压下从该滤液中除去溶剂,然后减压蒸馏,从而得到二异丙基氨基硅烷(136.5g,产率:75%)。
1H-NMR(于C6D6中):δ0.93(d,6H,(SiH3(N(CH(CH3)2)),2.47(m,2H,(SiH3(N(CH(CH3)2)),5.65(s,3H,(SiH3(NCH(CH3))2)
[实施例2]使用双(二甲基氨基甲基甲硅烷基)胺通过PEALD制造氧化硅薄膜
评估在一般的等离子体增强原子层沉积(PEALD)设备中,使用本领域已知的等离子体增强原子层沉积(PEALD)方法,使用根据本发明的实施例1中制备的甲硅烷基胺化合物作为用于形成氧化硅膜的组合物的膜形成。作为反应气体,氧化亚氮与等离子体一起使用,并且对应于惰性气体的氮气用于吹扫。在0.5秒的反应气体和等离子体时间形成膜。表1中示出了用于沉积氧化硅薄膜的具体方法。
使用椭偏仪测量沉积的薄膜的厚度,使用红外光谱法分析氧化硅薄膜的形成,并使用X射线光电子光谱法分析氧化硅薄膜的组成。此外,使用应力计分析氧化硅薄膜的应力,并且使用WVTR分析仪来测量薄膜的水蒸气穿透率(WVTR),从而测量WVTR。表2中示出了氧化硅薄膜的具体分析结果,并且图3中说明了通过使用红外光谱法分析沉积的膜获得的结果。
[实施例3至8]使用双(二甲基氨基甲基甲硅烷基)胺通过PEALD制造氧化硅薄膜
除了如实施例2中的表1所示改变沉积条件之外,以与实施例2中相同的方式使用本领域已知的等离子体增强原子层沉积(PEALD)方法评价膜形成。此外,在图3的图表中示出了通过使用红外光谱法分析沉积的膜获得的结果。
如根据本发明的实施例2至8中所认识到的,使用用于沉积含硅薄膜的含有根据本发明制备的双(二甲基氨基甲基甲硅烷基)胺的组合物,在低温下以一定沉积速率沉积氧化硅薄膜以使其具有的厚度,该沉积速率为1.87至/周期,从而该沉积速率是显著优异的。
更具体地,可以认识到,与其中用于沉积含硅薄膜的组合物含有二异丙基氨基硅烷作为薄膜的前体的比较例2相比,在其中用于沉积含硅薄膜的组合物含有根据本发明的甲硅烷基胺化合物作为前体被使用的实施例2至8中,薄膜的沉积速率更加优异,并且水蒸气穿透率也是优异的,这可对形成含硅薄膜的生产率的提升有显著影响。作为使用图3中的红外光谱法分析沉积的薄膜的结果,可以认识到实施例2至8中形成的所有薄膜都是氧化硅膜。此外,实施例6中形成的氧化硅膜的水蒸气穿透率为4.5E-3(g/[m2-天]),使得氧化硅膜具有优异的防水分(防潮)性质。因此,判断氧化硅薄膜可以有用地用于氧化硅薄膜的整个应用领域,特别是作为有机发光二极管(OLED)的密封剂。
图5中示出了通过测量实施例6中制备的含硅薄膜的水蒸气穿透率获得的结果。水蒸气穿透率恒定地保持很长一段时间,如图5所示。因此,判断本发明中提出的化合物可能在OLED器件中非常有用,其中阻挡氧和水分的封装技术很重要。
[比较例2]使用二异丙基氨基硅烷通过PEALD制造氧化硅薄膜
使用本领域已知的等离子体增强原子层沉积(PEALD)方法,如下表1所示,除了使用比较例1中制备的二异丙基氨基硅烷,前体的加热温度为20℃并且沉积次数为590周期之外,在与实施例2中相同的沉积条件下评估膜形成。在与实施例2中相同的条件下,通过与实施例2中相同的分析方法分析沉积的薄膜,从而确保分析结果。为了以与实施例2至8中形成的薄膜的厚度相同的厚度进行测量,改变了沉积次数。用于沉积氧化硅薄膜的具体方法示于下表1中,并且沉积的薄膜的性质示于表2中。如表2所示,沉积速率为/周期,以及水蒸汽穿透率(WVTR)为8.0E-2(g/[m2-天]),其均低于实施例2中的双(二甲基氨基甲基甲硅烷基)胺的沉积速率和水蒸汽穿透率。
[表1]通过PEALD的氧化硅薄膜的沉积条件
[表2]氧化硅薄膜的性质评价
[实施例9]使用双(二甲基氨基甲基甲硅烷基)胺通过PEALD制造氮化硅薄膜
评估在一般的等离子体增强原子层沉积(PEALD)设备中,使用本领域已知的等离子体增强原子层沉积(PEALD)方法,使用根据本发明的实施例1中制备的甲硅烷基胺化合物作为用于形成氮化硅膜的组合物的膜形成。作为反应气体,氮气和氨气与等离子体一起用作第一反应气体,且氮气用作第二反应气体。对应于惰性气体的氮气用于吹扫。表3中示出了用于沉积氮化硅薄膜的具体方法。
使用椭偏仪测量沉积的薄膜的厚度,使用红外光谱法分析氮化硅薄膜的形成,并使用X射线光电子能谱法分析氮化硅薄膜的组成。此外,为了测量薄膜的水蒸气穿透率(WVTR),使用WVTR分析仪,从而测量WVTR。在下表4中示出了氮化硅薄膜的具体分析结果,并且在图4中说明了通过使用红外光谱法分析沉积的膜获得的结果。
[实施例10至15和比较例3]使用双(二甲基氨基甲基甲硅烷基)胺或二异丙基氨基硅烷通过PEALD制造氮化硅薄膜
使用本领域已知的等离子体增强原子层沉积(PEALD)方法,如表3中所示,除了改变沉积条件之外,以与实施例9中相同的方式评估膜形成,并且在与实施例9中相同的条件下,通过与实施例9中相同的分析方法分析沉积的薄膜,从而确保分析结果。在下表3和4中示出了用于沉积氮化硅薄膜的具体方法和分析结果。此外,使用红外光谱法分析沉积的膜,并且结果示于图4。结果,可以认识到,实施例10至15中制造的薄膜是氮化硅薄膜。
此外,图6中示出了通过测量实施例11中制备的含硅薄膜的水蒸气穿透率获得的结果。水蒸气穿透率恒定地保持很长一段时间,如图6所示。因此,判断本发明中提出的化合物可以非常有用地在OLED器件中使用,其中阻挡氧和水分的封装技术很重要。
[表3]氮化硅的沉积条件
[表4]氮化硅薄膜的性质评价

Claims (10)

1.一种用于沉积含硅薄膜的组合物,所述组合物包含由以下化学式1表示的甲硅烷基胺化合物:
[化学式1]
在化学式1中,
R1至R4各自独立地为氢、C1-C7烷基或C2-C7烯基,或R1和R2以及R3和R4各自独立地相互连接形成环;以及
R5和R6各自独立地为C1-C7烷基或C2-C7)烯基。
2.根据权利要求1所述的组合物,其中,R5和R6各自独立地为C1-C5烷基。
3.根据权利要求1所述的组合物,其中,由化学式1表示的所述甲硅烷基胺化合物由化学式2或3表示:
[化学式2]
[化学式3]
在化学式2和3中,
R11至R14各自独立地为氢、C1-C5烷基或C2-C5烯基;
R5和R6各自独立地为C1-C5烷基或C2-C5烯基;以及
n和m各自独立地为1至7的整数。
4.根据权利要求3所述的组合物,其中,R5和R6各自独立地为C1-C5烷基;以及
n和m各自独立地为1至4的整数。
5.根据权利要求1所述的组合物,其中,所述甲硅烷基胺化合物选自以下化合物:
6.一种使用根据权利要求1至5中任一项所述的组合物制造含硅薄膜的方法。
7.根据权利要求6所述的方法,其中,通过原子层沉积方法、化学气相沉积方法、金属有机化学气相沉积方法、低压化学气相沉积方法、等离子体增强化学气相沉积方法或等离子体增强原子层沉积方法进行沉积。
8.根据权利要求6所述的方法,其中,所述含硅薄膜是氧化硅膜、碳氧化硅膜、氮化硅膜、氮氧化硅膜、碳氮化硅膜或碳化硅膜。
9.根据权利要求6所述的方法,包括:
a)将安装在腔室中的基底的温度保持在30至500℃;
b)使根据权利要求1至5中任一项所述的组合物与所述基底接触,以将所述组合物吸附在所述基底中;以及
c)将反应气体注入至其中吸附了所述组合物的所述基底以形成含硅薄膜。
10.根据权利要求9所述的方法,其中,在通过产生等离子体功率为50至1000W的等离子体激活所述反应气体之后,供应所述反应气体。
CN201880019561.2A 2017-03-29 2018-03-29 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法 Active CN110431192B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2017-0040078 2017-03-29
KR20170040078 2017-03-29
KR1020180035166A KR102105976B1 (ko) 2017-03-29 2018-03-27 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR10-2018-0035166 2018-03-27
PCT/KR2018/003682 WO2018182318A1 (en) 2017-03-29 2018-03-29 Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same

Publications (2)

Publication Number Publication Date
CN110431192A true CN110431192A (zh) 2019-11-08
CN110431192B CN110431192B (zh) 2022-04-29

Family

ID=63876274

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880020051.7A Active CN110461953B (zh) 2017-03-29 2018-03-28 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法
CN201880019561.2A Active CN110431192B (zh) 2017-03-29 2018-03-29 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880020051.7A Active CN110461953B (zh) 2017-03-29 2018-03-28 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法

Country Status (5)

Country Link
US (2) US11358974B2 (zh)
JP (2) JP6900503B2 (zh)
KR (2) KR102105976B1 (zh)
CN (2) CN110461953B (zh)
TW (2) TWI730226B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR20210127183A (ko) * 2019-02-15 2021-10-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102047386A (zh) * 2008-06-03 2011-05-04 气体产品与化学公司 含硅薄膜的低温沉积
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
US20140363985A1 (en) * 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel Amino-Silyl Amine Compound, Method for Preparing the Same and Silicon-Containing Thin-Film Using the Same
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2599037B1 (fr) * 1986-05-26 1990-05-04 Europ Propulsion Dihydrogeno-1,3 disilazanes fonctionnels et procede pour leur preparation
JP2585029B2 (ja) 1987-11-16 1997-02-26 株式会社高純度化学研究所 シリコン窒化酸化膜の形成方法
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
KR101130504B1 (ko) * 2007-09-10 2012-03-28 후지쯔 가부시끼가이샤 규소 함유 피막의 제조방법, 규소 함유 피막 및 반도체 장치
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
EP2493963A1 (en) * 2009-10-28 2012-09-05 Dow Corning Corporation Polysilane - polysilazane copolymers and methods for their preparation and use
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
KR101600327B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6071830B2 (ja) 2013-09-25 2017-02-01 本田技研工業株式会社 筺体
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
KR101718744B1 (ko) * 2014-11-03 2017-03-23 (주)디엔에프 실리콘 전구체를 포함하는 박막증착용 조성물 및 이를 이용한 실리콘함유 박막의 제조방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103632955A (zh) * 2008-06-02 2014-03-12 气体产品与化学公司 含硅薄膜的低温沉积
CN102047386A (zh) * 2008-06-03 2011-05-04 气体产品与化学公司 含硅薄膜的低温沉积
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
US20140363985A1 (en) * 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel Amino-Silyl Amine Compound, Method for Preparing the Same and Silicon-Containing Thin-Film Using the Same
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法

Also Published As

Publication number Publication date
KR20180110611A (ko) 2018-10-10
JP2020515573A (ja) 2020-05-28
US11358974B2 (en) 2022-06-14
TWI730226B (zh) 2021-06-11
CN110461953A (zh) 2019-11-15
JP6900503B2 (ja) 2021-07-07
CN110431192B (zh) 2022-04-29
KR102105977B1 (ko) 2020-05-04
KR102105976B1 (ko) 2020-05-04
TW201841927A (zh) 2018-12-01
US20200392294A1 (en) 2020-12-17
JP2020516062A (ja) 2020-05-28
KR20180110616A (ko) 2018-10-10
US20200111665A1 (en) 2020-04-09
CN110461953B (zh) 2021-08-27
JP6923994B2 (ja) 2021-08-25
TWI711624B (zh) 2020-12-01
TW201840577A (zh) 2018-11-16

Similar Documents

Publication Publication Date Title
TWI688569B (zh) 用於沈積含矽薄膜之組成物及使用其用以製造含矽薄膜之方法
CN110431204B (zh) 沉积含硅薄膜的含双(氨基甲硅烷基)烷基胺化合物的组合物及使用其制造含硅薄膜的方法
CN110431192A (zh) 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法
KR102422927B1 (ko) 신규한 실릴사이클로다이실라잔 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
US20230089296A1 (en) Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant