CN110461953A - 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法 - Google Patents

甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法 Download PDF

Info

Publication number
CN110461953A
CN110461953A CN201880020051.7A CN201880020051A CN110461953A CN 110461953 A CN110461953 A CN 110461953A CN 201880020051 A CN201880020051 A CN 201880020051A CN 110461953 A CN110461953 A CN 110461953A
Authority
CN
China
Prior art keywords
silicon
film
composition
chemical formula
amine compounds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880020051.7A
Other languages
English (en)
Other versions
CN110461953B (zh
Inventor
金成基
朴廷主
朴重进
张世珍
杨炳日
李相道
李三东
李相益
金铭云
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DNF Co Ltd
Original Assignee
DNF Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DNF Co Ltd filed Critical DNF Co Ltd
Priority claimed from PCT/KR2018/003643 external-priority patent/WO2018182305A1/en
Publication of CN110461953A publication Critical patent/CN110461953A/zh
Application granted granted Critical
Publication of CN110461953B publication Critical patent/CN110461953B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/14Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/16Applications used for films
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/20Applications use in electrical or conductive gadgets

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供了甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法,且更具体地,提供了能够有用地用作含硅薄膜的前体的甲硅烷基胺化合物,含有该甲硅烷基胺化合物的用于沉积含硅薄膜的组合物,以及使用该组合物制造含硅薄膜的方法。

Description

甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使 用组合物制造含硅薄膜的方法
技术领域
本发明涉及甲硅烷基胺化合物,用于沉积含硅薄膜的含有该甲硅烷基胺化合物的组合物,以及使用该组合物制造含硅薄膜的方法,并且更具体地,涉及作为前体在沉积含硅薄膜方面非常有用的新型甲硅烷基胺化合物,用于沉积含硅薄膜的含有该新型甲硅烷基胺化合物的组合物,以及使用该组合物制造含硅薄膜的方法。
背景技术
含硅薄膜是通过半导体领域中的各种沉积工艺制造的,从而被制造成各种形式,诸如硅膜、氧化硅膜、氮化硅膜、碳氮化硅膜和氧氮化硅膜,并且含硅薄膜可以应用于各种领域。
特别地,由于氧化硅膜和氮化硅膜具有显著优异的阻挡性和抗氧化性,所以氧化硅膜和氮化硅膜在制造装置中被用作绝缘膜、扩散阻挡、硬掩模、蚀刻停止层、种子层、间隔物、沟槽隔离、金属间介电材料和钝化层。
近来,多晶硅薄膜已经用于薄膜晶体管(TFT)、太阳能电池等,且其应用领域已逐渐多样化。
作为本领域已知的用于制造含硅薄膜的代表性技术,存在使气体型硅前体和反应气体彼此反应以在基底的表面形成膜或使气体型硅前体和反应气体直接在表面上彼此反应以形成膜的金属有机化学气相沉积(MOCVD)方法,以及物理或化学吸附气体型硅前体并且相继注入反应气体以形成薄膜的原子层沉积(ALD)方法。用于制造薄膜的各种技术,诸如应用上述方法的低压化学气相沉积(LPCVD)、能够在低温下进行沉积的等离子体增强化学气相沉积(PECVD)和等离子体增强原子层沉积(PEALD)方法等,被应用于制造下一代半导体和显示器件的工艺,从而用于形成超精细图案并以纳米级厚度沉积具有均匀和优异性能的超薄膜。
用于形成含硅薄膜的前体的代表性实例可包括硅烷、硅烷化合物、氨基硅烷和烷氧基硅烷化合物。其具体实例可包括氯硅烷化合物,诸如二氯硅烷(SiH2Cl2)和六氯乙硅烷(Cl3SiSiCl3)、三甲硅烷基胺(N(SiH3)3)、双二乙基氨基硅烷(H2Si(N(CH2CH3)2)2)、二异丙基氨基硅烷(H3SiN(i-C3H7)2)等。这些前体已用于制造半导体和显示器的批量生产工艺中。
然而,由于器件的超高集成度引起的器件精细度和宽高比的增加以及器件材料的多样化,需要形成具有所期望的均匀和薄的厚度和低温下优异的电性质的超精细薄膜的技术。因此,使用现有硅前体的高温工艺(600℃或更高),薄膜的台阶覆盖、蚀刻性能以及物理和电性质已成为问题,从而研究了开发新型更优异的硅前体以及形成薄膜的方法。
发明内容
技术问题
本发明的目的是提供能够用作含硅薄膜的前体的新型甲硅烷基胺化合物。
本发明的另一目的是提供含有根据本发明的甲硅烷基胺化合物的用于沉积含硅薄膜的组合物,以及使用该用于沉积含硅薄膜的组合物来制造含硅薄膜的方法。
问题解决方案
在一个总的方面,提供了甲硅烷基胺化合物,其具有优异的内聚力、高沉积速率,并且即使在低温下也具有优异的物理和电性质,该甲硅烷基胺化合物由以下化学式1表示。
[化学式1]
(在化学式1中,
R1至R4各自独立地为(C1-C7)烷基或(C2-C7)烯基,或R1和R2以及R3和R4各自独立地相互连接形成环。)
优选地,在根据本发明的由化学式1表示的甲硅烷基胺化合物中,R1至R4可以各自独立地为(C1-C5)烷基或(C2-C5)烯基。
优选地,根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可以由以下化学式2或3表示。
[化学式2]
[化学式3]
(在化学式2和3中,
R11至R14各自独立地为(C1-C5)烷基或(C2-C5)烯基;以及
n和m各自独立地为1至7的整数。)
优选地,在根据本发明示例性实施方式的化学式2或3中,R11至R14可各自独立地为(C1-C3)烷基或(C2-C3)烯基;以及
n和m可以各自独立地为1至4的整数。更优选地,R11至R14可以各自独立地为(C1-C3)烷基;以及
n和m可以各自独立地为1至3的整数。
根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可以选自以下化合物,但不限于此。
在另一个总的方面,提供了用于沉积含硅薄膜的组合物,其含有根据本发明示例性实施方式的甲硅烷基胺化合物。
在另一个总的方面,提供了使用根据本发明示例性实施方式的用于沉积含硅薄膜的组合物制造含硅薄膜的方法。
在用于制造含硅薄膜的方法中,含硅薄膜可以通过原子层沉积(ALD)方法、化学气相沉积(CVD)方法、金属有机化学气相沉积(MOCVD)方法、低压化学气相沉积(LPCVD)方法、等离子体增强化学气相沉积(PECVD)方法或等离子体增强原子层沉积(PEALD)方法形成,并且可以是氧化硅(SiO2)膜、碳氧化硅(SiOC)膜、氮化硅(SiN)膜、氮氧化硅(SiON)膜、碳氮化硅(SiCN)膜或碳化硅(SiC)膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
a)将安装在腔室中的基底的温度保持在30至400℃;
b)使根据本发明的用于沉积含硅薄膜的组合物与基底接触,以将用于沉积含硅薄膜的组合物吸附在基底中;以及
c)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜。
在用于制造含硅薄膜的方法中,可以在通过产生50至1000W的等离子体功率的等离子体而激活反应气体之后,供应该反应气体。
发明的有益效果
根据本发明的新型甲硅烷基胺化合物在室温下是液体并且具有高挥发性和优异的热稳定性和反应性,使得甲硅烷基胺化合物作为含硅薄膜的前体是非常有用的。
此外,根据本发明的用于沉积含硅薄膜的组合物含有根据本发明的甲硅烷基胺化合物作为前体,从而可以在较低的功率和成膜温度条件下提供具有高纯度和耐久性的高质量含硅薄膜。
此外,在使用根据本发明的用于沉积含硅薄膜的组合物来制造含硅薄膜的方法中,即使在低成膜温度条件下也可以实现优异的沉积速率和优异的应力强度,并且在由此制造的含硅薄膜中,诸如碳、氧和氢的杂质的含量被最小化,使得含硅薄膜可具有高纯度、优异的物理和电性质以及优异的水蒸气穿透率和台阶覆盖率。
附图说明
图1是说明通过测量实施例1和2中制备的双(乙基甲基氨基甲硅烷基)胺和双(二乙基氨基甲硅烷基)胺化合物的蒸气压获得的结果的图。
图2是说明通过使用红外光谱法分析实施例3和比较例3和4中制造的氧化硅薄膜得到的结果的图。
图3是说明通过使用透射电子显微镜(TEM)分析实施例3和比较例3和4中制造的氧化硅薄膜得到的结果的图。
具体实施方式
本发明提供了甲硅烷基胺化合物,其在室温下为液体,并具有高挥发性和优异的热稳定性,因此可用作形成含硅薄膜的非常有用的前体,其中甲硅烷基胺化合物由以下化学式1表示。
[化学式1]
(在化学式1中,
R1至R4各自独立地为(C1-C7)烷基或(C2-C7)烯基,或R1和R2以及R3和R4各自独立地相互连接形成环。)
在用于沉积含硅薄膜的组合物中所含的甲硅烷基胺化合物中,胺具有两个氨基甲硅烷基官能团作为取代基,并且这两个氨基甲硅烷基官能团中的每个硅原子必须具有两个连接于其上的氢原子,使得在室温下为液体的甲硅烷基胺化合物具有高挥发性和与表面和反应气体的优异反应性。因此,甲硅烷基胺化合物可以非常有用地用于形成含硅薄膜。
更具体地,甲硅烷基胺化合物是具有硅氮烷主链的化合物,但是是必须具有两个氨基甲硅烷基官能团的化合物,且其中氨基甲硅烷基官能团的每个硅原子必须具有两个与其连接的氢原子,作为沉积薄膜的前体可更加有用。
更优选地,在根据本发明的化学式1中,R1至R4各自独立地为(C1-C5)烷基或(C2-C5)烯基,并且更优选地,(C1-C3)烷基或(C2-C3)烯基。
优选地,根据本发明的由化学式1表示的甲硅烷基胺化合物可以由以下化学式2或3表示。
[化学式2]
[化学式3]
(在化学式2和3中,
R11至R14各自独立地为(C1-C5)烷基或(C2-C5)烯基;以及
n和m各自独立地为1至7的整数。)
在甲硅烷基胺化合物中,硅氮烷骨架中的两个氨基甲硅烷基基团的每个硅原子必须具有两个与其连接的氢原子,使得甲硅烷基胺化合物作为用于沉积含硅薄膜的前体具有更优异的效果。
优选地,在根据本发明示例性实施方式的化学式2或3中,R11至R14可各自独立地为(C1-C3)烷基或(C2-C3)烯基;并且n和m可以各自独立地为1至4的整数。更优选地,R11至R14可以各自独立地为(C1-C3)烷基;且n和m可以各自独立地为1至3的整数。
更优选的是,根据本发明由化学式1表示的甲硅烷基胺化合物是由以下化学式4表示的化合物,其中NH基团的两侧彼此对称以便作为用于沉积含硅薄膜的前体具有更优异的效果。
[化学式4]
(在化学式4中,
R1和R2各自独立地为(C1-C7)烷基或(C2-C7)烯基,或彼此连接形成环。)
根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物可选自以下化合物,但不限于此。
此外,本发明提供了用于沉积含硅薄膜的组合物,其含有根据本发明示例性实施方式的甲硅烷基胺化合物。
根据本发明的用于沉积含硅薄膜的组合物必须包含根据本发明示例性实施方式的由化学式1表示的甲硅烷基胺化合物作为用于沉积薄膜的前体,并且甲硅烷基胺化合物可以在一定含量范围内包含在该用于沉积含硅薄膜的组合物中,其中本领域技术人员考虑到薄膜的成膜条件、厚度、性质等可以确认该含量。
如本文所用,术语“烷基”是指具有1至7个碳原子,优选1至5个碳原子,且更优选1至3个碳原子的直链、支链和环状饱和和不饱和烃,并且其实例可包括甲基、乙基、丙基、异丁基、戊基等。
如本文所用,术语“卤素”是指卤素元素,并且其实例包括氟、氯、溴、碘。
如本文所公开的,术语“烯基”作为单一基团或另一基团的一部分是指具有2至7个碳原子和一个或多个碳-碳双键的直链、支链或环状烃基。更优选的烯基是具有2至5个碳原子的低级烯基。最优选的低级烯基是具有约2至3个碳原子的低级烯基。此外,烯基基团可以在随机可用的附连点处被取代。烯基的实例包括乙烯基、丙烯基、烯丙基、丁烯基和4-甲基丁烯基。术语“烯基”和“低级烯基”包括具有顺式和反式取向的基,或者替代地,具有E和Z取向的基。
如本文所用,短语“R1和R2以及R3和R4各自独立地相互连接形成环”包括R1和R2彼此连接形成环但R3和R4不形成环的情况;与之相反,R1和R2不形成环但R3和R4相互连接形成环的情况;以及R1和R2相互连接形成环且R3和R4相互连接形成环的情况,其中所形成的环可以是包含N的脂环或芳香环,且优选脂环。
根据本发明的由化学式1表示的甲硅烷基胺化合物可以通过任何方法制备,只要该方法可以被本领域技术人员公认。作为实例,甲硅烷基胺化合物可以通过使由以下化学式11、化学式和化学式13表示的化合物反应来制备。
[化学式11]
[化学式12]
[化学式13]
(在化学式11至13中,
R1至R4各自独立地为(C1-C7)烷基或(C2-C7)烯基,或R1和R2以及R3和R4各自独立地相互连接形成环,以及
X1是卤素。)
优选地,根据本发明示例性实施方式的由化学式12和13表示的化合物可以以每1摩尔由化学式11表示的化合物1.1至4.2摩尔的含量使用,并且反应可以在室温下特别是在18至35℃下进行2至8小时。
根据本发明示例性实施方式的由化学式11表示的化合物可以通过任何方法合成,只要该方法可以被本领域技术人员公认。例如,化合物可以通过使由以下化学式14和15表示的化合物在酸存在下相互反应来制备。
[化学式14]
[化学式15]
(在化学式14和15中,R是(C1-C7)烷基,且X1是卤素。)
作为根据本发明示例性实施方式的酸,可以使用任何酸,只要它是路易斯酸,而可以优选使用AlCl3
由化学式15表示的化合物可以以每1摩尔由化学式14表示的化合物2至4.5摩尔的含量使用,并且路易斯酸可以以每1摩尔由化学式14表示的化合物0.005至1摩尔,更优选0.005至0.01摩尔来使用。反应可以在-30至-5℃下进行1至6小时。
此外,本发明提供了使用根据本发明示例性实施方式的用于沉积含硅薄膜的组合物制造含硅薄膜的方法。
在根据本发明的用于制造含硅薄膜的方法中,使用根据本发明示例性实施方式的用于沉积含硅薄膜的组合物,其包含室温下为液体且具有高挥发性和优异的热稳定性的由化学式1表示的甲硅烷基胺化合物作为前体,使得可以容易处理,可以制造各种薄膜,并且即使在低温和低功率下也可以以高沉积速率制造具有高纯度的含硅薄膜。
此外,通过根据本发明的方法制造的含硅薄膜具有优异的耐久性和电性质,并且其耐氟化氢性、水蒸气穿透率和台阶覆盖率也是优异的。
在根据本发明的制造含硅薄膜的方法中,含硅薄膜可以通过任何方法形成,只要该方法可以被本领域技术人员公认。然而,优选地,含硅薄膜可以通过原子层沉积(ALD)方法、化学气相沉积(CVD)方法、金属有机化学气相沉积(MOCVD)方法、低压化学气相沉积(LPCVD)方法、等离子体增强化学气相沉积(PECVD)方法或等离子体增强原子层沉积(PEALD)方法来形成,但更优选PECVD、ALD或PEALD以使薄膜更容易沉积,并使制造的薄膜具有优异的性能。
根据本发明的含硅薄膜可以是氧化硅(SiO2)膜、碳氧化硅(SiOC)膜、氮化硅(SiN)膜、氮氧化硅(SiON)膜、碳氮化硅(SiCN)膜或碳化硅(SiC)膜,且可以制造具有高质量的各种薄膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
a)将安装在腔室中的基底的温度保持在30至500℃,优选30至150℃,且更优选30至100℃;
b)使根据本发明的用于沉积含硅薄膜的组合物与基底接触,以将用于沉积含硅薄膜的组合物吸附在基底中;以及
c)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜。
更具体地,根据本发明的制造含硅薄膜的方法可以包括:
A)将安装在腔室中的基底的温度保持在30至500℃;
B)使用于沉积含硅薄膜的组合物与基底接触,以将用于沉积含硅薄膜的组合物吸附在基底中;
C)吹扫剩余的用于沉积含硅薄膜的组合物和副产物;
D)将反应气体注入至其中吸附了用于沉积含硅薄膜的组合物的基底以形成含硅薄膜;以及
E)吹扫剩余的反应气体和副产物,其中步骤D)中的反应气体可以除去用于沉积含硅薄膜的组合物中包含的甲硅烷基胺化合物的配体以形成Si-O原子层。
优选地,根据示例性实施方式的反应气体可以在通过产生等离子体功率为50至1000W的等离子体而激活之后供应。
在根据本发明示例性实施方式的制造含硅薄膜的方法中,使用根据本发明的甲硅烷基胺化合物作为前体,使得沉积可以在50至90℃的低温下进行,并且可以通过产生50至400W的低等离子体功率的等离子体来激活反应气体,从而可以制造薄膜。在根据本发明示例性实施方式的制造含硅薄膜的方法中,可以根据所需薄膜的结构或热性质来调节沉积条件。根据本发明示例性实施方式的沉积条件的实例可包括含有甲硅烷基胺化合物的用于沉积含硅薄膜的组合物的注入流速、反应气体和载气的注入流速、压力、RF功率、基底的温度等。作为沉积条件的非限制性实例,用于沉积含硅薄膜的组合物的注入流速可以在10至1000cc/min的范围内调节,载气的注入流速可以在10至1000cc/min的范围内调节,反应气体的注入流速可以在1至1500cc/min的范围内调节,压力可以在0.5至10托的范围内调节,RF功率可以在50至1000W的范围内调节,并且基底的温度可以在30至500℃,优选30至200℃的范围内调节,但是沉积条件不限于此。
在根据本发明的制造含硅薄膜的方法中使用的反应气体不受限制,但可以是选自氢气(H2)、肼(N2H4)、臭氧(O3)、氧气(O2)、氧化亚氮(N2O)、氨气(NH3)、氮气(N2)、硅烷(SiH4)、硼烷(BH3)、乙硼烷(B2H6)和磷化氢(PH3)中的一种,或者是其中一种或多种的混合气体,并且载气可以是选自氮气(N2)、氩气(Ar)和氦气(He)中的一种,或者是其中两种或更多种的混合气体。
在根据本发明的制造含硅薄膜的方法中使用的基底可以是包含选自Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs和InP中的一种或多种半导体材料的基底;绝缘体上硅(SOI)基底;石英基底;用于显示器的玻璃基底;或者由聚酰亚胺、聚对苯二甲酸乙二醇酯(PET)、聚萘二甲酸乙二醇酯(PEN)、聚甲基丙烯酸甲酯(PMMA)、聚碳酸酯(PC)、聚醚砜(PES)、聚酯等制成的柔性塑料基底,但不限于此。
此外,含硅薄膜可以直接形成在基底上。替代地,也可以在基底和含硅薄膜之间形成大量导电层、介电层、绝缘层等。
优选地,根据本发明示例性实施方式的用于沉积含硅薄膜的组合物可以用作有机发光二极管(OLED)的密封剂。
将参考以下实施例详细描述本发明。本说明书和权利要求中使用的术语和词语不应被解释为限于典型含义或字典定义,而应解释为基于一定规则具有与本发明的技术范围相关的含义和概念,根据该规则发明人可以适当地定义该术语的概念,以最恰当地描述他或她知道的用于实施本发明的最佳方法。
因此,在本说明书的实施方式中描述的以及在附图中示出的配置仅指示最优选的实施例而不是指示本发明的所有技术构思,并因此应理解可以存在可以替换上述配置的各种等同物和修改。
此外,在所有以下实施例中,通过本领域已知的等离子体增强原子层沉积(PEALD)方法使用商业化的200mm单晶片淋浴头型ALD装置(CN1,Atomic Premium)进行沉积。另外,通过本领域已知的等离子体增强化学气相沉积(PECVD)方法使用商业化的200mm单晶片淋浴头型CVD(PECVD)装置(CN1,Atomic Premium)进行沉积。
使用椭偏仪(OPTI-PROBE 2600,THERMA-WAVE)测量沉积的含硅薄膜的厚度,并使用红外光谱法(IFS66V/S&Hyperion 3000,Bruker Optics)和X射线光电子能谱法分析所制造的含硅薄膜的组成。
此外,使用透射电子显微镜(Tecnai F30S-Twin,FEI Korea)确认台阶覆盖率。
[实施例1]双(乙基甲基氨基甲硅烷基)胺的制备
在无水和惰性气氛下,在将六甲基二硅氮烷(((CH3)3Si)2NH,1,695g(10.5mol))和氯化铝(AlCl3,7g(0.05mol))放入到5000mL Sus火焰干燥反应器中之后,缓慢地将二氯硅烷(SiH2Cl2,2,121g(21mol))加入其中,同时搅拌该混合物,并保持温度在-25℃。然后,在-10℃下搅拌反应溶液。将该混合物反应溶液搅拌3小时,通过过滤除去氯化铝(AlCl3),并通过简单蒸馏或减压蒸馏除去形成的三甲基氯硅烷((CH3)3SiCl)。将回收的双(氯甲硅烷基)胺((SiH2Cl)2NH)溶液与正戊烷一起搅拌,并保持温度在-25℃,同时将乙基甲基胺((CH3CH2)CH3NH),676g(11.44mol))缓慢加入其中。加入完成之后,将反应溶液缓慢加热至室温并在室温下搅拌6小时。通过过滤除去形成的白色固体,从而获得滤液。在减压下从该滤液中除去溶剂,然后减压蒸馏,从而得到双(乙基甲基氨基甲硅烷基)胺(((SiH2NCH3(CH2CH3))2NH,200g(1.04mol),产率:33%)。
1H-NMR(于CDCl3中):δ2.52(s,6H,(((SiH2NCH3(CH2CH3))2NH),δ4.48(d,4H,(((SiH2NCH3(CH2CH3))2NH),δ1.04(t,6H,((SiH2NCH3(CH2CH3))2NH,δ2.86(q,4H((SiH2NCH3(CH2CH3))2NH)。
[实施例2]双(二乙基氨基甲硅烷基)胺的制备
除了用二乙胺代替实施例1中的乙基甲基胺之外,以与实施例1相同的方式制备双(二乙基氨基甲硅烷基)胺。
1H-NMR(于CDCl3中):δ1.02(t,12H,(((SiH2(N(CH2CH3)2))2NH),δ2.89(q,8H,(((SiH2(N(CH2CH3)2))2NH),δ4.51(d,4H,(((SiH2(N(CH2CH3)2))2NH)
[比较例1]双(二乙基氨基)硅烷的制备
在无水和惰性气氛下,将二氯硅烷(SiH2Cl2,300g(2.97mol))与正己烷(2,887g(33.5mol))放入到5000mL火焰干燥Sus反应器(高压反应器)中,并缓慢向其中加入二乙胺(CH3CH2)2NH,931g(12.47mol)),同时保持温度在-25℃,之后搅拌混合物3小时,并通过滤除去二乙胺盐酸盐((CH3CH2)2NHHCl)),从而获得滤液。在减压下从该滤液中除去溶剂,随后在减压下蒸馏,由此得到双(二乙基氨基硅烷)(SiH2(N(CH2CH3)2)2,388g(2.22mol),产率:75%)。
1H-NMR(于C6D6中):δ0.98(t,12H(SiH2(N(CH2CH3)2)2),2.86(q,8H)(SiH2(N(CH2CH3)2)2),4.71(s,2H(SiH2(N(CH2CH3)2)2)
[比较例2][双(二乙基氨基二甲基甲硅烷基)]三甲基甲硅烷基胺的制备
在无水和惰性气氛下,将六甲基二硅氮烷(((CH3)3Si)2NH,250g(1.55mol))和氯化铝(AlCl3,10g(0.075mol))放入到2000mL火焰干燥Schlenk烧瓶中,之后向其中缓慢地加入二氯二甲基硅烷((CH3)2SiCl2,499.80g(3.87mol)),同时搅拌混合物并将温度保持在25℃。然后,将反应溶液缓慢加热至40℃。将该混合物反应溶液搅拌3小时,并形成三甲基氯硅烷((CH3)3SiCl),并通过简单蒸馏或减压蒸馏除去过量添加的二氯二甲基硅烷((CH3)2SiCl2)。将回收的氯代二甲基二硅氮烷(((CH3)2SiCl)2NH))溶液与己烷(C6H14)一起搅拌并保持温度在-15℃,同时向其中缓慢加入二乙胺((CH3CH2)2NH,475.45g(6.5mol))。加入完成之后,将反应溶液缓慢加热至室温并在室温下搅拌6小时。在通过过滤除去形成的白色固体而得到滤液之后,在减压下从滤液中除去溶剂。在放入回收的二乙氨基二甲基二硅氮烷((CH3)2SiN(CH2CH3)2)2NH)和正己烷(有机溶剂,200mL)之后,向其缓慢加入2.29M正丁基锂(n-C4H9Li)己烷(C6H14)溶液(202.16g(0.65mol)),同时搅拌混合物并保持温度在-15℃。加入完成之后,将反应溶液缓慢加热至室温并搅拌12小时。然后,向其中加入四氢呋喃(O(C2H2)2,200mL)。将三甲基氯硅烷(70.94g(0.65mol))缓慢加入到反应溶液中,同时保持温度在-20℃。加入完成之后,缓慢加热反应溶液,并搅拌12小时,同时保持温度在65℃。通过过滤其中反应终止了的反应混合物而得到滤液以除去形成的白色固体,之后在减压下从滤液中除去溶剂,然后在减压下蒸馏,从而得到双二乙基氨基二甲基甲硅烷基三甲基甲硅烷基胺((CH3)3SiN(Si(CH3)2N(CH2CH3)2)2,159g(0.46mol),产率:70%)。
1H-NMR(于C6D6中):δ0.30(s,12H,NSi(CH3)2N),0.32(s,9H,Si(CH3)3),0.99(t,12H,Si(NCH2CH3)2),2.82(q,8H,Si(NCH2CH3)2)
[实施例3]使用双(乙基甲基氨基甲硅烷基)胺通过PEALD制造氧化硅薄膜
评估在一般的等离子体增强原子层沉积(PEALD)设备中,使用本领域已知的等离子体增强原子层沉积(PEALD)方法,使用根据本发明的实施例1中制备的甲硅烷基胺化合物作为用于形成氧化硅膜的组合物的膜形成。作为反应气体,氧化亚氮与等离子体一起使用,并且对应于惰性气体的氮气用于吹扫。在0.5秒的反应气体和等离子体时间形成膜。表1中示出了用于沉积氧化硅薄膜的具体方法。
使用椭偏仪测量沉积的薄膜的厚度,使用红外光谱法分析氧化硅薄膜的形成,并使用X射线光电子光谱法分析氧化硅薄膜的组成。此外,使用透射电子显微镜(TEM)确认台阶覆盖率。表2中示出了氧化硅薄膜的具体分析结果,并且图2中说明了通过使用红外光谱法分析沉积的膜获得的结果。
[比较例3]使用双(二乙基氨基)硅烷通过PEALD制造氧化硅薄膜
评估在一般的等离子体增强原子层沉积(PEALD)设备中,使用本领域已知的等离子体增强原子层沉积(PEALD)方法,使用双(二乙基氨基)硅烷化合物用于形成氧化硅膜的膜形成。作为反应气体,氧化亚氮与等离子体一起使用,并且对应于惰性气体的氮气用于吹扫。在0.5秒的反应气体和等离子体时间形成膜。表1中示出了用于沉积氧化硅薄膜的具体方法。
使用椭偏仪测量沉积的薄膜的厚度,使用红外光谱法分析氧化硅薄膜的形成,并使用X射线光电子光谱法分析氧化硅薄膜的组成。此外,使用透射电子显微镜(TEM)确认台阶覆盖率。表2中示出了氧化硅薄膜的具体分析结果,并且图2中说明了通过使用红外光谱法分析沉积的膜获得的结果。
[比较例4]使用[双(二乙基氨基二甲基甲硅烷基)]三甲基甲硅烷基胺通过PEALD制造氧化硅薄膜
评估使用本领域已知的等离子体增强原子层沉积(PEALD)方法,在一般的等离子体增强原子层沉积(PEALD)设备中,使用[双(二乙基氨基二甲基甲硅烷基)]三甲基甲硅烷基胺化合物以形成氧化硅膜的膜形成。作为反应气体,氧化亚氮与等离子体一起使用,并且对应于惰性气体的氮气用于吹扫。在0.5秒的反应气体和等离子体时间形成膜。表1中示出了用于沉积氧化硅薄膜的具体方法。
使用椭偏仪测量沉积的薄膜的厚度,使用红外光谱法分析氧化硅薄膜的形成,并使用X射线光电子光谱法分析氧化硅薄膜的组成。此外,使用透射电子显微镜(TEM)确认台阶覆盖率。表2中示出了氧化硅薄膜的具体分析结果,并且图2中说明了通过使用红外光谱法分析沉积的膜获得的结果。
[表1]通过PEALD的氧化硅薄膜的沉积条件
[表2]氧化硅薄膜的性能评价
如表2所示,可以认识到,与比较例3和4中的氧化硅膜相比,使用包含根据本发明的甲硅烷基胺化合物作为前体的用于沉积含硅薄膜的组合物制造的氧化硅膜具有优异的台阶覆盖率,同时具有优异的沉积速率。
也就是说,如表2和图3所示,在根据本发明的实施例3中的氧化硅膜中,折射率和O/Si组成比率与比较例3和4中的相似,与比较例3和4中的氧化硅膜相比,沉积速率优异,薄膜的厚度显著增加,并且台阶覆盖率也是优异的。

Claims (11)

1.一种甲硅烷基胺化合物,由以下化学式1表示:
[化学式1]
在化学式1中,
R1至R4各自独立地为C1-C7烷基或C2-C7烯基,或R1和R2以及R3和R4各自独立地相互连接形成环。
2.根据权利要求1所述的甲硅烷基胺化合物,其中,在化学式1中,R1至R4各自独立地为C1-C5烷基或C2-C5烯基。
3.根据权利要求1所述的甲硅烷基胺化合物,其中,由化学式1表示的所述甲硅烷基胺化合物由以下化学式2或3表示:
[化学式2]
[化学式3]
在化学式2和3中,
R11至R14各自独立地为C1-C5烷基或C2-C5烯基;以及
n和m各自独立地为1至7的整数。
4.根据权利要求3所述的甲硅烷基胺化合物,其中,在化学式2或3中,R11至R14各自独立地为C1-C3烷基或C2-C3烯基;以及n和m各自独立地为1至4的整数。
5.根据权利要求1所述的甲硅烷基胺化合物,其中,由化学式1表示的所述甲硅烷基胺化合物选自以下化合物:
6.一种用于沉积含硅薄膜的组合物,所述组合物包含根据权利要求1至5中任一项所述的甲硅烷基胺化合物。
7.一种使用根据权利要求6所述的用于沉积含硅薄膜的组合物来制造含硅薄膜的方法。
8.根据权利要求7所述的方法,其中,通过原子层沉积方法、化学气相沉积方法、金属有机化学气相沉积方法、低压化学气相沉积方法、等离子体增强化学气相沉积方法或等离子体增强原子层沉积方法进行沉积。
9.根据权利要求7所述的方法,其中,所述含硅薄膜是氧化硅膜、碳氧化硅膜、氮化硅膜、氮氧化硅膜、碳氮化硅膜或碳化硅膜。
10.根据权利要求7所述的方法,包括:
a)将安装在腔室中的基底的温度保持在30至500℃;
b)使根据权利要求6所述的组合物与所述基底接触,以将所述组合物吸附在所述基底中;以及
c)将反应气体注入至其中吸附了所述组合物的所述基底以形成含硅薄膜。
11.根据权利要求7所述的方法,其中,在通过产生等离子体功率为50至1000W的等离子体激活所述反应气体之后,供应所述反应气体。
CN201880020051.7A 2017-03-29 2018-03-28 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法 Active CN110461953B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2017-0040078 2017-03-29
KR20170040078 2017-03-29
KR10-2018-0034940 2018-03-27
KR1020180034940A KR102105977B1 (ko) 2017-03-29 2018-03-27 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
PCT/KR2018/003643 WO2018182305A1 (en) 2017-03-29 2018-03-28 Silylamine compound, composition for depositing silicon-containing thin film containing the same, and method for manufacturing silicon-containing thin film using the composition

Publications (2)

Publication Number Publication Date
CN110461953A true CN110461953A (zh) 2019-11-15
CN110461953B CN110461953B (zh) 2021-08-27

Family

ID=63876274

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880020051.7A Active CN110461953B (zh) 2017-03-29 2018-03-28 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法
CN201880019561.2A Active CN110431192B (zh) 2017-03-29 2018-03-29 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880019561.2A Active CN110431192B (zh) 2017-03-29 2018-03-29 用于沉积含硅薄膜的组合物及使用其制造含硅薄膜的方法

Country Status (5)

Country Link
US (2) US11358974B2 (zh)
JP (2) JP6900503B2 (zh)
KR (2) KR102105977B1 (zh)
CN (2) CN110461953B (zh)
TW (2) TWI711624B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
JP7487119B2 (ja) * 2019-02-15 2024-05-20 株式会社半導体エネルギー研究所 半導体装置の作製方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102597066A (zh) * 2009-10-28 2012-07-18 道康宁公司 聚硅烷-聚硅氮烷共聚物及其制备和使用方法
US20140363985A1 (en) * 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel Amino-Silyl Amine Compound, Method for Preparing the Same and Silicon-Containing Thin-Film Using the Same
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
CN105377860A (zh) * 2013-06-07 2016-03-02 Dnf有限公司 新氨基-甲硅烷基胺化合物、制备其的方法和使用其的含硅薄膜

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2599037B1 (fr) * 1986-05-26 1990-05-04 Europ Propulsion Dihydrogeno-1,3 disilazanes fonctionnels et procede pour leur preparation
JP2585029B2 (ja) 1987-11-16 1997-02-26 株式会社高純度化学研究所 シリコン窒化酸化膜の形成方法
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
WO2009034596A1 (ja) * 2007-09-10 2009-03-19 Fujitsu Limited ケイ素含有被膜の製造方法、ケイ素含有被膜および半導体装置
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
US9447287B2 (en) * 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
KR101600327B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6071830B2 (ja) 2013-09-25 2017-02-01 本田技研工業株式会社 筺体
KR101718744B1 (ko) * 2014-11-03 2017-03-23 (주)디엔에프 실리콘 전구체를 포함하는 박막증착용 조성물 및 이를 이용한 실리콘함유 박막의 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102597066A (zh) * 2009-10-28 2012-07-18 道康宁公司 聚硅烷-聚硅氮烷共聚物及其制备和使用方法
US20140363985A1 (en) * 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel Amino-Silyl Amine Compound, Method for Preparing the Same and Silicon-Containing Thin-Film Using the Same
CN105377860A (zh) * 2013-06-07 2016-03-02 Dnf有限公司 新氨基-甲硅烷基胺化合物、制备其的方法和使用其的含硅薄膜
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法

Also Published As

Publication number Publication date
US20200111665A1 (en) 2020-04-09
TWI711624B (zh) 2020-12-01
TW201841927A (zh) 2018-12-01
KR102105977B1 (ko) 2020-05-04
JP2020516062A (ja) 2020-05-28
KR20180110616A (ko) 2018-10-10
CN110431192A (zh) 2019-11-08
JP2020515573A (ja) 2020-05-28
JP6923994B2 (ja) 2021-08-25
US11358974B2 (en) 2022-06-14
CN110461953B (zh) 2021-08-27
KR20180110611A (ko) 2018-10-10
CN110431192B (zh) 2022-04-29
TWI730226B (zh) 2021-06-11
TW201840577A (zh) 2018-11-16
US20200392294A1 (en) 2020-12-17
JP6900503B2 (ja) 2021-07-07
KR102105976B1 (ko) 2020-05-04

Similar Documents

Publication Publication Date Title
TWI688569B (zh) 用於沈積含矽薄膜之組成物及使用其用以製造含矽薄膜之方法
CN110431204B (zh) 沉积含硅薄膜的含双(氨基甲硅烷基)烷基胺化合物的组合物及使用其制造含硅薄膜的方法
CN110546210B (zh) 包括乙硅烷基胺化合物的含硅薄膜沉积组合物以及用其制备含硅薄膜的方法
CN110536893B (zh) 乙硅烷基胺化合物,其制备方法,以及包括其的含硅薄膜沉积组合物
CN110461953A (zh) 甲硅烷基胺化合物、含其的用于沉积含硅薄膜的组合物及使用组合物制造含硅薄膜的方法
KR102422927B1 (ko) 신규한 실릴사이클로다이실라잔 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
US20230089296A1 (en) Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant