TWI730226B - 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法 - Google Patents

用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法 Download PDF

Info

Publication number
TWI730226B
TWI730226B TW107110754A TW107110754A TWI730226B TW I730226 B TWI730226 B TW I730226B TW 107110754 A TW107110754 A TW 107110754A TW 107110754 A TW107110754 A TW 107110754A TW I730226 B TWI730226 B TW I730226B
Authority
TW
Taiwan
Prior art keywords
silicon
film
thin film
composition
deposition
Prior art date
Application number
TW107110754A
Other languages
English (en)
Other versions
TW201841927A (zh
Inventor
金成基
李相道
朴重進
張世珍
楊炳日
朴廷主
李三東
李相益
金銘雲
Original Assignee
南韓商Dnf有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商Dnf有限公司 filed Critical 南韓商Dnf有限公司
Publication of TW201841927A publication Critical patent/TW201841927A/zh
Application granted granted Critical
Publication of TWI730226B publication Critical patent/TWI730226B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/14Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/16Applications used for films
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2203/00Applications
    • C08L2203/20Applications use in electrical or conductive gadgets

Abstract

本發明提供一種含矽烷胺化合物的組合物及使用彼製造含矽薄膜的方法。更具體地說,本發明提供一種用於沉積含矽薄膜的組合物,包含一矽烷胺化合物,其能形成一具有顯著優良之水氣穿透率的含矽薄膜,從而可有效地作為含矽薄膜的前驅物及顯示器的封裝材料;以及一種使用彼製造含矽薄膜的方法。

Description

用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法
本發明係關於一種用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法。更具體地說,本發明係關於一種用於沉積含矽薄膜的組合物以及一種使用彼製造含矽薄膜的方法,該組合物含有一矽烷胺化合物作為用於沉積薄膜的前驅物。
含矽薄膜在半導體領域中係由各種沉積製程製造,從而被製造成各種形式,例如:矽薄膜、氧化矽薄膜、氮化矽薄膜、碳氮化矽薄膜、及氮氧化矽薄膜;且含矽薄膜的應用領域可以是廣泛的。
尤其,由於氧化矽薄膜及氮化矽薄膜有顯著優良的阻隔性及抗氧化性,因此氧化矽薄膜及氮化矽薄膜於製造設備時係作為絕緣膜、擴散阻絕層、硬式光罩(hard mask)、蝕刻終止層、籽晶層(seed layer)、間隔物(spacer)、凹溝隔離物(trench isolation)、介金屬介電材料、鈍化層(passivation layer)。
近來,多晶矽薄膜已被用於薄膜電晶體(TFT)、太陽能電池等,且其應用領域逐漸地多樣化。
本領域所熟知之製造含矽薄膜的代表技術有金屬有機化學氣相沉積(MOCVD)法以及原子層沉積(ALD)法。金屬有機化學氣相沉法係使氣態矽前驅物與反應氣體彼此反應以在基板的表面上形成膜,或者直接使氣態矽前驅物與反應氣體於表面彼此反應以形成膜。原子層沉積法係物理性或化學性地吸附氣態矽前驅物,然後注入反應氣體以形成膜。例如採用上述方法的低壓化學氣相沉積(LPCVD)法、電漿增強化學氣相沉積(PECVD)法、能在低溫進行沉積的電漿增強原子層沉積(PEALD)法、及其類似方法等各種製造薄膜技術已被用於供製造次世代半導體及顯示裝置的製程,以形成超細圖案以及沉積在奈米尺度厚度下具有均勻及極佳性質的超薄膜。
用於形成該含矽薄膜的前驅物的代表實例可包括矽烷、矽烷化合物、胺基矽烷、及烷氧矽烷(alkoxysilane)化合物。其具體實例可包括如二氯矽烷(SiH2Cl2)及六氯二矽烷(Cl3SiSiCl3)之氯化矽烷化合物、三矽烷胺(N(SiH3)3)、雙-二乙基胺基矽烷(H2Si(N(CH2CH3)2)2)、二異丙基胺基矽烷(H3SiN(i-C3H7)2)、及其類似物。這些前驅物已被使用於製造半導體及顯示器的量產製程中。
然而,因裝置的超高整合及長寬比(aspect ratio)的增加所導致的裝置精細度,以及裝置材料的多樣化等緣故,仍需要一種可在期望低溫下形成具有均勻及極佳性質之超薄薄膜的方法。因此,薄膜的使用既有矽前驅物的高溫製程(600℃或更高)、階梯覆蓋性(step coverage)、蝕刻性質、物理性質及電性質成為了問題,使得新穎的更優良的矽前驅物及形成薄膜的方法的發展已被研究。
本發明之一目的在於提供一種用於沉積含矽薄膜的組合物,含有一種能作為含矽薄膜之前驅物的矽烷胺化合物。
本發明之另一個目的在於提供一種使用根據本發明之用於沉積含矽薄膜的組合物製造含矽薄膜的方法。
於一一般性實施方式中,提供一種用於沉積含矽薄膜的組合物,含有一種具有優良內聚力、高沉積率、優良物理性質及電性質的矽烷胺化合物,作為用於沉積含矽薄膜的前驅物,該矽烷胺化合物係如以下化學式1所示。
Figure 107110754-A0202-12-0003-3
(在化學式1中,R1至R4各自獨立為氫、(C1至C7)烷基或(C2至C7)烯基,或者R1與R2及R3與R4各自獨立地彼此連結成環;以及R5及R6各自獨立為(C1至C7)烷基或(C2至C7)烯基。)
較佳地,根據本發明例示性實施態樣,在化學式1所示之矽烷胺化合物中,R5及R6可各自獨立為(C1至C5)烷基。
較佳地,根據本發明例示性實施態樣,化學式1所示之矽烷胺化合物可如以下化學式2或化學式3所示。
Figure 107110754-A0202-12-0004-4
Figure 107110754-A0202-12-0004-5
(在化學式2或化學式3中,R11至R14各自獨立為氫、(C1至C5)烷基或(C2至C5)烯基;R5及R6各自獨立為(C1至C5)烷基或(C2至C5)烯基;以及n及m各自獨立為1至7之整數。)
較佳地,根據本發明例示性實施態樣,在化學式2或化學式3中,R5及R6可各自獨立為(C1至C5)烷基;以及n及m可各自獨立為1至4之整數。
根據本發明例示性實施態樣,化學式1所示之矽烷胺化合物可選自但不限於以下化合物。
Figure 107110754-A0202-12-0005-6
在另一一般性實施方式中,提供一種使用根據本發明例示性實施態樣之用於沉積含矽薄膜的組合物製造含矽薄膜的方法。
在該製造含矽薄膜的方法中,含矽薄膜可藉由原子層沉積(ALD)法、化學氣相沉積(CVD)法、金屬有機化學氣相沉積(MOCVD)法、低壓化學氣相沉積(LPCVD)法、電漿增強化學氣相沉積(PECVD)法、或電漿增強原子層沉積(PEALD)法形成,且含矽薄膜可為氧化矽(SiO2)薄膜、碳氧化矽(SiOC)薄膜、氮化矽(SiN)薄膜、氮氧化矽(SiON)薄膜、碳氮化矽(SiCN)薄膜、或碳化矽(SiC)薄膜。
更具體地,根據本發明之製造含矽薄膜的方法可包括:a)將安裝於腔室中之基板的溫度維持於30℃至500℃;b)使該基板與根據本發明之用於沉積含矽薄膜的組合物接觸,以將根據本發明之用於沉積含矽薄膜的組合物吸附於該基板中;及c)將反應氣體注入至其中吸附有該用於沉積含矽薄膜的組合物的該 基板中,以形成含矽薄膜。
在該製造含矽薄膜的方法中,該反應氣體可藉由以50W至1000W之電漿功率產生電漿而活化後提供。
一種用於沉積含矽薄膜的組合物,含有一矽烷胺,其在室溫下為液態且作為前驅物具有高揮發性及優良熱穩定性,從而可在低電漿功率及薄膜形成溫度條件下提供一種具有高純度及耐久性的高品質含矽薄膜。
進一步地,在使用根據本發明之用於沉積含矽薄膜的組合物製造含矽薄膜的方法中,即使在低薄膜形成溫度條件下仍可實現優良的沉積率及優良的應力強度,並且在由此製得的含矽薄膜中,雜質的含量,如碳、氧、及氫的含量可最小化,使得該含矽薄膜可具有高純度、優良物理性質與電性質、及優良水氣穿透率。
第1圖為量測實施例1中製得的雙(二甲基胺基甲基矽基)胺化合物之蒸氣壓所得的結果。
第2圖為實施例1中製得的雙(二甲基胺基甲基矽基)胺化合物之熱重分析結果。
第3圖為於實施例2至8及比較例2中製得的含矽薄膜之沉積薄膜上進行紅外光譜分析所得的結果。
第4圖為於實施例9至15中製得的含矽薄膜之沉積薄膜上進行紅外光譜分析所得的結果。
第5圖為量測實施例6中製得的含矽薄膜之水氣穿透率所得的結果。
第6圖為量測實施例11中製得的含矽薄膜之水氣穿透率所得的結果。
本發明提供一種用於沉積含矽薄膜的組合物,其含有以下化學式1所示之矽烷胺化合物,其在室溫下為液態且具有高揮發性及優良熱穩定性,因此可作為用於形成含矽薄膜之顯著有效用的前驅物,其中該矽烷胺化合物係如以下化學式1所示。
Figure 107110754-A0202-12-0007-7
(在化學式1中,R1至R4各自獨立為氫、(C1至C7)烷基或(C2至C7)烯基,或者R1與R2及R3與R4各自獨立地彼此連結成環;以及R5及R6各自獨立為(C1至C7)烷基或(C2至C7)烯基。)
在用於該沉積含矽薄膜的組合物所含有的該矽烷胺化合物中,胺具有二個矽胺(aminosilyl)官能基作為取代基,使得在室溫為液態的矽烷胺化合物具有高揮發性。因此,該矽烷胺化合物可顯著有效用地用於形成含矽薄膜。
更具體而言,根據本發明的矽烷胺化合物係具有矽氮烷 (silazane)主幹,但必須有二個矽胺基(
Figure 107110754-A0202-12-0008-40
Figure 107110754-A0202-12-0008-42
),且該矽胺基的每個矽原子必須有一個氫原子與之連接,只有在此情況下該矽烷胺作為前驅物用於沉積薄膜才可具有有效的效果。
較佳地,根據本發明例示性實施態樣,在化學式1所示之矽烷胺化合物中,R5及R6可各自獨立為(C1至C5)烷基。
較佳地,根據本發明例示性實施態樣,化學式1所示之矽烷胺化合物可如以下化學式2或化學式3所示。
Figure 107110754-A0202-12-0008-12
Figure 107110754-A0202-12-0008-13
(在化學式2或化學式3中,R11至R14各自獨立為氫、(C1至C5)烷基或(C2至C5)烯基;R5及R6各自獨立為(C1至C5)烷基或(C2至C5)烯基;以及n及m各自獨立為1至7之整數。)
在根據本發明之矽烷胺化合物中,矽氮烷主幹中的二個矽胺基的每個矽原子必須有一個氫原子與之連接,以使得矽烷胺化合物作為用於沉積薄膜的前驅物時具有更優良的效果。
較佳地,根據本發明例示性實施態樣,在化學式2或化學式3 中,R5及R6可各自獨立為(C1至C5)烷基或(C2至C5)烯基;以及n及m可各自獨立為1至4之整數。更佳地,R5及R6可各自獨立為(C1至C5)烷基;以及n及m可各自獨立為1至3之整數。
更佳地,化學式1所示之矽烷胺化合物如下化學式4所示之化合物,其中NH基的二側彼此對稱,以在作為用於沉積薄膜的前驅物時具有更優良的效果。
Figure 107110754-A0202-12-0009-14
(在化學式4中,R1及R2各自獨立為氫、(C1至C7)烷基或(C2至C7)烯基,或者R1與R2及R3與R4各自獨立地彼此連結成環;以及R5為(C1至C7)烷基或(C2至C7)烯基。)
根據本發明例示性實施態樣,化學式1所示之矽烷胺化合物可選自以下化合物。
Figure 107110754-A0202-12-0010-16
根據本發明之用於沉積含矽薄膜的組合物含有由化學式1所示之矽烷胺化合物作為用於沉積薄膜的前驅物,且用於沉積含矽薄膜的組合物中的該矽烷胺化合物之含量可在本領域中具有通常知識者考慮薄膜之薄膜形成條件、厚度、性質、或類似因素時可認可的含量範圍內。
於本文中,術語「烷基」指一線狀、分支狀、及環狀之飽和及不飽和碳氫化合物,其具有1至7個碳原子,較佳具有1至5個碳原子,且更佳具有1至3個碳原子,其實例可包括甲基、乙基、丙基、丁基、異丁基、戊基、及其類似物等。
於本文中,「鹵素」指一鹵素元素,其實例包括氟基、氯基、溴基、碘基。
於本文中,術語「烯基(alkenyl或alkenyl radical)」作為單獨基團或另一基團的一部分,係指一直鏈、支鏈、或環狀碳氫化合物基,其具有2至7個碳原子及一或多個碳-碳雙鍵。更佳的烯基為具有2至5個碳 原子之低碳烯基。最佳的低碳烯基係一具有大約2至3個碳原子之低碳烯基。進一步地,烯基可在任一可連接點取代。烯基的例子包括乙烯基、丙烯基、烯丙基、丁烯基、4-甲基丁烯基。該術語「烯基」及「低碳烯基」包括具有順分子配向及反分子配向或者E分子配向及Z分子配向之基。
於本文中,用語「R1與R2及R3與R4各自獨立地彼此連結成環」包括其中R1與R2彼此連結成環但R3與R4不形成環的狀況,R1與R2不形成環但R3與R4彼此連結成環的相反狀況,以及R1與R2彼此連結成環且R3與R4彼此連結成環的狀況,其中形成的環可為含N的脂環或芳香環,較佳為脂環。
根據本發明例示性實施態樣,化學式1所示之矽烷胺化合物可由任一方法製得,只要該方法為本領域中具有通常知識者所認可。
此外,本發明提供一種使用根據本發明之用於沉積含矽薄膜的組合物製造含矽薄膜的方法。
在根據本發明之製造含矽薄膜的方法中,係使用根據本發明之用於沉積含矽薄膜的組合物,該組合物包含化學式1所示之在室溫下為液態且具有高揮發性及優良熱穩定性之矽烷胺化合物作為前驅物,從而使得處理上變得容易,得以製造各種薄膜,且即使在低溫及低功率下也可以製造一在高沉積速率下具有高純度的含矽薄膜。
進一步地,根據本發明法製造的含矽薄膜具有優良耐久性及電性性質,以及對氟化氫具有抗性,且水氣穿透率也優良。
在根據本發明之製造含矽薄膜的方法中,該含矽薄膜可由任一方法形成,只要該方法為本領域中具有通常知識者所認可。然而,較佳地,該含矽薄膜可藉由原子層沉積(ALD)法、化學氣相沉積(CVD)法、 金屬有機化學氣相沉積(MOCVD)法、低壓化學氣相沉積(LPCVD)法、電漿增強化學氣相沉積(PECVD)法、或電漿增強原子層沉積(PEALD)法形成,但為了讓薄膜能更容易沉積,且使得製造的薄膜具有優良性質,PECVD、ALD、或PEALD是更佳的。
根據本發明之含矽薄膜可為氧化矽(SiO2)薄膜、碳氧化矽(SiOC)薄膜、氮化矽(SiN)薄膜、氮氧化矽(SiON)薄膜、碳氮化矽(SiCN)薄膜、或碳化矽(SiC)薄膜,且各種具有高品質的薄膜均可被製造。
更具體言之,根據本發明之製造含矽薄膜的方法可包括:a)將安裝於腔室中之基板的溫度維持於30℃至500℃;b)使該基板與根據本發明之用於沉積含矽薄膜的組合物接觸,以將根據本發明之用於沉積含矽薄膜的組合物吸附於該基板中;以及c)將反應氣體注入至其中吸附有該用於沉積含矽薄膜的組合物的該基板中,以形成含矽薄膜。
再更具體言之,根據本發明之製造含矽薄膜的方法可包括:A)將安裝於腔室中之基板的溫度維持於30℃至500℃;B)使該基板與根據本發明之用於沉積含矽薄膜的組合物接觸,以將該組合物吸附於該基板中;C)沖洗(purging)剩下的用於沉積含矽薄膜的組合物及副產物;D)將反應氣體注入至其中吸附有該用於沉積含矽薄膜的組合物的該基板中,以形成含矽薄膜;以及E)沖洗剩下的反應氣體及副產物,其中步驟D)中的反應氣體可除 去用於沉積含矽薄膜的組合物中所含之該矽烷胺化合物的一個配位基,以形成Si-O原子層。
較佳地,根據例示性實施態樣之反應氣體可藉由以50W至1000W之電漿功率產生電漿而活化後提供。
在根據本發明例示性實施態樣之製造含矽薄膜的方法中,沉積條件可視期望之薄膜的結構或熱性質調整。根據本發明例示性實施態樣之沉積條件的例子可包括:含矽烷胺化合物之用於沉積含矽薄膜的組合物的注入流率、反應氣體及載流氣體的注入流率、壓力、RF功率、該基板的溫度、及其類似條件等。作為沉積條件的非限制性例子,用於沉積含矽薄膜的組合物的注入流率可在10立方公分/分鐘至1000立方公分/分鐘的範圍調整;載流氣體的注入流率可在10立方公分/分鐘至1000立方公分/分鐘的範圍調整;反應氣體的注入流率可在1立方公分/分鐘至1500立方公分/分鐘的範圍調整;壓力可在0.5托至10托的範圍調整;RF功率可在50W至1000W,較佳400W至800W的範圍調整;該基板的溫度可在30℃至500℃,較佳50°C至200℃,更佳50℃至100℃的範圍調整,但沉積條件不限於此。
根據本發明之製造含矽薄膜的方法使用的反應氣體並無限制,但可選自氫氣(H2)、聯氨(N2H4)、臭氧(O3)、氧氣(O2)、一氧化二氮(N2O)、氨(NH3)、氮氣(N2)、矽烷(SiH4)、硼烷(BH3)、二硼烷(B2H6)、及膦(PH3)之一者或前述之二或多者的混合氣體,載流氣體可選自氮氣(N2)、氬(Ar)、及氦(He)之一者或前述之二或多者的混合氣體。
根據本發明之製造含矽薄膜的方法使用的基板可為含有一 或多種選自Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs、及InP之半導體材料的基板;絕緣體上矽(SOI)基板;石英基板;用於顯示器的玻璃基板;或可由但不限於聚醯亞胺、聚對苯二甲酸乙二酯(PET)、聚萘二甲酸乙二酯(PEN)、聚甲基丙烯酸甲酯(PMMA)、聚碳酸脂(PC)、聚醚碸(PES)、聚酯、及其類似物所製之可撓塑膠基板。
進一步地,該含矽薄膜可直接於基板上形成。或者,大量的導電層、介電層、絕緣層、及其類似物等也可於該基板及該含矽薄膜之間形成。
較佳地,根據本發明例示性實施態樣之用於沉積含矽薄膜的組合物可作為有機發光二極體(OLED)的封裝材料使用。
參照以下實施例對本發明進行詳細說明。本說明書及申請專利範圍所用之用語及字詞不應解釋為限於一般意義或字典上的定義,而應基於以下原則解釋為具有與本發明技術範圍有關的意義及概念:發明人藉此可適當地定義該用語的概念來最適當地描述他或她所知用於實行本發明之最佳方法的原則。
所以,在本說明書中之實施態樣中描述及圖式中所示之構型僅為最佳實施例,而非指代本發明之所有技術想法,因此應了解的是,可能存在可替代以上構型之多種等效物及改質物。
進一步地,在以下所有實施例中,係使用商業化200毫米單晶圓蓮蓬頭型(shower head type)ALD儀器(CN1,Atomic Premium)藉由本領域所知的電漿增強原子層沉積(PEALD)法進行沉積。另外,係使用商業化200毫米單晶圓蓮蓬頭型CVD儀器(CN1,Atomic Premium)藉由本領 域所知的電漿增強化學氣相沉積(PECVD)法進行沉積。
所沉積之含矽薄膜的厚度係由橢圓偏光計(OPTI-PROBE 2600,THERMA-WAVE)量測,且薄膜的性質分析係藉由紅外光譜(IFS66V/S & Hyperion 3000,Bruker Optics)、X射線光電光譜分析。進一步的,水氣穿透率(WVTR)係藉由WVTP分析儀(MOCON,Aquatran)量測,量測中使用的氮氣含量為20毫升/分鐘‧Air,WVTR量測範圍設定為50平方公分。應力係藉由Frontier semiconductor(FSM500TC)量測,量測範圍設定為160毫米,矽晶圓厚度設定為0.725微米,如此分析了薄膜的性質。
[實施例1]雙(二甲基胺基甲基矽基)胺的製備
Figure 107110754-A0202-12-0015-17
在無水及惰性的氣氛下,將六甲基二矽氮烷(((CH3)3Si)2NH,250公克(1.55莫耳))及氯化鋁(AlCl3,10公克(0.075莫耳))置入2000毫升直火乾燥過的燒瓶後,慢慢加入二氯甲基矽烷(CH3SiHCl2,713.19公克(6.20莫耳))同時攪拌所得混合物及維持溫度於25℃。然後,將反應溶液慢慢加熱至40℃。將該反應溶液攪拌3小時,將氯化鋁(AlCl3)藉由過濾從中移除,以及將所生成的氯三甲基矽烷((CH3)3SiCl)與過量添加的二氯甲基矽烷(CH3SiHCl2)藉由簡單蒸餾或減壓蒸餾移除。攪拌回收的雙(氯乙基矽基)胺((CH3SiHCl)2NH)溶液及維持溫度於-15℃,同時慢慢加入二甲基胺((CH3)2NH,293.47公克(4.2莫耳))。在加入完成後,將反應溶液慢慢的加熱至室溫並於室溫攪拌6小時。生成的白色固體藉由過濾移除,從而獲得一濾液。在減壓下從該濾液中移除溶劑,接著在減壓下進行蒸餾, 從而獲得雙(二甲基胺基甲基矽基)胺((CH3SiHN(CH3)2)2NH,222.54公克(1.16莫耳),產率:75%)。
1H-NMR(inC6D6):δ 0.12(s,6H,(CH3SiHN(CH3)2)2NH),2.47(s,12H,(CH3SiHN(CH3)2)2NH),4.43(m,2H,(CH3SiHN(CH3)2)2NH),2.0(b,1H,(CH3SiHN(CH3)2)2NH).
[比較例1]二異丙基胺基矽烷的製備
Figure 107110754-A0202-12-0016-18
將二異丙基胺(280.3公克(2.77莫耳))置入3公升直火乾燥過的舒倫克瓶(Schlenk flask),並加入戊烷後一起攪拌。攪拌該溶液及維持溫度於-20℃,同時慢慢加入三氯矽烷(187.60公克(1.38莫耳))。將反應溶液慢慢的加熱至室溫。該混合反應溶液於室溫攪拌4小時,當白色固體形成時,將該白色固體藉由過濾移除,從而獲得一濾液。在減壓下從該濾液中移除溶劑,並加入二甲氧甲烷(895.89公克(11.77莫耳))作為溶劑,慢慢加入氫化鋰(LiH,27.53公克(3.46莫耳))。在加入完成後,將該混合物回流約6小時,同時將燒瓶的溫度維持於50℃。在回流後,該燒瓶冷卻至室溫,藉由過濾移除白色固體,從而獲得一濾液。在減壓下從該濾液中移除溶劑,接著在減壓下進行蒸餾,從而獲得二異丙基胺基矽烷(136.5公克,產率:75%)
1H-NMR(inC6D6):δ 0.93(d,6H,SiH3(N(CH(CH3)2))),2.47(m,2H,SiH3(N(CH(CH3)2))),5.65(s,3H,SiH3(N(CH(CH3)2))).
[實施例2]使用雙(二甲基胺基甲基矽基)胺藉由PEALD製 造氧化矽薄膜
薄膜的形成係使用根據本發明實施例1之矽烷胺化合物作為用於形成氧化矽薄膜的組合物,在一般的電漿增強原子層沉積(PEALD)儀器中使用本領域所知的電漿增強原子層沉積(PEALD)法。作為反應氣體,一氧化二氮係連同電漿一起使用,及將對應於惰性氣體之氮氣用於沖洗。於反應氣體及0.5秒的電漿時間形成該薄膜。具體的沉積氧化矽薄膜方法顯示於表1。
沉積薄膜的厚度係由橢圓偏光計量測,氧化矽薄膜的形成係使用紅外光譜分析,且氧化矽薄膜的組成係使用X射線光電光譜分析。進一步地,氧化矽薄膜的應力係使用應力計分析,及為了量測薄膜的水氣穿透率(WVTR),使用WVTP分析儀以量測WVTR。具體的氧化矽薄膜分析結果顯示於表2,且使用紅外光譜分析沉積薄膜所得的結果顯示於第3圖。
[實施例3至8]使用雙(二甲基胺基甲基矽基)胺藉由PEALD製造氧化矽薄膜
以與實施例2相同的方式使用本領域所知的電漿增強原子層沉積(PEALD)法進行薄膜的形成,惟改變沉積條件如實施例2中的表1所示。進一步地,使用紅外光譜分析沉積薄膜所得的結果顯示於第3圖。
如根據本發明實施例2至8可理解的,在低溫下使用含根據本發明製備的雙(二甲基胺基甲基矽基)胺之用於沉積含矽薄膜的組合物,為了使氧化矽薄膜具有700Å的厚度,氧化矽薄膜的沉積速率為1.87Å/週期至1.97Å/週期,如此沉積速率為顯著優良的。
更具體地,可以理解的是,相較於使用含有二異丙基胺基矽 作為薄膜前驅物之用於沉積含矽薄膜的組合物的比較例2,使用含根據本發明之矽烷胺化合物作為前驅物之用於沉積含矽薄膜的組合物的實施例2至8中,薄膜的沉積速率更優良,且水氣穿透率也為優良,可對增加形成含矽薄膜的生產率上有顯著影響。對於第3圖中之使用紅外光譜分析沉積薄膜所得的結果,可以理解的是,實施例2至8中形成的所有薄膜皆為氧化矽薄膜。進一步地,實施例6中形成的氧化矽薄膜其水氣穿透率為4.5E-3(公克/[平方公尺-天]),使得該氧化矽薄膜具有優良防潮性質。因此,判斷該氧化矽薄膜可有效地用於氧化矽薄膜的所有應用領域,特別是作為有機發光二極體(OLED)的封裝材料。
量測實施例6中製備之含矽薄膜的水氣穿透率所得的結果如第5圖所示。如第5圖所示,該水氣穿透率在一段長時間內維持定值。因此,判斷本發明提供的化合物在其中阻擋氧氣及濕氣的封裝技術為重要的OLED設備中可為顯著有效的。
[比較例2]使用二異丙基胺基矽藉由PEALD製造氧化矽薄膜
以與實施例2相同的沉積條件使用本領域所知的電漿增強原子層沉積(PEALD)法進行薄膜的形成,惟如下表1所示,係使用比較例1中製備的二異丙基胺基矽烷,前驅物的加熱溫度為20℃,且沉積的數量為590週期。以與實施例2相同的方法在與實施例2同樣的條件下分析所沉積的薄膜,以確保分析結果。為了在與實施例2至8形成之薄膜相同厚度條件下進行量測,沉積的數量係有所改變。具體的沉積氧化矽薄膜方法如以下表1所示,且所沉積之薄膜的性質如表2所示。如表2所示,沉積速率為1.19Å/ 週期,水氣穿透率為8.0E-2(公克/[平方公尺-天]),均較實施例2中之雙(二甲基胺基甲基矽基)胺低。
Figure 107110754-A0202-12-0020-19
Figure 107110754-A0202-12-0021-21
[實施例9]使用雙-二甲基胺基甲基矽基胺藉由PEALD製造氮化矽薄膜
使用本領域所知的電漿增強原子層沉積(PEALD)法,在一般的電漿增強原子層沉積(PEALD)儀器中,使用根據本發明實施例1之矽烷胺化合物作為用於形成氮化矽薄膜的組合物進行薄膜的形成。作為反應氣體,氮氣及氨與電漿一起使用為第一反應氣體,使用氮氣為第二反應氣體,及對應於惰性氣體之氮氣係用於沖洗。具體的沉積氮化矽薄膜方法顯示於表3。
沉積薄膜的厚度係由橢圓偏光計量測,氮化矽薄膜的形成係 使用紅外光譜分析,且氮化矽薄膜的組成係使用X射線光電光譜分析。進一步地,為了量測薄膜的水氣穿透率(WVTR),使用WVTP分析儀量測WVTR。具體的氮化矽薄膜分析結果顯示於表4,且使用紅外光譜分析沉積薄膜所得的結果顯示於第4圖。
[實施例10至15及比較例3]使用雙-二甲基胺基甲基矽基胺或二異丙基胺基矽藉由PEALD製造氮化矽薄膜
以實施例9相同的方式使用本領域所知的電漿增強原子層沉積(PEALD)法進行薄膜的形成,惟改變沉積條件如表3所示。以實施例9相同的方法在與實施例9相同的條件下分析所沉積之薄膜,以確保分析結果。具體的沉積氮化矽薄膜方法及分析結果顯示於以下表3及表4。進一步地,以紅外光譜分析所沉積之薄膜,結果顯示於第4圖。如結果,可以理解的是,實施例10至15中形成的所有薄膜皆為氮化矽薄膜。
進一步地,量測實施例11中製備之含矽薄膜的水氣穿透率,所得結果顯示於第6圖。如第6圖所示,水氣穿透率在一段長時間內維持定值。因此,判斷本發明提供的化合物在其中阻擋氧氣及濕氣的封裝技術為重要的OLED設備中可為顯著有效的。
Figure 107110754-A0202-12-0023-23
Figure 107110754-A0202-12-0024-24
Figure 107110754-A0202-11-0002-1

Claims (5)

  1. 一種用於製造含矽薄膜的方法,其係使用包含以下化學式1所示之矽烷胺化合物的組合物,
    Figure 107110754-A0305-02-0027-1
    在化學式1中,R1至R4各自獨立為氫、C1至C7烷基或C2至C7烯基,或者R1與R2及R3與R4各自獨立地彼此連結成環;以及R5及R6各自獨立為C1至C5烷基。
  2. 如請求項1所述之方法,其中係藉由原子層沉積法、化學氣相沉積法、金屬有機化學氣相沉積法、低壓化學氣相沉積法、電漿增強化學氣相沉積法、或電漿增強原子層沉積法進行沉積。
  3. 如請求項1所述之方法,其中該含矽薄膜係氧化矽薄膜、碳氧化矽(silicon oxy carbide)薄膜、氮化矽薄膜、氮氧化矽薄膜、碳氮化矽薄膜、或碳化矽薄膜。
  4. 如請求項1所述之方法,包含:a)將安裝於腔室中之基板的溫度維持於30℃至500℃;b)使該基板與該組合物接觸,以將該組合物吸附於該基板中;及c)將反應氣體注入至其中吸附有該組合物的該基板中,以形成含 矽薄膜。
  5. 如請求項4所述之方法,其中該反應氣體係藉由以50W至1000W之電漿功率產生電漿而活化後提供。
TW107110754A 2017-03-29 2018-03-28 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法 TWI730226B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR10-2017-0040078 2017-03-29
??10-2017-0040078 2017-03-29
KR20170040078 2017-03-29
KR10-2018-0035166 2018-03-27
??10-2018-0035166 2018-03-27
KR1020180035166A KR102105976B1 (ko) 2017-03-29 2018-03-27 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법

Publications (2)

Publication Number Publication Date
TW201841927A TW201841927A (zh) 2018-12-01
TWI730226B true TWI730226B (zh) 2021-06-11

Family

ID=63876274

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107110754A TWI730226B (zh) 2017-03-29 2018-03-28 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法
TW107110758A TWI711624B (zh) 2017-03-29 2018-03-28 矽烷胺化合物、含彼之用於沉積含矽薄膜的組合物、以及使用該組合物製造含矽薄膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107110758A TWI711624B (zh) 2017-03-29 2018-03-28 矽烷胺化合物、含彼之用於沉積含矽薄膜的組合物、以及使用該組合物製造含矽薄膜的方法

Country Status (5)

Country Link
US (2) US11358974B2 (zh)
JP (2) JP6900503B2 (zh)
KR (2) KR102105977B1 (zh)
CN (2) CN110461953B (zh)
TW (2) TWI730226B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
US20220102534A1 (en) * 2019-02-15 2022-03-31 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201446781A (zh) * 2013-06-07 2014-12-16 Dnf Co Ltd 新穎胺基-矽烷基胺化合物、製備該化合物之方法以及使用該化合物之含矽薄膜

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2599037B1 (fr) * 1986-05-26 1990-05-04 Europ Propulsion Dihydrogeno-1,3 disilazanes fonctionnels et procede pour leur preparation
JP2585029B2 (ja) 1987-11-16 1997-02-26 株式会社高純度化学研究所 シリコン窒化酸化膜の形成方法
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP5218412B2 (ja) * 2007-09-10 2013-06-26 富士通株式会社 ケイ素含有被膜の製造方法、ケイ素含有被膜および半導体装置
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
WO2011053551A1 (en) * 2009-10-28 2011-05-05 Dow Corning Corporation Polysilane - polysilazane copolymers and methods for their preparation and use
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
KR101600337B1 (ko) 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP6071830B2 (ja) 2013-09-25 2017-02-01 本田技研工業株式会社 筺体
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
KR101718744B1 (ko) * 2014-11-03 2017-03-23 (주)디엔에프 실리콘 전구체를 포함하는 박막증착용 조성물 및 이를 이용한 실리콘함유 박막의 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201446781A (zh) * 2013-06-07 2014-12-16 Dnf Co Ltd 新穎胺基-矽烷基胺化合物、製備該化合物之方法以及使用該化合物之含矽薄膜

Also Published As

Publication number Publication date
JP2020516062A (ja) 2020-05-28
JP2020515573A (ja) 2020-05-28
US11358974B2 (en) 2022-06-14
KR102105977B1 (ko) 2020-05-04
US20200111665A1 (en) 2020-04-09
KR20180110616A (ko) 2018-10-10
CN110461953A (zh) 2019-11-15
KR20180110611A (ko) 2018-10-10
KR102105976B1 (ko) 2020-05-04
CN110461953B (zh) 2021-08-27
US20200392294A1 (en) 2020-12-17
TWI711624B (zh) 2020-12-01
JP6900503B2 (ja) 2021-07-07
TW201841927A (zh) 2018-12-01
CN110431192B (zh) 2022-04-29
CN110431192A (zh) 2019-11-08
JP6923994B2 (ja) 2021-08-25
TW201840577A (zh) 2018-11-16

Similar Documents

Publication Publication Date Title
TWI688569B (zh) 用於沈積含矽薄膜之組成物及使用其用以製造含矽薄膜之方法
TWI705970B (zh) 含雙(胺基矽基)烷基胺化合物之用於沉積含矽薄膜的組合物、以及使用彼製造含矽薄膜的方法
TWI730226B (zh) 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法
KR20200056950A (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US20230089296A1 (en) Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same
TWI747440B (zh) 新穎的矽烷基環二矽氮烷化合物、以及使用其之製備含矽薄膜的方法