DE10308968B4 - Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen - Google Patents

Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen Download PDF

Info

Publication number
DE10308968B4
DE10308968B4 DE10308968A DE10308968A DE10308968B4 DE 10308968 B4 DE10308968 B4 DE 10308968B4 DE 10308968 A DE10308968 A DE 10308968A DE 10308968 A DE10308968 A DE 10308968A DE 10308968 B4 DE10308968 B4 DE 10308968B4
Authority
DE
Germany
Prior art keywords
pressure
layer
state
bias power
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10308968A
Other languages
English (en)
Other versions
DE10308968A1 (de
Inventor
Michael Friedemann
Volker Kahlert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10308968A priority Critical patent/DE10308968B4/de
Priority to US10/624,420 priority patent/US6984294B2/en
Publication of DE10308968A1 publication Critical patent/DE10308968A1/de
Application granted granted Critical
Publication of DE10308968B4 publication Critical patent/DE10308968B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation

Abstract

Verfahren mit:
Abscheiden einer ersten Schicht eines leitenden Materials auf ein Substrat mit einer darin ausgebildeten Öffnung in einer Sputter-Abscheideatmosphäre mit einem ersten Zustand mit einem Druck mit einem ersten Wert und einer Vorspannungsleistung mit einem ersten Wert zum Beschleunigen von Targetionen in Richtung auf das Substrat;
Erzeugen eines zweiten Zustands der Sputter-Abscheideatmosphäre durch Erhöhen der Vorspannungsleistung und des Druckes auf einen zweiten Wert, wobei eine plasmaerzeugende Leistung oder ein Anteil der ionisierten Partikel der Sputter-Abscheideatmosphäre im Wesentlichen konstant gehalten wird; und
Abscheiden einer zweiten Schicht eines leitenden Materials in der Sputter-Abscheideatmosphäre, während sich die Sputter-Abscheideatmosphäre in dem zweiten Zustand befindet.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Die vorliegende Erfindung betrifft im Allgemeinen die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung einer Verbindung, die das Bereitstellen einer Barrierenschicht zwischen einem Volumenmetall und einem Dielektrikum, in welchem die Verbindung eingebettet ist, erfordert.
  • In einer integrierten Schaltung sind eine große Anzahl von Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen in oder auf einem geeigneten Substrat für gewöhnlich in einer im Wesentlichen ebenen Anordnung gebildet. Auf Grund der großen Anzahl von Schaltungselementen und der erforderlichen komplexen Ausgestaltung vieler moderner integrierter Schaltungen können im Allgemeinen die elektrischen Verbindungen der einzelnen Schaltungselemente nicht in der gleichen Ebene hergestellt werden, in der die Schaltungselemente hergestellt sind, sondern derartige elektrische Verbindungen werden in einer oder mehreren zusätzlichen "Verdrahtungs"-Schichten gebildet, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten umfassen im Wesentlichen Metallleitungen, die die elektrische Verbindung innerhalb der Ebene liefern, und umfassen ferner mehrere Verbindungen zwischen den Ebenen, die auch als Kontaktdurchführungen bezeichnet werden, wobei die Metallleitungen und die Kontaktdurchführungen auch gemeinsam hierin als Verbindung bezeichnet sind.
  • Auf Grund der ständigen Verringerung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt die Anzahl der Schaltungselemente für eine gegebene Chipfläche, d. h. die Packungsdichte, ebenso an, wodurch für gewöhnlich ein noch größerer Zuwachs in der Anzahl der elektrischen Verbindungen erforderlich ist, um die gewünschte Schaltungsfunktionalität bereitzustellen. Daher steigt die Anzahl der gestapelten Metallisierungsschichten für gewöhnlich an, wenn die Anzahl der Schaltungselemente pro Chipfläche größer wird. Da die Herstellung einer Vielzahl von Metallisierungsschichten die Lösung herausfordernder Aufgaben erfordert, etwa die mechanische, thermische und elektrische Zuverlässigkeit von bis zu 12 gestapelten Metallisierungsschichten, die beispielsweise für hochentwickelte Mikroprozessoren auf Aluminiumbasis erforderlich sind, gehen Halbleiterhersteller zunehmend dazu über, das gut bekannte Metallisierungsmetall Aluminium durch ein Metall zu ersetzen, das höhere Stromdichten und damit das Reduzieren der Abmessungen der Verbindungen ermöglicht. Beispielsweise ist Kupfer ein Metall, das im Allgemeinen als aussichtsreicher Kandidat zum Ersetzen von Aluminium betrachtet wird auf Grund seiner überlegenen Eigenschaften hinsichtlich der höheren Widerstandsfähigkeit gegenüber Elektromigration und dem deutlich geringeren elektrischen Widerstand im Vergleich zu Aluminium.
  • Trotz dieser Vorteile zeigt Kupfer eine Reihe von Nachteilen hinsichtlich der Verarbeitung und Handhabung von Kupfer in einer Halbleiterfabrik. Beispielsweise kann Kupfer nicht effizient in größeren Mengen durch gut etablierte Abscheideverfahren, etwa die chemische Dampfabscheidung (CVD) auf ein Substrat aufgebracht werden und kann ferner ebenso wenig effektiv durch überlicherweise verwendete anisotrope Ätzprozeduren strukturiert werden. Bei der Herstellung von Metallisierungsschichten mit Kupfer wird daher die sogenannte Damaszener-Technik vorzugsweise verwendet, wobei eine dielektrische Schicht zunächst ganzflächig abgeschieden und anschließend strukturiert wird, um Gräben und Kontaktdurchführungen zu definieren, die anschließend mit Kupfer gefüllt werden. Ein weiterer großer Nachteil von Kupfer ist seine Fähigkeit, leicht in Siliziumdioxid und anderen Dielektrika mit kleinem ε zu diffundieren.
  • Es ist daher notwendig, ein sogenanntes Barrierenmaterial in Kombination mit einer Metallisierung auf Kupferbasis zu verwenden, um damit im Wesentlichen die Diffusion von Kupfer in das umgebende dielektrische Material zu verringern, da Kupfer leicht in sensible Halbleiterbereiche wandern kann, wodurch deutlich deren Eigenschaften verändert werden. Das zwischen dem Kupfer und dem dielektrischen Material vorgesehene Barrierenmaterial sollte jedoch zusätzlich zu den erforderlichen Barriereneigenschaften eine gute Haftung zu dem dielektrischen Material sowie zu dem Kupfer aufweisen und sollte ferner einen möglichst geringen elektrischen Widerstand besitzen, um nicht unnötig die elektrischen Eigenschaften der Verbindung zu beeinträchtigen. In typischen Anwendungen auf Kupferbasis werden Tantal und Tantalnitrid, einzeln oder in Kombination, sowie Titan und Titannitrid, einzeln oder in Kombination, erfolgreich als Barrierenschichten eingesetzt. Es können jedoch belie bige andere Barrierenschichtstrukturen verwendet werden, solange die erforderlichen elektrischen, diffusionsbehindernden und Haft-Eigenschaften erreicht werden.
  • Unabhängig von dem für die Barrierenschicht verwendeten Material sind Prozessingenieure bei der ständig abnehmenden Strukturgröße zunehmend mit der herausfordernden Aufgabe konfrontiert, eine äußerst dünne Barrierenschicht innerhalb von Gräben und Kontaktdurchführungen mit einem äußerst hohen Aspektverhältnis von ungefähr fünf oder mehr für eine Grabenbreite oder einen Kontaktführungsdurchmesser von ungefähr 0.2 μm oder sogar darunter abzuscheiden. Die Dicke der Barrierenschicht muss dabei so dünn wie möglich gewählt werden, um nicht unnötigerweise "wertvollen" Platz für die Verbindung zu verschwenden, der mit dem leitfähigeren Kupfer gefüllt werden sollte, wobei dennoch die Diffusion des Kupfers in das benachbarte Dielektrikum unterdrückt oder verhindert werden soll. Das Abscheiden des Barrierenmaterials in Kontaktdurchführungen mit großem Aspektverhältnis, insbesondere an Seitenwänden der unteren Bereiche der Kontaktdurchführungen, erfordert verbesserte Techniken für physikalische Dampfabscheidungs(PVD)prozesse, die häufig beim Abscheiden von leitenden Materialien auf einem Substrat verwendet werden, da für gewöhnlich eine verbesserte Ausrichtung der Targetatome, die von einem geeigneten Target herausgeschlagen werden, erforderlich ist, um die Targetatome zu der Unterseite der Kontaktdurchführungen zu lenken.
  • Beispielsweise ist in "Thin, High Atomic Weight Refractory Film Deposition for Diffusion Barrier, Adhesion Layer and Seed Layer Applications ", von M. Rossnagel et al., J. Vac. Sci. Technol. B 14(3), Mai/Juni 1996, ein Verfahren offenbart, um Tantalatome auf steilen Seitenwänden von Kontaktdurchführungen und Gräben abzuscheiden, wobei eine konventionelle Sputter-Abscheidung ohne Kollimator bei sehr geringem Druck angewendet wird, um damit das verbesserte Richtungsverhalten der Tantalatome zu erreichen.
  • Im US-Patent 6306732 von D. D. Brown wird ein Verfahren zum Verbessern der Richtungseigenschaften der Targetatome vorgeschlagen, wobei ein ionisierter PVD(IPVD)Prozess in Verbindung mit einem nachfolgenden Rück-Sputter-Schritt angewendet wird. In dem ionisierten Sputter-Prozess wird ein spezifizierter Teil der Targetatome (und der Träger- und Vorstufengase, wenn diese vorgesehen sind) mittels geeigneter Einrichtungen ionisiert, und es wird eine Vorspannung zwischen den ionisierten Atomen und dem Substrat angelegt, um die Atome zu dem Substrat zu lenken, wobei ein Abstand zwischen dem Target und dem Substrat im Vergleich zu konventionellen Sputter-Anlagen größer sein kann. Auf Grund der verbesserten Ausrichtung der Targetatome ist die Unterseitenbedeckung selbst sehr enger und steiler Kontaktdurchführungen ausgezeichnet, wohingegen die Seitenwandbedeckung insbesondere in der Nähe der unteren Ecken mäßig ist. Der anschließende Rück-Sputter-Schritt erlaubt es, einen Teil oder im Wesentlichen das gesamte an der Unterseite gebildete Material zu entfernen und das Material vorzugsweise auf die unteren Seitenwandbereiche umzuverteilen.
  • Obwohl diese Verfahren eine deutliche Verbesserung gegenüber standardmäßigen Sputter-Prozessen zeigen, weist das erstgenannte Verfahren eine reduzierte Barrierenschichtdicke an den Seitenwänden der Kontaktdurchführung auf, wodurch eine ungebührlich lange Abscheidezeit erforderlich ist, wodurch somit eine unverhältnismäßig große Dicke an der Unterseite geschaffen wird, wohingegen das zuletzt genannte Verfahren eine deutliche Empfindlichkeit auf selbst geringe Variationen in der Struktur der Kontaktdurchführungen oder der Gräben zeigt. Beispielsweise können variierende Abmessungen, unterschiedliche Seitenwandwinkel oder, bei extremen Strukturunregelmäßigkeiten, geringe Erhebungen im Graben zu einer reduzierten und damit nicht ausreichenden Abdeckung an gewissen Bereichen innerhalb des Grabens oder der Kontaktdurchführungen führen.
  • Die Offenlegungsschrift DE 100 14 917 A1 offenbart ein Verfahren zum Bilden einer zweilagigen Kontaktschicht, z. B. zum Herstellen von elektrischen Kontakten in Kontaktöffnungen. Die Kontaktschicht wird in zwei Schritten hergestellt, wobei, gemäß einer ersten Ausführungsform, der Druck in der Sputter-Kammer im ersten Schritt niedriger ist als im zweiten. Gemäß einer weiteren Ausführungsform wird während des zweiten Schrittes eine Wechselspannung angelegt. Die Wechselspannung dient dem Rücksputtern von abgeschiedenem Material und führt zu einer verbesserten Bedeckung des Grabenbodens. Durch das Rücksputtern können jedoch Strukturen mit Unregelmäßigkeiten, wie z. B. geringen Erhebungen, eine unzureichende Abdeckung erfahren.
  • Die Patentschrift US 6 380 058 B2 offenbart ein Verfahren, wobei eine Barrierenschicht in einem Bodenbereich einer Durchkontaktierung gebildet wird. Die Barrierenschicht wird in einem Zweischrittprozess abgeschieden, wobei in dem zweiten Schritt der Abstand zwischen dem Substrat und dem Target reduziert wird, wodurch die Dicke der Barrierenschicht im oberen Bereich der Durchkontaktierung gleichmäßiger gemacht werden kann. Das Bil den von zuverlässigen Barrierenschichten in winzigen Öffnungen, auch wenn diese Oberflächen mit Unregelmäßigkeiten, wie kleine Erhebungen, aufweisen, wird nicht offenbart.
  • Die Patentschrift US 5 963 827 offenbart ein Verfahren zum Herstellen von Durchkontaktierungen in einem Halbleiterbauteil. Das Verfahren umfasst das Bilden von ersten und zweiten Kontaktschichten in einer Durchkontaktierungsöffnung, wobei der Gasdruck in der Sputter-Kammer zum Bilden der zweiten Schicht erhöht wird. Der Zweischichtprozess wird verwendet, um eine Nitridierung einer darunter liegenden leitenden Schicht zu vermeiden, um den Kontaktwiderstand zu reduzieren. Das Bilden von zuverlässigen Barrierenschichten in winzigen Öffnungen, auch wenn diese Oberflächen mit Unregelmäßigkeiten, wie kleine Erhebungen, aufweisen, wird nicht offenbart.
  • Die Veröffentlichung, Zhong G. und Hopwood J.: Ionized titanium deposition into high aspect ratio vias and trenches. In: J. Vac. Sci. Technol. B, ISSN 0734-211X, 1999, Vol. 17, No. 2, S. 405–409, bezieht sich auf eine Abscheidung von Titan in Öffnungen mit hohem Aspektverhältnis. Es werden die Parameter, die die Bodenbedeckung von Öffnungen mit hohem Aspektverhältnis bestimmen, diskutiert. Die Veröffentlichung bezieht sich nicht auf das Abscheiden konformer Schichten in ausgebildeten Öffnungen.
  • Die Veröffentlichung der Patentanmeldung WO 03/001590 A2 offenbart ein Verfahren zum Bilden von Schichtstapeln, wobei sequenzielle Abscheidtechniken, wie z. B. CVD-Atomlagenabscheidprozesse, eingesetzt werden. Die Dicke der gebildeten Schicht kann dabei unter Verwendung elektrischer Schichtwiderstandsmessungen gesteuert werden. Die Veröffentlichung bezieht sich nicht auf einen Sputter-Abscheidprozess.
  • Angesichts der zuvor beschriebenen Schwierigkeiten, die sich aus den bekannten Verfahren ergeben, ist es daher eine Aufgabe, eine Technik bereitzustellen, die eines oder mehrere der zuvor genannten Probleme verhindern oder zumindest deutlich reduzieren kann.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an ein verbessertes Abscheideverfahren zum Herstellen einer dünnen leitenden Schicht in Öffnungen mit großem Aspektverhältnis durch Sputter-Abscheidung, wobei ein erster Abscheideschritt eine ausreichende Bede ckung oberer Bereiche einer Kontaktdurchführung gewährleistet, wohingegen in einem zweiten Abscheideschritt das Abscheiden vorzugsweise an den unteren Bereichen verbessert wird, indem die allgemeine Ausrichtung der Targetatome und der Ionen vergrößert wird, während auch das Streuen der Targetatome und Ionen durch beispielsweise Erhöhen eines Druckes in der Abscheideatomsphäre vergrößert wird.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Abscheiden einer ersten Schicht eines leitenden Materials auf einem Substrat mit einer darin gebildeten Öffnung in einer Sputter-Abscheideatmosphäre mit einem ersten Zustand mit einem Druck eines ersten Wertes und einer Vorspannungsleistung mit einem ersten Wert zum Beschleunigen von Targetionen in Richtung des Substrats. Ferner wird ein zweiter Zustand für die Sputter-Abscheideatmosphäre erzeugt, indem die Vorspannungsleistung und der Druck auf einen zweiten Wert erhöht wird, wobei eine plasmaerzeugende Leistung oder ein Anteil der ionisierten Partikel der Sputter-Abscheideatmosphäre im Wesentlichen konstant gehalten wird. Eine zweite Schicht aus leitendem Material wird in der Sputter-Abscheideatmosphäre abgeschieden, wenn die Sputter-Abscheideatmosphäre sich im zweiten Zustand befindet.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform umfasst ein Verfahren zum Steuern einer Abscheiderate in einem ionisierten Sputter-Abscheideprozess die folgende Sequenz: a) Bereitstellen eines Substrats mit mindestens einer darauf ausgebildeten Kontaktdurchführungsöffnung mit einem oberen Bereich und einem unteren Bereich; b) Erzeugen einer Abscheideatmosphäre um das Substrat herum mit einem spezifizierten Druck und einer spezifizierten Vorspannungsleistung zum Lenken von Targetionen in Richtung auf das Substrat; c) Bestimmen einer Dicke einer abgeschiedenen Schicht an dem oberen Bereich und dem unteren Bereich der Kontaktdurchführungsöffnung; und d) Erhöhen der Vorspannungsleistung und/oder des Druckes, wenn ein Absolutbetrag einer Differenz der Dicke an dem unteren Bereich und dem oberen Bereich kleiner als ein vordefinierter Schwellwert ist.
  • Gemäß einer weiteren Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden mittels Sputter-Abscheidung einer leitenden Materialschicht über einer Verbindungsöffnung, die in einem Substrat gebildet ist, wobei eine Vorspannungsleistung zum Erhöhen einer Ausrichtung von Abscheidepartikeln und ein Druck so gewählt sind, um eine größere Dicke der leitenden Materialschicht an einem oberen Bereich der Verbindungsöffnung im Vergleich zu einem unteren Bereich bereitzustellen. Danach werden die Vorspannungsleistung und der Druck erhöht und das Herstellen der leitenden Materialschicht wird fortgesetzt, um im Wesentlichen die leitende Materialschicht an dem unteren Bereich abzuscheiden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese im Zusammenhang mit den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1c schematisch Querschnittsansichten einer Metallisierungsstruktur während diverser Herstellungsphasen gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • In den folgenden anschaulichen Ausführungsformen wird auf eine Barrierenschicht auf der Grundlage von Tantal und/oder Tantalnitrid verwiesen, da diese Materialien gegenwärtig als die am vielversprechendsten Kandidaten zur Herstellung von Metallisierungsschichten auf Kupferbasis erscheinen. Die Prinzipien der vorliegenden Erfindung können jedoch auf andere Barrierenmaterialien, etwa auf Barrierenschichten auf Grundlage von Titan/Titannitrid, oder auf Barrierenschichten, die die Aufnahme dreier oder mehrerer Komponenten erfordern, wenn Bauteilerfordernisse Barrieren- und Hafteigenschaften notwendig machen, die sich von jenen unterscheiden, die von einer Barrierenschicht auf Grundlage von Tantal/Tantalnitrid hervorgerufen, angewendet werden. Obwohl ferner die vorliegende Erfindung insbesondere vorteilhaft ist, wenn diese auf Metallisierungsschichten auf Kupferbasis angewendet wird, die häufig dielektrische Materialien mit kleinem ε anstelle des gut etablierten Siliziumdioxid/Siliziumnitrid-Schichtstapels aufweisen, können andere Metalle ver wendet werden, falls diese für spezielle Anwendungen geeignet sind. Ferner sind die hierin gezeigten und beschriebenen Metallisierungsstrukturen lediglich anschaulicher Natur und können eine beliebige Form und Gestalt annehmen, wie dies in modernen integrierten Schaltungen mit Öffnungen in Form von Kontaktdurchführungen und Gräben mit einem Aspektverhältnis von ungefähr fünf und mehr angemessen ist. Daher sollte die vorliegende Erfindung nicht auf eine spezielle offenbarte Ausführungsform eingeschränkt betrachtet werden, sofern derartige Einschränkungen nicht ausdrücklich in den angefügten Patentansprüchen aufgeführt sind.
  • Mit Bezug zu den 1a bis 1c werden nun weitere anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben.
  • In 1a umfasst eine Halbleiterstruktur 100, die hier in Form einer Dual-Damaszener-Metallisierungsstruktur präsentiert ist, ein Substrat 101, das diverse Materialschichten (nicht gezeigt) sowie Schaltungselemente (nicht gezeigt) aufweisen kann, wobei zu zumindest einigen ein elektrischer Kontakt geschaffen werden soll durch Verbindungen, die in der Halbleiterstruktur 100 herzustellen sind. Für eine technisch sehr fortgeschrittene Halbleiterstruktur 100 kann das Substrat 101 eine darauf ausgebildete Isolierschicht 102 aufweisen, die aus einem dielektrischen Material mit kleinem ε aufgebaut ist, d. h. aus einem Material mit einer geringen Permittivität, etwa aus Siliziumkarbidhydroxid (SiCOH), und dergleichen. Metallgebiete 103 sind in der Isolierschicht 102 gebildet, wobei abhängig von der Art des Materials der Isolierschicht 102 und der Art des Metalls in den Metallgebieten 103 eine oder mehrere Barrierenschichten, die gemeinsam als 104 bezeichnet sind, vorgesehen sein können. Zum Beispiel kann die Barrierenschicht 104 Tantal und/oder Tantalnitrid aufweisen, wenn die Metallgebiete 103 Kupfer enthalten, da Tantal und Tantalnitrid gegenwärtig als die am vielversprechendsten Kandidaten für eine effektive Barrieren- und Haftschicht in Metallisierungsschichten auf Kupferbasis erachtet werden. Eine zweite Isolierschicht 105 ist über der Isolierschicht 102 und teilweise über den Metallgebieten 103 gebildet, wobei typischerweise eine dünne dielektrische Ätzstop- und Barrierenschicht 106 zwischen den Isolierschichten 102 und 105 vorgesehen ist. Beispielsweise kann die zweite Isolierschicht 105 SiCOH aufweisen und die Ätzstopschicht 106 kann stickstoffenthaltendes Siliziumkarbid aufweisen. Es können jedoch auch andere Materialzusammensetzungen verwendet werden. Beispielsweise können in Bauteilen mit weniger kritischen Anforderungen hinsichtlich der Signalausbreitungsverzögerung auf Grund der hohen Kopplungskapazität benachbarter Metallgebiete die zweite Isolierschicht 105 und die Ätzstopschicht 106 Siliziumdioxid bzw. Siliziumnitrid aufweisen. Kontaktdurchführungsöffnungen 107 und eine Grabenöffnung 108 sind in der zweiten Isolierschicht 105 und der Ätzstopschicht 106 gebildet. Eine Breite des Grabens 108 kann im Bereich von ungefähr 0.2 bis 2.0 μm in technisch fortschrittlichen Bauteilen liegen, und ein Durchmesser der Kontaktdurchführungsöffnungen kann im Bereich von ungefähr 0.1 μm bis 0.3 μm liegen, wobei eine Tiefe der Kontaktdurchführungsöffnungen 107 im Bereich von ungefähr 0.5 μm bis 1,5 μm oder noch mehr liegen kann. Somit kann das Aspektverhältnis der Kontaktdurchführungsöffnungen 107 ungefähr fünf oder größer sein.
  • Es sollte beachtet werden, dass die Prinzipien der vorliegenden Erfindung auf Halbleiterstrukturen anwendbar sind, die Gräben und Öffnungen in den oben spezifizierten Bereichen aufweisen. Es sind jedoch auch beliebige andere Bauteilabmessungen zum Ausführen der vorliegenden Erfindung geeignet. Insbesondere sind der Graben und die Kontaktdurchführungsöffnungen 108, 107 lediglich anschaulicher Natur und typischerweise kann die Halbleiterstruktur 100 ebenso gering beabstandete Gräben und Kontaktdurchführungen und/oder isolierte Gräben und Kontaktdurchführungen sowie Kontaktdurchführungen ohne einen umgebenden Graben aufweisen.
  • Die Kontaktdurchführungs- und Grabenöffnungen 107, 108 weisen einen oberen Bereich 110 und einen unteren Bereich 111 auf, wobei der obere Bereich 110 die Seitenwand der Grabenöffnung 108 enthält und der untere Bereich 111 die Unterseite der Kontaktdurchführungsöffnungen 107 und die Seitenwände benachbart zu der Unterseite der Kontaktdurchführungsöffnungen 107 beinhaltet. Insbesondere kann es schwierig sein, den unteren Bereich 111 mit einer Barrierenschicht zuverlässig abzudecken, wie dies detaillierter im Weiteren erläutert ist. Die Grabenöffnung 108 kann an ihrer Unterseite eine Erhebung 109 auf Grund prozessspezifischer Variationen aufweisen, wie dies nachfolgend erläutert ist. Die Erhebung 109 kann eine beliebige typische Variation in der Struktur moderner integrierter Halbleiterbauelemente repräsentieren und derartige strukturelle Variationen können die Bauteilzuverlässigkeit gefährden, wenn diese nicht in geeigneter Weise in nachfolgenden Prozessen berücksichtigt werden, etwa bei der Ausbildung einer Barrierenschicht, die zuverlässig die gesamte Oberfläche der dielektrischen Schicht 105 abdeckt. Wie zuvor erläutert ist, können insbesondere strukturelle Variationen, etwa wie die Erhebung 109, die sich in diversen Konfigurationen in Abhängigkeit von Prozessfluktuationen und strukturellen Be sonderheiten ausbilden, nicht in ausreichender Weise durch die zuvor beschriebenen Abscheide- und Rück-Sputter-Verfahren bedeckt werden.
  • Ein typischer Prozessablauf zur Herstellung der Halbleiterstruktur 100, wie sie in 1 gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Herstellung der Isolierschicht 102 durch gut etablierte Abscheidetechniken, etwa die plasmaunterstützte chemische Dampfabscheidung (PECVD), werden die Metallgebiete 103 durch Ätz-, Abscheide- und Plattierungsprozesse gebildet, die ähnlich sind zu jenen, die im Folgenden mit Bezug zu der zweiten Isolierschicht 105 und den Kontaktdurchführungs- und Grabenöffnungen 107, 108 beschrieben sind. Folglich ist eine Beschreibung dieser Schritte weggelassen. Anschließend werden die Ätzstop/Barrierenschicht 106 und zweite Isolierschicht 105 durch geeignete Herstellungstechniken (PECVD) für Siliziumkarbid und SiCOH, oder Siliziumnitrid und Siliziumdioxid gebildet. In anderen Ausführungsformen kann ein Material mit kleinem ε für die Isolierschicht 105 vorgesehen sein und kann durch Aufschleudern aufgebracht werden. Anschließend wird ein Photolithographieschritt, der das Anwenden einer antireflektierenden Beschichtung (ARC) und einer Lackschicht (beide Schichten sind nicht gezeigt) beinhaltet, gefolgt von der Belichtung und der Entwicklung der Lackschicht ausgeführt. Danach werden Öffnungen in einen oberen Bereich der Isolierschicht 105 gemäß dem angewendeten Damaszener-Verfahren geätzt. Beispielsweise können die Kontaktdurchführungsöffnungen 107 zuerst definiert werden und in einem nachfolgenden Photolithographieschritt wird die Grabenöffnung 108 zusammen mit den Kontaktdurchführungsöffnungen 107 in dem unteren Bereich der Isolierschicht 105 gebildet. Es können jedoch auch andere Photolithographie- und Ätzsequenzen angewendet werden. Die Erhebung 109 kann während des Grabenätzprozesses auf Grund von beispielsweise unvollständiger Lackentwicklung, die durch innerhalb des Materials mit kleinem ε der Isolierschicht 105 diffundierenden Stickstoffverbindungen hervorgerufen wird, was auch als Lackvergiftung bezeichnet wird, gebildet werden. Das Vorhandensein von Strukturunregelmäßigkeiten, etwa der Erhebung 109, kann stark von den verwendeten Materialien, den Abmessungen der Öffnungen 107, 108, Prozessfluktuationen und dergleichen abhängen und kann dem nachfolgenden Abscheideprozess zur Herstellung einer leitenden Barrierenschicht auf allen freigelegten dielektrischen Oberflächen ohne eine unmäßige Überschussdicke zu erfordern, um die minimale erforderliche Dicke an den kritischen Bereichen, etwa den unteren Bereichen 111 und der Erhebung 109 bereitzustellen, eine große „Bürde" auferlegen.
  • 1b zeigt schematisch die Halbleiterstruktur 109, die einer Abscheideatmosphäre 120 ausgesetzt ist. Die Abscheideatmosphäre 120 soll alle Parameter beschreiben, die eine Sputter-Abscheidung eines leitenden Materials auf der Halbleiterstruktur 100 beeinflussen. Somit repräsentiert die Abscheideatmosphäre 120 eine gasförmige Umgebung mit Targetatomen und Ionen 121 und Trägergasatomen und Ionen 122, die mit einem spezifizierten Druck, der durch P1 bezeichnet ist und der in einer Ausführungsform im Bereich von ungefähr 1 mTorr bis 5 mTorr liegen kann, bereitgestellt werden. Ferner kann eine Vorspannung, die durch V1 bezeichnet ist, in der Abscheideatmosphäre 120 erzeugt werden, indem eine entsprechende Vorspannungsleistung der Abscheideatmosphäre 120 zugeführt wird. In einer Ausführungsform kann die Vorspannungsleistung, die der Abscheideatmosphäre 120 zugeführt wird, im Bereich von ungefähr 0 bis 300 Watt liegen, wohingegen in anderen Ausführungsformen die Vorspannungsleistung im Bereich von ungefähr 0 bis 50 Watt gewählt werden kann. Die die Abscheideatmosphäre 120 in 1b definierenden Parameter, insbesondere die Werte des Drucks P1 und der Vorspannung V1, repräsentieren einen ersten Zustand der Abscheideatmosphäre 120. In einer Ausführungsform kann die Abscheideatmosphäre 120 Tantal in atomarer und ionischer Form als das Targetmaterial, d. h. als das abzuscheidende Material, und Argon in atomarer und ionischer Form als ein inertes Material, das zur Freisetzung der Targetatome aus einem geeigneten Sputter-Target (nicht gezeigt) erforderlich ist, aufweisen. Auf Grund der Kinetik innerhalb der Abscheideatmosphäre 120 können Atome und Ionen des inerten Materials ebenso mit einer gewissen Menge auf der Halbleiterstruktur 100 abgeschieden werden.
  • In anderen Ausführungsformen kann die Abscheideatmosphäre 120 zusätzlich Stickstoffatome und Ionen (nicht gezeigt) – zumindest zeitweilig – aufweisen, um eine Metallnitridverbindung kontinuierlich oder periodisch oder zeitweilig abzuscheiden, indem entsprechend Stickstoff der Abscheideatmosphäre 120 zugeführt wird. In anderen Ausführungsformen kann die Abscheideatmosphäre 120 Titanionen und Atome aufweisen und in einer noch weiteren Variante kann zusätzlich Stickstoff kontinuierlich, periodisch oder zeitweilig vorhanden sein.
  • Das Verhältnis von ionisierten Partikeln zu atomaren Partikeln in der Abscheideatmosphäre 120 kann durch eine Ionisierungsleistung oder eine Plasmaerzeugungsleistung, die der Abscheideatmosphäre 120 zugeführt wird, bestimmt werden und kann im Bereich von ungefähr 1 bis 40% liegen. In einer Ausführungsform kann eine plasmaerzeugende Leistung von ungefähr 15 bis 25 Kilowatt geeignet sein, um eine gewünschte Atom- und Ionendichte innerhalb der Abscheideatmosphäre 120 für überlicherweise verwendete Sputter-Abscheideanlagen zu erzeugen. Die Abscheideatmosphäre 120 kann durch eine beliebige geeignete Sputter-Abscheideanlage errichtet und eingeschlossen werden, die in der Lage ist, einen ionisierten Sputter-Abscheideprozess auszuführen. Beispielsweise kann eine SIP-Anlage, die von der Firma Applied Materials Inc. beziehbar ist, als eine Einrichtung zum Erzeugen und Einschließen der Abscheideatmosphäre 120 verwendet werden.
  • 1b zeigt ferner eine erste Barrierenschicht 112, die im Wesentlichen die in der Abscheideatmosphäre 120 vorhandenen Materialien aufweist, wobei die Menge der inerten Komponente 122, etwa das Argon, typischerweise deutlich geringer als die Menge der Target und/oder Zusatzkomponente aufgrund der sputterspezifischen Kinetik in der Abscheideatmosphäre 120 ist. Eine Dicke der ersten Barrierenschicht 112 an dem oberen Bereich 110, die als 113 bezeichnet ist, ist größer als die Dicke an dem unteren Bereich 111 auf Grund der Parametereinstellung, die den ersten Zustand der Abscheideatmosphäre 120 definiert.
  • Ein typischer Prozessablauf zur Herstellung der ersten Barrierenschicht 112 und zum Erzeugen der Abscheideatmosphäre 120 mit dem ersten Zustand kann die folgenden Schritte aufweisen. Zunächst wird das Substrat in eine geeignete Sputter-Anlage (nicht gezeigt) mit einem festen Targetmaterial, beispielsweise in Form einer Elektrodenplatte, eingeführt. Durch Einspeisen eines inerten Trägergases, etwa Argon, und Zuführen elektrischer Leistung, etwa als DC-Leistung, zu dem Bereich der Anlage in der Nähe des Sputter-Targets wird ein Plasma erzeugt, das einen Beschuss mit geladenen Partikeln hervorruft, wodurch wiederum Targetatome aus dem Sputter-Target herausgelöst werden. Der Druck P1 wird eingestellt, indem eine Durchflussrate des inerten Trägergases und das Abführen gasförmiger Komponenten aus der Sputter-Anlage gesteuert wird. Durch Zuführen einer Vorspannungsleistung zu der Abscheideatmosphäre 120, d. h. es wird eine Gleichspannung zwischen dem Target und der Halbleiterstruktur 100 angelegt, kann ein Teil der Targetatome und typischerweise ein kleinerer Teil des inerten Gases auf Grund des höheren Ionisierungspotenzials des inerten Gases ionisiert und Richtung der Struktur in stärker gerichteter Weise als die verbleibenden nicht ionisierten Partikel beschleunigt werden. In anderen Sputter-Anlagen kann der Teil der ionisierten Target- und Inertgasatome durch die Leistung bestimmt werden, die der Plasmaerzeugungseinrichtung zugeführt wird und die Vorspan nungsleistung, die die Vorspannung V1 im Wesentlichen bestimmt, steuert die Beschleunigung der geladenen Teilchen in Richtung auf die Struktur 100, wodurch die wesentliche Richtung bzw. Ausrichtung der Teilchen bestimmt ist. Die Bahnen der Teilchen, die sich in Richtung der Struktur bewegen, hängt ferner von dem Abstand des Sputter-Targets von der Struktur 100 und dem Druck P1 ab, da diese Größen die Anzahl der Streuereignisse bestimmen, die ein Teilchen auf seinem Weg zu der Struktur 100 ausführt. Somit kann für einen gegebenen Abstand die Ausrichtung auch durch den Druck in der Abscheideatmosphäre 120 beeinflusst werden. Mit den zuvor spezifizierten Bereichen für den Druck P1 und die Vorspannung V1 mit einem Abstand von ungefähr 20 bis 40 cm, wie dies in verfügbaren ionisierenden Sputter-Anlagen üblicherweise der Fall ist, werden die Targetatome und die Ionen 121, die sich zu der Struktur 100 bewegen, hauptsächlich an horizontalen Bereichen und an den oberen Bereichen 110 abgeschieden, wobei die Zusammensetzung der ersten Barrierenschicht 112 von dem Targetmaterial und den zusätzlichen Vorstufengasen, die der Abscheideatmosphäre 120 zugeführt werden, abhängt.
  • 1c zeigt schematisch die Struktur 100, wenn diese der Abscheideatmosphäre 120 in einem zweiten Zustand ausgesetzt ist, der durch einen zweiten Druck P2 und eine zweite Vorspannung V2 definiert ist, wobei der zweite Druck und die zweite Vorspannung höher als der erste Druck P1 und die erste Vorspannung V1 sind. Die Bewegung der Targetionen 121 und des ionisierten inerten Gases 122 ist im Allgemeinen stärker gerichtet auf Grund der erhöhten Vorspannung V2, d. h. ein größerer Anteil an ionisierten Partikel bewegt sich unter der Kontrolle der erhöhten Vorspannung V2 im Vergleich zu dem ersten Zustand der Abscheideatmosphäre 120, der in 1b gezeigt ist. Um eine breitere Verteilung der sich im Wesentlichen in Richtung auf den unteren Bereich 111 zu bewegenden Ionen zu erreichen, kann der Druck P2 im Vergleich zu dem Druck P1 erhöht werden, um die Anzahl der Streuereignisse zu vergrößern, die insbesondere innerhalb der "Fein"-struktur auftreten, die durch die Kontaktdurchführungsöffnungen 107 gebildet ist, wodurch ein Abscheiden von Targetmaterial vorzugsweise an den unteren Bereichen 111 erreicht wird. Somit wird der zweite Zustand der Abscheideatmosphäre 120 so gewählt, um die Anzahl der Streuereignisse auf kleinem Maßstabe zu erhöhen, während die erhöhte Vorspannung V2 eine verstärkte Bewegung in Richtung auf die Struktur 100 erzeugt. Ohne die vorliegende Erfindung darauf einzuschränken, wird angenommen, dass die mittlere freie Weglänge der Partikel während dieser Streuereignisse auf kleinem Maßstab von der Größenordnung der strukturellen Abmessungen von Kontaktdurchführungsöff nungen 107 ist, woraus sich eine verbesserte Abscheiderate an dem unteren Bereich 111 ergibt. In einer Ausführungsform ist der zweite Zustand durch die Vorspannung V2, die durch eine Vorspannungsleistung im Bereich von ungefähr 400 bis 600 Watt erzeugt wird, definiert. In einer weiteren Ausführungsform beträgt die zur Erzeugung der zweiten Vorspannung V2 zugeführte Vorspannungsleistung ungefähr 500 Watt oder mehr. Es sollte beachtet werden, dass die zuvor spezifizierte Vorspannungsleistung sich auf geometrische und strukturelle Besonderheiten von gegenwärtig erhältlichen ionisierenden Sputter-Anlagen bezieht. In künftigen Gerätegenerationen können jedoch unterschiedliche Anlagenkonfigurationen eine Anpassung der Parameterweite erfordern, die den zweiten Zustand der Abscheideatmosphäre 120 spezifizieren. Eine entsprechende Prozedur wird später erläutert.
  • In einer speziellen Ausführungsform liegt der Druck P2 der Abscheideatmosphäre 120 in einem Bereich von ungefähr 8 bis 15 mTorr, wohingegen in anderen Ausführungsformen der Druck P2 ungefähr 10 mTorr oder höher beträgt.
  • 1c zeigt ferner die Struktur 100 mit einer zweiten Barrierenschicht 115, die über der ersten Barrierenschicht 112 gebildet ist. Eine Dicke der zweiten Barrierenschicht 115 an dem oberen Bereich 110, die als 116 bezeichnet ist, ist geringer als eine Dicke an dem unteren Bereich 111, die als 117 bezeichnet ist. Die zweite Barrierenschicht 115 kann Tantal und/oder Tantalnitrid, Titan und/oder Titannitrid und andere geeignete Materialien aufweisen.
  • Ein typischer Prozessablauf zum Erzeugen des zweiten Zustandes der Abscheideatmosphäre 120 und zur Herstellung der zweiten Barrierenschicht 115 kann die folgenden Schritte aufweisen. Der zweite Druck P2 und die zweite Vorspannung V2 sowie die Materialzusammensetzung innerhalb der Abscheideatmosphäre 120 kann in ähnlicher Weise erreicht werden, wie dies bereits in Bezug auf den ersten Zustand beschrieben ist, wobei jedoch Parameterwerte verwendet werden, wie sie zuvor für P2 und V2 spezifiziert sind. In einer Ausführungsform können, beginnend von dem ersten Zustand, die restlichen Parameter im Wesentlichen konstant gehalten werden, während der Druck und die Vorspannung auf die Werte P2 und V2 eingestellt werden. Zum Beispiel kann die plasmaerzeugende Leistung im Wesentlichen konstant gehalten werden, um eine ähnliche Materialzusammensetzung der Abscheideatmosphäre 120 im ersten und im zweiten Zustand bereitzustellen, oder der Teil der ionisierten Partikel im Vergleich zu den nicht ionisierten Partikel kann im Wesentlichen konstant gehalten werden. In einer weiteren Ausführungsform kann ein zusätzliches Vorstufengas, etwa Stickstoff, der Abscheideatmosphäre 120 kontinuierlich, periodisch oder zeitweilig zugesetzt werden, um eine gewünschte Zusammensetzung der Barrierenschicht 115 zu erreichen. Die Materialzusammensetzung der ersten Barrierenschicht 112 und der zweiten Barrierenschicht 115 kann im Wesentlichen die gleiche sein, oder entsprechend einer weiteren Ausführungsform unterschiedlich zueinander sein. Zum Beispiel kann die erste Barrierenschicht 112 Tantalnitrid aufweisen, um verbesserte Hafteigenschaften an dem oberen Bereich 110 zu erhalten, während die zweite Barrierenschicht 115 mit der größeren Dicke 117 an dem unteren Bereich 112 im Wesentlichen Tantal aufweisen kann, um den elektrischen Kontaktwiderstand zu den darunter liegenden Metallgebieten 103 zu verringern. In anderen Variationen kann jedoch die Zusammensetzung der ersten und der zweiten Barrierenschicht 112 und 115 entsprechend den Bauteilerfordernissen ausgewählt werden.
  • Obwohl es in den meisten Anwendungen vorteilhaft sein kann, von dem ersten Zustand in den zweiten Zustand überzugehen, ohne die wesentlichen Bedingungen der Abscheideatmosphäre 120 zu ändern, d. h. beispielsweise ohne die Unterdruckbedingung zu unterbrechen, kann es in manchen Ausführungsformen als geeignet erachtet werden, einen Übergangsschritt zwischen dem ersten und dem zweiten Zustand durchzuführen, um gewisse Prozessreste zu entfernen, oder um sogar eine unterschiedliche Umgebung zu schaffen, möglicherweise durch Anwendung einer anderen Anlage, um Material abzuscheiden oder anderweitig die Struktur 100 zu behandeln, die die darauf ausgebildete Barrierenschicht 112 aufweist. Anschließend kann die zweite Barrierenschicht 115 durch Erzeugen der Abscheideatmosphäre 120 mit dem zweiten Zustand gebildet werden.
  • Nach der Abscheidung der zweiten Barrierenschicht 115 enthält die Struktur 100 einen kombinierten Barrierenschichtstapel 112, 115, der eine kombinierte Dicke aufweist, die im Vergleich zu konventionellen Barrierenabscheidetechniken gleichförmig ist und ausreicht, die geforderten Barrieren- und Hafteigenschaften bereitzustellen, aber dennoch dünn genug ist, um nicht unnötig Platz für das hochleitende Material zu "verschwenden", das in die Graben- und Kontaktdurchführungsöffnungen 108, 107 einzufüllen ist. Messungen zeigten, dass auch an strukturellen Unregelmäßigkeiten, etwa der Erhebung 109, eine zuverlässige Bedeckung erreicht wird.
  • In einer weiteren Ausführungsform können eine oder mehrere Teststrukturen mit einer Konfiguration, die jener einer interessierenden tatsächlichen Produktstruktur ähnlich ist, vorbereitet und gemäß den mit Bezug zu 1c beschriebenen Schritten, die den zweiten Zustand der Abscheideatmosphäre 120 darstellen, bearbeitet werden. Da die Parametereinstellung, die das Streuen im kleinen Maßstab innerhalb der Abscheideatmosphäre 120 definiert, von Anlageneigenheiten abhängen kann, können der Druck und/oder die Vorspannungsleistung, d. h. die Vorspannung, variiert werden, um optimale Werte für die entsprechenden Parameter zu ermitteln. Dazu kann die Dicke der Barrierenschicht, etwa der zweiten Barrierenschicht 115, beispielsweise durch Rastelektronenmikroskopie, oder durch Leitfähigkeitsmessungen bestimmt werden, um geeignete Werte für Druck und Vorspannungsleistung für eine gegebene Abscheideanlage zu erhalten. Für eine gewünschte Differenz der Dicke an einem oberen Bereich, etwa dem Bereich 110, und einem unteren Bereich, etwa dem Bereich 111, die eine gewünschte Differenz der Abscheiderate an diesen Bereichen kennzeichnet, kann dann die entsprechende Parametereinstellung aus den Messdaten bestimmt werden.
  • In anderen Ausführungsformen kann die Teststruktur mehrere Öffnungen mit unterschiedlichen Abmessungen aufweisen, um eine Abhängigkeit zwischen den Anlagenparametern, der Schichtdicke und den strukturellen Eigenheiten der Teststruktur zu erhalten. Aus dieser Abhängigkeit können dann geeignete Parameterwerte für mehrere unterschiedliche Abscheideanlagen und mehrere Strukturarten bestimmt werden.
  • Es gilt also: Die vorliegende Erfindung stellt eine Technik zum Herstellen einer dünnen leitfähigen Schicht in Öffnungen mit großem Aspektverhältnis bei minimalen Anlagenkosten in zuverlässiger und reproduzierbarer Weise bereit, indem in einem ersten Schritt eine Abscheidung mit reduzierter Ausrichtung der Targetpartikel ausgeführt wird, um ein vermehrtes Abscheiden an oberen Bereichen der Öffnungen zu erzielen. In einem nachfolgenden Abscheideschritt wird die Abscheideatmosphäre so eingestellt, dass ein Gleichgewicht zwischen der größeren übergeordneten Ausrichtung und einer reduzierten mittleren freien Weglänge so erreicht wird, um vorzugsweise Material an unteren Bereichen der Öffnungen abzuscheiden. Folglich kann ein Abscheideverhalten ähnlich zu konventionellen Abscheide/Rück-Sputter-Techniken erreicht werden, wobei jedoch teuere Anlagenmodifikationen in der Sputter-Anlage vermieden werden können und, was wichtiger ist, wobei die Empfind lichkeit für strukturelle Unregelmäßigkeiten deutlich reduziert wird. Somit werden die Zuverlässigkeit und die Kosteneffizienz verbessert.
  • Weitere Modifikationen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (23)

  1. Verfahren mit: Abscheiden einer ersten Schicht eines leitenden Materials auf ein Substrat mit einer darin ausgebildeten Öffnung in einer Sputter-Abscheideatmosphäre mit einem ersten Zustand mit einem Druck mit einem ersten Wert und einer Vorspannungsleistung mit einem ersten Wert zum Beschleunigen von Targetionen in Richtung auf das Substrat; Erzeugen eines zweiten Zustands der Sputter-Abscheideatmosphäre durch Erhöhen der Vorspannungsleistung und des Druckes auf einen zweiten Wert, wobei eine plasmaerzeugende Leistung oder ein Anteil der ionisierten Partikel der Sputter-Abscheideatmosphäre im Wesentlichen konstant gehalten wird; und Abscheiden einer zweiten Schicht eines leitenden Materials in der Sputter-Abscheideatmosphäre, während sich die Sputter-Abscheideatmosphäre in dem zweiten Zustand befindet.
  2. Das Verfahren nach Anspruch 1, wobei eine Vorspannung und ein Druck der Abscheideatmosphäre in dem ersten Zustand so gewählt werden, um eine Dicke der ersten Schicht zu erhalten, die größer an einem oberen Bereich der Öffnung als an einem unteren Bereich der Öffnung ist.
  3. Das Verfahren nach Anspruch 1, wobei eine Vorspannung und ein Druck der Abscheideatmosphäre in dem zweiten Zustand so gewählt werden, um eine Dicke der zweiten Schicht zu erhalten, die an einem unteren Bereich der Öffnung im Vergleich zu einem oberen Teil der Öffnung größer ist.
  4. Das Verfahren nach Anspruch 2, wobei ein Druck in dem ersten Zustand im Bereich von ungefähr 0,13 bis 0,66 Pa (1 bis 5 mTorr) liegt.
  5. Das Verfahren nach Anspruch 2, wobei eine Vorspannungsleistung zum Beschleunigen von Targetionen in Richtung auf das Substrat im ersten Zustand im Bereich von ungefähr 0 bis 300 Watt liegt.
  6. Das Verfahren nach Anspruch 1, wobei ein Druck in dem zweiten Zustand größer als ungefähr 1 Pa (8 mTorr) ist.
  7. Das Verfahren nach Anspruch 1, wobei die Vorspannungsleistung im zweiten Zustand ungefähr gleich oder größer als 400 Watt ist.
  8. Das Verfahren nach Anspruch 1, wobei die erste Schicht Tantal und/oder Tantalnitrid und/oder Titan und/oder Titannitrid aufweist.
  9. Das Verfahren nach Anspruch 1, wobei die zweite Schicht Tantal und/oder Tantalnitrid und/oder Titan und/oder Titannitrid aufweist.
  10. Das Verfahren nach Anspruch 1, wobei eine Materialzusammensetzung der Abscheideatmosphäre im ersten Zustand sich von jener im zweiten Zustand unterscheidet.
  11. Das Verfahren nach Anspruch 1, wobei eine Materialzusammensetzung der Abscheideatmosphäre im ersten Zustand im Wesentlichen die gleiche ist wie im zweiten Zustand.
  12. Das Verfahren nach Anspruch 10, das ferner Zuführen eines Vorstufengases zu der Abscheideatmosphäre zumindest während einer Teilphase des ersten und/oder des zweiten Zustands umfasst.
  13. Das Verfahren nach Anspruch 11, das ferner Zuführen eines Vorstufengase zu der Abscheideatmosphäre zumindest während einer Teilphase des ersten und/oder des zweiten Zustands umfasst.
  14. Verfahren zum Steuern einer Abscheiderate in einem ionisierenden Sputter-Abscheideprozess, wobei das Verfahren eine Sequenz umfasst mit: Bereitstellen eines Substrats mit mindestens einer darin gebildeten Kontaktdurchführungsöffnung mit einem oberen Bereich und einem unteren Bereich; Errichten einer Abscheideatmosphäre um das Substrat herum mit einem spezifizierten Druck und einer spezifizierten Vorspannungsleistung zum Lenken von Targetinonen in Richtung auf das Substrat; Bestimmen einer Dicke einer abgeschiedenen Schicht an dem oberen Bereich und dem unteren Bereich der Kontaktdurchführungsöffnung; und Erhöhen der Vorspannungsleistung und/oder des Drucks, wenn ein Absolutbetrag einer Differenz der Dicke an dem unteren Bereich und dem oberen Bereich kleiner als ein vordefinierter Schwellwert ist.
  15. Das Verfahren nach Anspruch 14, das ferner umfasst: Wiederholen der Sequenz bis der Absolutbetrat innerhalb eines Sollbereichs liegt, und Verwenden einer Vorspannungsleistung und eines Druckes, die den Absolutbetrag innerhalb des Sollbereichs ergeben, um eine Barrierenschicht in Kontaktdurchführungsöffnungen und Gräben eines Produktsubstrats zu bilden.
  16. Das Verfahren nach Anspruch 14, wobei Tantal und/oder Tantalnitrid und/oder Titan und/oder Titannitrid abgeschieden wird.
  17. Verfahren mit: Bilden durch Sputter-Abscheidung einer leitenden Materialschicht über einer Verbindungsöffnung, die auf einem Substrat gebildet ist, wobei eine Vorspannungsleistung zum Erhöhen einer Ausrichtung von Abscheidepartikeln und ein Druck so ausgewählt werden, um eine größere Dicke der leitenden Materialschicht an einem oberen Bereich der Verbindungsöffnung im Vergleich zu einem unteren Bereich zu erzeugen; Erhöhen der Vorspannungsleistung und des Druckes; und Fortsetzen des Herstellens der leitenden Materialschicht, um vorrangig die leitende Materialschicht an dem unteren Bereich abzuscheiden.
  18. Das Verfahren nach Anspruch 17, wobei der erhöhte Druck größer als ungefähr 1 Pa (8 mTorr) ist.
  19. Das Verfahren nach Anspruch 17, wobei die erhöhte Vorspannungsleistung ungefähr gleich oder größer als 400 Watt ist.
  20. Das Verfahren nach Anspruch 17, wobei die erste Schicht Tantal und/oder Tantalnitrid und/oder Titan und/oder Titannitrid aufweist.
  21. Das Verfahren nach Anspruch 17, wobei eine Materialzusammensetzung nach dem Erhöhen der Vorspannungsleistung und des Druckes in der Abscheideatmosphäre sich von einer Materialzusammensetzung vor dem Erhöhen der Vorspannungsleistung und des Druckes unterscheidet.
  22. Das Verfahren nach Anspruch 17, wobei eine Materialzusammensetzung der Abscheideatmosphäre im Wesentlichen konstant bleibt.
  23. Das Verfahren nach Anspruch 17, das ferner Zuführen eine Vorstufengases zu der Abscheideatmosphäre zumindest zeitweilig umfasst.
DE10308968A 2003-02-28 2003-02-28 Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen Expired - Fee Related DE10308968B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10308968A DE10308968B4 (de) 2003-02-28 2003-02-28 Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen
US10/624,420 US6984294B2 (en) 2003-02-28 2003-07-22 Method of forming a conductive barrier layer having improved coverage within critical openings

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10308968A DE10308968B4 (de) 2003-02-28 2003-02-28 Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen

Publications (2)

Publication Number Publication Date
DE10308968A1 DE10308968A1 (de) 2004-09-16
DE10308968B4 true DE10308968B4 (de) 2006-09-14

Family

ID=32864015

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10308968A Expired - Fee Related DE10308968B4 (de) 2003-02-28 2003-02-28 Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen

Country Status (2)

Country Link
US (1) US6984294B2 (de)
DE (1) DE10308968B4 (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607809B1 (ko) * 2004-03-30 2006-08-02 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
EP1742226B1 (de) * 2005-07-08 2010-09-15 STMicroelectronics Srl Verfahren zur Realisierung einer elektrischen Verbindung in einer elektronischen Halbleitervorrichtung zwischen einem nanometrischen Schaltungsarchitektur und elektronischen Standardkomponenten
NO341684B1 (no) * 2010-10-27 2017-12-18 Inst Energiteknik Fremgangsmåte for fremstilling av en solcelle.
CN103681232B (zh) * 2012-09-04 2017-06-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9240376B2 (en) * 2013-08-16 2016-01-19 Globalfoundries Inc. Self-aligned via fuse
US10381307B1 (en) * 2018-05-14 2019-08-13 Nanya Technology Corporation Method of forming barrier layer over via, and via structure formed thereof
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963827A (en) * 1993-04-06 1999-10-05 Sony Corporation Method for producing via contacts in a semiconductor device
DE10014917A1 (de) * 2000-03-17 2001-10-04 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6380058B2 (en) * 1998-08-07 2002-04-30 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for manufacturing semiconductor device
WO2003001590A2 (en) * 2001-06-20 2003-01-03 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US6709553B2 (en) * 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US6899796B2 (en) * 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963827A (en) * 1993-04-06 1999-10-05 Sony Corporation Method for producing via contacts in a semiconductor device
US6380058B2 (en) * 1998-08-07 2002-04-30 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for manufacturing semiconductor device
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
DE10014917A1 (de) * 2000-03-17 2001-10-04 Infineon Technologies Ag Verfahren zur Herstellung einer Kontaktschicht
US6365510B2 (en) * 2000-03-17 2002-04-02 Infineon Technologies Ag Method for fabricating a contact layer
WO2003001590A2 (en) * 2001-06-20 2003-01-03 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
ROSSNAGEL S.M., NICHOLS, C., HAMAGUCHI, S., RUZIC, D., TURKOT, R.: Thin, high atomic weight refractory film deposition for diffusion barrier, adhesion layer, and seed layer applications, in: J. Vac. Sci. Technol. B, ISSN 0734-211X, 1996, Vol. 14, No. 3, S. 1819-1827 *
ZHONG, G., HOPWOOD, J.: Ionized titanium deposition into high aspect ratio vias and trechnes, in: J. Vac. Sci. Technol. B, ISSN 0734-211X, 1999, Vol. 17, No. 2, S. 405-409 *

Also Published As

Publication number Publication date
US20040168908A1 (en) 2004-09-02
US6984294B2 (en) 2006-01-10
DE10308968A1 (de) 2004-09-16

Similar Documents

Publication Publication Date Title
DE102005030588B4 (de) Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE10250889B4 (de) Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE10319136B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE10261466A1 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE102009023251A1 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102006035668B4 (de) Verfahren zum Herstellen einer Ätzindikator- und Ätzstoppschicht zur Reduzierung von Ätzungleichförmigkeiten
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE10308968B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserter Bedeckung innerhalb kritischer Öffnungen
DE102004015862B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102007025341B4 (de) Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102011004581A1 (de) Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
DE102006019424A1 (de) Herstellungsverfahren für eine integrierte Halbleiterkontaktstruktur mit einer verbesserten Aluminiumfüllung
DE102006025405A1 (de) Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen und Verfahren zur Herstellung
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten
DE102007052049B4 (de) Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20130903