DE102007052049B4 - Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess - Google Patents

Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess Download PDF

Info

Publication number
DE102007052049B4
DE102007052049B4 DE102007052049.4A DE102007052049A DE102007052049B4 DE 102007052049 B4 DE102007052049 B4 DE 102007052049B4 DE 102007052049 A DE102007052049 A DE 102007052049A DE 102007052049 B4 DE102007052049 B4 DE 102007052049B4
Authority
DE
Germany
Prior art keywords
layer
mask
metal
dielectric
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102007052049.4A
Other languages
English (en)
Other versions
DE102007052049A1 (de
Inventor
Ralf Richter
Robert Seidel
Juergen Boemmels
Thomas Foltyn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102007052049.4A priority Critical patent/DE102007052049B4/de
Priority to US12/103,765 priority patent/US8198190B2/en
Publication of DE102007052049A1 publication Critical patent/DE102007052049A1/de
Priority to US13/468,083 priority patent/US8741770B2/en
Application granted granted Critical
Publication of DE102007052049B4 publication Critical patent/DE102007052049B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren mit:Definieren einer lateralen Position einer Zwischenschichtverbindung (122V) zwischen einer ersten Metallschicht (110) mit einer ersten Metallleitung (111A) und einer zweiten Metallschicht (120) eines Halbleiterbauelements (100) mittels einer ersten Maske (140);Bilden einer zweiten Maske (104), die ausgebildet ist, einen Graben (122T) in einem dielektrischen Material (122) mit kleinem ε, das zwischen der ersten (110) und der zweiten (120) Metallschicht gebildet ist, zu definieren, wobei der Graben (122T) einer zweiten Metallleitung (121) der zweiten Metallschicht (120) entspricht, die lateral senkrecht zur ersten Metallleitung (110) orientiert ist; undBilden einer Öffnung für die Zwischenschichtverbindung (122V) und des Grabens (122T) in dem dielektrischen Material (122) mit kleinem ε in einem gemeinsamen Ätzprozess, wobei eine laterale Größe der Öffnung in einer Breitenrichtung (125A) der ersten Metallleitung (121) größer ist als eine Breite der ersten Metallleitung (121) und in der zur Breitenrichtung lateral senkrechten Richtung (125B) durch den Graben (122T) festgelegt ist,wobei die erste Maske (140) über der ersten Metallschicht (110) gebildet wird, bevor das dielektrische Material (122) mit kleinem ε über der ersten Maske (140) gebildet wird, undeine dielektrische Barrierenschicht (113) als letzte Schicht der ersten Metallschicht (110) gebildet wird und die erste Maske (140) unter Verwendung der dielektrischen Barrierenschicht (113) als Ätzstoppschicht während des Strukturierens der darüberliegenden ersten Maske (140) gebildet wird.

Description

  • GEBIET DER VORLIEGENDEN OFFENBARUNG
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand die Herstellung integrierter Schaltungen und betrifft insbesondere die Strukturierung dielektrischer Materialien, die in Metallisierungsschichten verwendet sind, mittels anspruchsvoller Lithografieverfahren und anisotroper Ätztechniken.
  • BESCHREIBUNG DES STANDES DER TECHNIK
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter einem Mikrometer erreicht, wodurch das Leistungsverhalten dieser Bauelemente im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme ständig verbessert wurde. Wenn die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, wird auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch verbinden ebenfalls verringert. Folglich müssen die Abmessungen dieser Verbindungsleitungen ebenfalls reduziert werden, um dem geringeren Anteil an verfügbarer Fläche und der größeren Anzahl an Schaltungselementen, die pro Chip vorgesehen sind, Rechnung zu tragen, wodurch typischerweise mehrere gestapelte Verdrahtungsebenen oder Metallisierungsschichten erforderlich sind, um die erforderliche Anzahl an Verbindungsstrukturen aufzunehmen. Die Verdrahtungsebenen enthalten typischerweise Metallleitungen, die mit Metallgebieten und Metallleitungen von benachbarten Metallisierungsschichten des Verdrahtungsschichtstapels durch vertikale Kontakte, die auch als Kontaktdurchführungen bezeichnet sind, verbunden.
  • In modernen integrierten Schaltungen ist ein begrenzender Faktor des Leistungsverhaltens die Signalausbreitungsverzögerung, die durch die Schallgeschwindigkeit der Transistorelemente und das elektrische Leistungsverhalten der Verdrahtungsebenen der Bauelemente hervorgerufen wird, was durch den Widerstand (R) der Metallleitungen und die parasitäre Kapazität (C) bestimmt ist, die wiederum vom Abstand der Verbindungsleitungen abhängt, da die Kapazität zwischen Leitungen größer wird, wobei gleichzeitig sich eine geringere Leitfähigkeit der Leitungen aus dem geringeren Querschnitt ergibt. Während in einigen Metallisierungsebenen die RC-Zeitkonstanten der wesentliche Faktor sind, der das Gesamtleistungsverhalten bestimmt, führt in anderen Ebenen ein großer Reihenwiderstand der Metallleitungen aufgrund der Entwurfsbeschränkungen im Hinblick auf die verfügbare Breite zu hohen Stromdichten, die zu einem beeinträchtigten Leistungsverhalten und einer geringeren Zuverlässigkeit aufgrund der erhöhten Elektromigration, d. h. aufgrund eines erhöhten Materialflusses, der durch den Strom bei hohen Stromdichten hervorgerufen wird, führen können.
  • Üblicherweise werden Metallisierungsschichten in einem dielektrischen Schichtstapel hergestellt, der beispielsweise Siliziumdioxid und/oder Siliziumnitrid aufweist, wobei Aluminium das typische Metall ist. Da Aluminium eine merkliche Elektromigration bei höheren Stromdichten aufweist, die in integrierten Schaltungen mit sehr kleinen Strukturgrößen gegebenenfalls erforderlich sind, wird Aluminium zunehmend durch Kupfer oder Kupferlegierungen ersetzt, die einen deutlich geringeren elektrischen Widerstand und eine höhere Widerstandsfähigkeit gegenüber Elektromigration besitzen. Ferner kann eine Verringerung der parasitären RC-Zeitkonstanten erreicht werden, indem gut etablierte und gut bekannte dielektrische Materialien Siliziumdioxid (ε ≈ 4,2) und Siliziumnitrid (ε > 5) durch so genannte dielektrische Materialien mit kleinem ε ersetzt werden. Der Übergang von der gut bekannten und gut etablierten Aluminium/Siliziumdioxid-Metallisierungsschicht zu einer Metallisierungsschicht mit einem Dielektrikum mit kleinem ε und Kupfer ist jedoch mit einer Reihe von Problemen behaftet.
  • Beispielsweise können und Kupfer und Legierungen davon nicht in relativ hohen Mengen effizient durch gut etablierte Abscheideverfahren, etwa chemische Dampfabscheidung und physikalische Dampfabscheidung, aufgebracht werden. Des Weiteren kann Kupfer nicht effizient durch gut etablierte anisotrope Ätzprozesse strukturieren. Daher wird häufig die so genannte Damaszener- bzw. Einlegetechnik bei der Herstellung der Metallisierungsschichten mit Leitungen auf Kupferbasis eingesetzt. Typischerweise wird in der Damaszener-Technik die dielektrische Schicht abgeschieden und anschließend mittels Gräben und Kontaktöffnungen strukturiert, die nachfolgend mit Kupfer durch Plattierungsverfahren, etwa Elektroplattieren oder Stromlosplattieren, gefüllt werden. In vielen Damaszener-Strategien werden die Öffnungen für die Kontaktdurchführungen und die Metallleitungen zuerst gebildet und nachfolgend wird das Metall während eines gemeinsamen Abscheideprozesses eingefüllt.
  • Aufgrund der zunehmenden Verringerung der Strukturgrößen der Transistorelemente, und da typischerweise die Anzahl der Verbindungen zwischen den jeweiligen Schaltungselementen größer ist als die Anzahl der Schaltungselemente, muss eine moderat große Anzahl an gestapelten Metallisierungsschichten vorgesehen werden, wobei die Verbindung zwischen den Schichten durch entsprechende vertikale Verbindungen oder Kontaktdurchführungen hergestellt wird, wie dies zuvor erläutert ist. Der konventionelle Damaszener-Ansatz beinhaltet die lithografische Strukturierung einer Lackschicht, die wiederum verwendet wird, um ein Hartmaskenmaterial zu strukturieren, da in modernsten Lithografieverfahren kurze Belichtungswellenlängen in Verbindung mit Lackmaterialien eingesetzt werden, die mit einem geringeren Dicke aufgebracht werden müssen, wodurch typischerweise eine direkte Strukturierung des dielektrischen Zwischenschichtmaterials nur auf Basis der Lackmaske nicht möglich ist. Insbesondere die Strukturierung der vertikalen Zwischenschichtverbindungen, d. h. der Kontaktdurchführungen, mit einer Aspektverhältnis von 5 oder größer mit einer lateralen Abmessung von ungefähr 100 Nanometer oder weniger, repräsentiert eine technologische Herausforderung, um in zuverlässiger Weise die Kontaktdurchführungen zu bilden und nachfolgend die Öffnungen zusammen mit entsprechenden Gräben zu füllen, die in einem oberen Teil des dielektrischen Zwischenschichtmaterials ausgebildet sind. Beispielsweise sind gut etablierte Strategien bekannt in der Form von „Kontaktloch zuerst, Graben zuletzt“ oder „Graben zuerst, Kontaktloch zuletzt“, wobei in dem zuerst genannten Ansatz die Kontaktöffnungen auf der Grundlage eines Lithografieprozesses gebildet werden, woran sich ein anisotroper Ätzprozess anschließt. Danach wird der Graben auf der Grundlage einer geeigneten Lithografiemaske gebildet und nachfolgend wird diese Maske verwendet, um einen Teil des dielektrischen Zwischenschichtmaterials so zu ätzen, dass der Graben entsteht und mit der zuvor gebildeten Kontaktlochöffnung in Verbindung ist. In dem zuletzt genannten Ansatz wird der Graben zuerst auf der Grundlage von Lithografie- und Ätzverfahren hergestellt, woran sich ein lithografischer Prozess anschließt, um einen Kontaktlochbereich innerhalb des Grabens zu definieren und nachfolgend wird ein anisotroper Ätzprozess ausgeführt, um die Kontaktlochöffnung durch das gesamte dielektrische Zwischenschichtmaterial hindurch zu bilden. Folglich sind eine Vielzahl komplexer miteinander in Beziehung stehender Prozessschritte typischerweise in konventionellen Strategien gemäß einer Doppel-Einlegetechnik erforderlich, wodurch aber eine effiziente Materialabscheidung erreicht wird, da anschließend Barrierematerialien und die gut leitenden Metalle in der Kontaktlochöffnung und dem Graben in einem gemeinsamen Prozess hergestellt werden können.
  • Im Hinblick auf die Bauteilzuverlässigkeit insbesondere des Metallisierungssystems in modernen Halbleiterbauelementen führt das beständige Bestreben, die Strukturgrößen zu verringern, zu einer deutlich erhöhten Komplexität und somit zu Ungleichmäßigkeiten der kritischen Strukturierungsprozesse, insbesondere bei der Herstellung der Kontaktlochöffnungen, was daher zu einer Einbuße im Leistungsverhalten des Metallisierungssystems und somit der gesamten integrierten Schaltung führen kann.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Verfahren und Halbleiterbauelemente mit einem komplexen Metallisierungssystem, wobei eines oder mehrere der oben erwähnten Probleme vermieden oder zumindest in ihrer Auswirkung verringert werden.
  • Den Stand der Technik zeigen die folgenden Dokumente. In US 2005 / 0263876 A1 wird ein Doppel-Damszener-Verfahren i. V. m. dielektrischen Materialien mit kleinem ε zur Reduktion parasitärer Kapazitäten in Metalleitungsstrukturen von integrierten Schaltkreisen verwendet. DE 10 2005 036 548 A1 befasst sich mit der Herstellung eines Kontaktes zwischen einer Bitleitung und einer lokalen Zwischenverbindung in einem Flash-Speicher mittels einer Hartmaskenschicht, die selektiv aufgrund ihrer Ätzresistenz den Kontakt unterbindet. DE 10 2004 027 663 A1 betrifft die Formung von Zwischenverbindungsstrukturen in einem magnetischen Zufallszugriffsspeicher (MRAM). In US 6180516 B1 wird ein Doppel-Damaszener-Verfahren gezeigt, bei dem nach einem ersten Ätzprozess eine erste Maske nicht entfernt wird und diese in einem zweiten Ätzprozess zusammen mit einer zweiten Maske zur Herstellung von Gräben und Zwischenverbindungslöchern verwendet wird. US 7163890 B2 zeigt ein Verfahren zur Schaffung eines Verbindungslochs mit geneigten Oberflächen an der Unterseite, welche mittels eines Ätzprozesses an einer Ätzstoppschicht und einer dielektrischen Zwischenschicht erreicht werden. In EP 1 107 308 A1 werden Verfahren zur Herstellung von Mehr-Ebenenverbindungen in Doppel-Damaszenerstrukturen mittels einer selektiven oberen Schicht dargestellt. US 2002 / 0009675 A1 hat eine Methode zum Gegenstand, bei der mittels unterschiedlicher Exposition von Fotolack unterschiedlich hohe Hohlräume für leitendes Material, insbesondere Kontaktlöcher, in nur einem Prozessschritt erreicht werden können. In US 7262127 B2 wird ein Verfahren zur Schaffung einer lückenlosen Kupfer-Damaszenerstruktur mittels Fotolack und zweier Hartmasken offenbart, wobei die erste Hartmaske selektiv weiter in Richtung einer Diffusions-Barriere-Schicht geätzt wird. US 6287961 B1 lehrt ein Doppeldamaszenerverfahren ohne Ätzstoppschicht mittels Ionenimplantation. Die Schriften US 6316836 B1 und US 5616961 A beschreiben Vorrichtungen mit bestimmter Ausgestaltung von Zwischenkontakten bzw. Kontaktlöchern, insbesondere deren laterale Ausdehnung. US 6225211 B1 und US 5891799 A erläutern Methoden zur Schaffung solcher Zwischenkontakte mittels mehrerer Masken in einem Doppeldamaszenerfahren, indem diese sich beim Ätzen selbst justieren. Ebenso betrifft DE 102 01 448 A1 einen verbreiterten Durchgangskontakt sowie das Verfahren zur Schaffung desselben. Weiterhin haben US 2004 / 0053501 A1 und US 6312874 B1 Verfahren zum Gegenstand, bei denen selbstjustierte Kontaktlöcher und Gräben mittels mehrerer, vergrabener Hartmasken in einem Ätzprozess in einer Doppeldamaszener-Struktur hergestellt werden.
  • ÜBERBLICK ÜBER DIE OFFENBARUNG
  • Im Allgemeinen betrifft der hier offenbarte Gegenstand Verfahren und Bauelemente zum Bereitstellen verbesserter Metallisierungssysteme für moderne Halbleiterbauelemente, wobei das Strukturierungsschema für Zwischenschichtverbindungen, d. h. vertikale elektrische Verbindungen zwischen benachbarten gestapelten Metallschichten, verbessert werden kann, indem die laterale Position und die Größe und die Form der Zwischenschichtverbindung auf der Grundlage einer geeignete Maske unabhängig von einem entsprechenden Ätzprozess definiert werden. Das heißt, der eigentliche Strukturierungsprozess zur Herstellung entsprechender Öffnungen für die Zwischenschichtverbindung kann in Kombination mit einem Grabenstrukturierungsprozess ausgeführt werden, wodurch die Gesamtprozesskomplexität deutlich verringert wird. Zu diesem Zweck wird die Maske zum Definieren der Öffnungen der Zwischenschichtverbindungen während eines beliebigen geeigneten Stadiums während des Strukturierens einer Metallschicht vorgesehen, wobei die Komplexität des entsprechenden Lithografieprozesses deutlich kleiner ist im Vergleich zu konventionellen Strategien, wobei die Maske in einer beliebigen geeigneten Form, beispielsweise als ein Hartmaskenmaterial, vorgesehen werden kann, deren Strukturierung weniger kritisch ist im Vergleich zum Strukturieren des gesamten dielektrischen Zwischenschichtmaterials. Durch Strukturierung der Öffnung für die Zwischenschichtverbindung während des Grabenätzprozesses kann vorteilhafterweise die Grabenmaske zur Beschränkung der Öffnung der Zwischenschichtverbindung zumindest in einer lateralen Richtung verwendet werden, wodurch deutlich geringere Ansprüche für das geeignete Ausrichten der Maske zum Definieren der Zwischenschichtverbindung gestellt werden. Ferner kann die Gesamtprozesszeit aufgrund der sehr effizienten Ausnutzung entsprechender Ätzanlagen während der gemeinsamen Herstellung der Öffnungen der Zwischenschichtverbindung und der jeweiligen Gräben verringert werden.
  • Erfindungsgemäß umfasst ein hierin offenbartes Verfahren die Merkmale von Anspruch 1.
  • Figurenliste
  • Die Ausführungsformen sind in den angefügten Patentansprüchen und der folgenden Beschreibung definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
    • 1a schematisch eine Draufsicht eines Metallisierungssystems mit einer ersten Metallschicht und einer zweiten Metallschicht mit jeweils Metallleitungen in einer anfänglichen Fertigungsphase gemäß anschaulicher Ausführungsformen zeigt;
    • 1b und 1c schematisch Querschnittsansichten des Bauelements aus 1a während unterschiedlicher Fertigungsphasen bei der Herstellung eines dielektrischen Zwischenschichtmaterials und einer Maskenschicht zum Definieren von Öffnungen für eine Zwischenschichtverbindung zeigen;
    • 1d schematisch eine Draufsicht des Bauelements aus 1c darstellt;
    • 1e - 1g schematisch Querschnittsansichten des Halbleiterbauelement darstellen, wie es in den vorhergehenden Figuren gezeigt ist, wobei diverse Fertigungsphasen gezeigt sind, wenn eine Metallleitung und eine Zwischenschichtverbindung hergestellt wird;
    • 1h und 1i schematisch Draufsichten der zwei gestapelten Metallschichten zeigen, in denen die laterale Ausdehnung der Zwischenschichtverbindung auf der Grundlage des Ausmaßes an Abdeckung der darunter liegenden Montageschicht mittels einer Maske eingestellt werden kann, und die zum Definieren der lateralen Position der Zwischenschichtverbindung gemäß anschaulicher Ausführungsformen verwendet wird;
    • 1j schematisch eine Querschnittsansicht einer Metallschicht und eines dielektrischen Zwischenschichtmaterials mit einer darin ausgebildeten Maske zeigt, wobei eine Höhenposition auf der Grundlage der Bauteilerfordernisse eingestellt werden kann;
    • 1k - 1p schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen eine Maske zum Definieren der Position der Zwischenschichtverbindung vor dem Abscheiden des dielektrischen Zwischenschichtmaterials gemäß der Erfindung gebildet wird;
    • 2a - 2c schematisch Querschnittsansichten während diverser Fertigungsphasen zeigen, in denen die laterale Position und die Größe der Zwischenschichtverbindung auf der Grundlage einer Lackmaske nach dem Strukturieren eines Grabens, der sich durch das dielektrische Zwischenschichtmaterial erstreckt, definiert werden können;
    • 3a - 3d schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen ein Graben und eine Öffnung für eine Zwischenschichtverbindung in einer gemeinsamen Ätzsequenz auf der Grundlage einer Hartmaske für die Zwischenschichtverbindung strukturiert werden, die über dem dielektrischen Zwischenschichtmaterial gebildet ist; und
    • 3e - 3h schematisch Queransichten zum Strukturieren einer Öffnung einer Zwischenschichtverbindung und eines Grabens in einem gemeinsamen Ätzprozess auf der Grundlage einer Modifizierung des dielektrischen Zwischenschichtmaterials zeigen, um damit in geeigneter Weise das Ätzverhalten zu modifizieren.
  • DETAILLIERTE BESCHREIBUNG
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand Techniken und entsprechende Halbleiterbauelemente, in denen Metallisierungssysteme von Halbleiterbauelementen auf der Grundlage eines verbesserten Strukturierungsschemas hergestellt werden, um damit die durch die Strukturierung hervorgerufenen Beeinträchtigungen zu vermindern. Dazu wird der eigentliche Strukturierungsprozess zur Herstellung einer Öffnung für eine elektrische vertikale Verbindung oder eine Kontaktdurchführung, die im Weiteren auch allgemein als eine Zwischenschichtverbindung bezeichnet wird, und für einen Graben zum Definieren einer Metallleitung oder eines Metallgebiets in einer darüber liegenden Metallisierungsschicht oder Metallschicht zumindest teilweise in einem gemeinsamen Ätzprozess ausgeführt wird. Das heißt, es wird eine geeignete Maske, etwa ein Lackmaterial, eine Hartmaske und dergleichen, eingesetzt, um die laterale Größe und die Position eines Metallgebiets, etwa einer Metallleitung in einer Metallschicht, zu definieren, wobei während des Strukturierungsprozesses auf der Grundlage der Grabenmaske auch die entsprechende Öffnung für die Zwischenschichtverbindung auf Basis einer entsprechenden Maske definiert werden kann, die unterhalb oder innerhalb des dielektrischen Zwischenschichtmaterials vorgesehen sein kann. In einigen hierin offenbarten anschaulichen Aspekten wird die Maske zum Definieren der lateralen Position auf der Größe einer Fläche der Zwischenschichtverbindung vor dem Bilden der Maske, die der Grabenöffnung entspricht, gebildet, wobei ein entsprechendes Strukturierungsschema zum Definieren der Maske für die Zwischenschichtverbindung auf der Grundlage weniger kritischer Prozessbedingungen im Vergleich zum Strukturierungsschema zum tatsächlichen Bilden einer Kontaktöffnung in einem dielektrischen Zwischenschichtmaterial gemäß gut etablierter Doppel-Damaszener-Strategien ausgeführt werden kann.
  • In anderen anschaulichen hierin offenbarten Verfahren wird das Bilden der Maske für die Zwischenschichtverbindung nach dem Herstellen eines wesentlichen Anteils des jeweiligen Grabens innerhalb des dielektrischen Zwischenschichtmaterials ausgeführt, wodurch die Verwendung einer Vielzahl geeigneter Maskenmaterialien, etwa Fotolack, Polymermaterialien und dergleichen, möglich ist. Insbesondere die Kombination zumindest eines wesentlichen Anteils des Strukturierungsprozesses für die Zwischenschichtverbindung und einer entsprechenden Metallleitung einer nachfolgenden Metallisierungsschicht bietet die Möglichkeit, eine geeignete Metallleitungstiefe oder Dicke auf der Grundlage eines Maskenmaterials zu definieren, das gestaltet ist, die lateralen Abmessungen der Zwischenschichtverbindung zu definieren, was, in Verbindung mit einer geringeren Prozesskomplexität zu einer größeren Metallleitungsdicke zumindest teilweise führt, wodurch insgesamt der Reihenwiderstand der jeweiligen Metallleitungen verringert wird. In vielen Fällen ist das Leistungsverhalten einer entsprechenden Metallisierungsebene im Wesentlichen durch den Gesamtwiderstand der darin enthaltenen Metallstrukturelemente festgelegt, während die parasitäre Kapazität weniger relevant in der Ebene ist, so dass auch dann ein verbessertes elektrisches Leistungsverhalten erreicht wird, wobei das verbesserte Strukturierungsschema ebenfalls zu einer erhöhten Zuverlässigkeit und geringeren Fertigungskosten beiträgt.
  • Es sollte beachtet werden, dass in der Beschreibung und in den angefügten Patentansprüchen auf eine Metallschicht hingewiesen wird, die ein Metallsystem eines Halbleiterbauelements repräsentiert, wobei eine Vielzahl von Metallleitungen vorgesehen sind, die als Ebenen interne Leiter betrachtet werden und die auch ein Gebiet des Metallisierungssystems des Halbleiterbauelements repräsentieren, in denen Metallgebiete enthalten sind, wovon zumindest einige eine leitungsartige Konfiguration aufweisen, d. h. eine erste laterale Abmessung, die als eine Längsrichtung bezeichnet wird, die deutlich größer ist als eine zweite laterale Abmessung, d. h. eine Breitenrichtung. Ferner können zwei vertikal benachbarte Metallschichten auf Grundlage eines geeigneten Bereichs, der sich von einer Metallleitung oder Metallgebiet einer oberen Schicht zu einer anderen Metallleitung oder einem Metallgebiet der unteren Schicht erstreckt, verbunden werden, wobei eine entsprechende „vertikale“ elektrische Verbindung oder Kontakt hierin als eine Zwischenschichtverbindung bezeichnet wird, unabhängig von der tatsächlichen vertikalen Abmessung der Verbindung und deren lateralen Größe. Wie nachfolgend detaillierter beschrieben ist, kann sich die laterale Erstreckung einer jeweiligen Zwischenschichtverbindung deutlich unterscheiden, abhängig von den Bauteil- und Prozesserfordernissen, während auch eine vertikale Ausdehnung sich in Abhängigkeit von der Tiefe oder der Dicke einer Metallleitung oder eines Metallgebiets, das in der darüber liegenden Schicht enthalten ist, unterscheiden kann. Im Zusammenhang der Beschreibung und der angefügten Patentansprüche sind Positionsangaben als relative Positionsinformationen zu betrachten, wobei ein Substratmaterial als eine Referenz anzusehen ist. Beispielsweise ist eine erste Schicht über einer zweiten Schicht angeordnet, wenn der Abstand der ersten Schicht zu der Referenz, d. h. einer Oberfläche des Substratmaterials und dergleichen, größer ist als ein Abstand der zweiten Schicht in Bezug auf die Referenz. In ähnlicher Weise ist eine laterale Richtung eine Richtung, die im Wesentlichen parallel zur Substratoberfläche und dergleichen verläuft, während eine vertikale Richtung im Wesentlichen senkrecht zu einer lateralen Richtung verläuft.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr anschauliche Verfahren und Vorrichtungen detaillierter beschrieben.
  • 1a zeigt schematisch eine Draufsicht eines Halbleiterbauelements 100, das ein beliebiges Halbleiterbauelement repräsentiert, das ein Metallisierungssystem erfordert, das mehrere Metallschichten zum Einrichten entsprechender elektrischer Verbindungen zwischen einzelnen Schaltungselementen enthält. Beispielsweise repräsentiert das Halbleiterbauelement 100 eine komplexe integrierte Schaltung, die eine Vielzahl von Metallschichten erfordert, in denen Metallgebiete, etwa Metallleitungen, enthalten sind, wovon zumindest einige eine vertikale Verbindung, d. h. eine Zwischenschichtverbindung, zu einer vertikal benachbarten weiteren Metallschicht erfordert. Wie zuvor erläutert ist, benötigen modernste integrierte Schaltungen, etwa komplexe CPUs, bis zu elf oder mehr Metallschichten, wobei eine Vielzahl von Metallleitungen mit spezifizierten lateralen Abmessungen in Abhängigkeit von der betrachteten Metallschicht und den jeweiligen Entwurfsregeln des betrachteten Halbleiterbauelements vorgesehen sind. Wie gezeigt, umfasst das Halbleiterbauelement 100 eine erste Metallschicht 110, die aus einem beliebigen geeigneten dielektrischen Material, etwa aus „konventionellen“ dielektrischen Materialien in Form von Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, Stickstoff-angereichertem Siliziumkarbid, und dergleichen aufgebaut sein können. Zusätzlich oder alternativ kann die Metallschicht 110 zumindest teilweise ein dielektrisches Material mit kleinem ε aufweisen, d. h. ein Material mit einer relativen Permittivität von 3,0 der weniger. Des Weiteren umfasst die Metallschicht 110 mehrere Metallleitungen 111a, 111b, wobei der Einfachheit halber lediglich zwei Metallleitungen gezeigt sind. Die Metallleitungen 111a, 111b weisen ein geeignetes leitendes Material auf, etwa ein gut leitendes Metall in Form von Kupfer, Kupferlegierungen, Silber, Silberlegierungen, Aluminium, und dergleichen, wobei möglicherweise entsprechende andere Materialien, etwa ein leitendes Barrierenmaterial, Legierungen, und dergleichen, zumindest teilweise an speziellen Bereichen der Metallleitungen 111a, 111b vorgesehen sein können. Ferner sind die lateralen Abmessungen der Leitungen 111a, 111b durch die Gesamtentwurfskriterien festgelegt, um damit die erforderliche Anzahl an elektrischen Verbindungen bereitzustellen, wobei auch die elektrische Leistungsfähigkeit der Leitungen 111a, 111b beispielsweise im Hinblick auf die Elektromigration, die Signalausbreitungsverzögerung und dergleichen berücksichtigt ist. Wie gezeigt, erstrecken sich in einigen anschaulichen Ausführungsformen die Metallleitungen 111a, 111b in einer im Wesentlichen parallelen Weise, was im Hinblick auf die Reduzierung der parasitären Kapazität in Bezug auf vertikal gestapelte Metallschichten vorteilhaft sein kann, d. h. eine Metallschicht, die in einer Richtung senkrecht zur Zeichenebene der 1a vorgesehen ist. In anderen Fällen erstrecken sich die Metallleitungen 111a, 111b in unterschiedliche Richtungen, abhängig von den Prozess- und Bauteilerfordernissen. In dem in 1a gezeigten Fertigungsstadium ist das Halbleiterbauelement 100 bereit, um eine weitere Metallschicht zu erhalten, in der ein oder mehrere Metallleitungen 121 (gestrichelt gezeigt) vorgesehen sind, wobei an gewissen Positionen die vertikale Verbindung zwischen einem oder mehreren der darunter liegenden Metallleitungen 111a, 111b und der einen oder der mehreren Metallleitungen 121 gemäß einer Schaltungsanordnung der Schaltungselemente erforderlich sein kann, die in einer tiefer liegenden Bauteilschicht (nicht gezeigt) ausgebildet sind. Beispielsweise repräsentiert ein Bereich 130 einen Zwischenschichtverbindungsbereich, in welchen ein direkter elektrischer Kontakt zwischen der Metallleitung 111a und der Leitung 121, die noch zu bilden ist, herzustellen ist.
  • 1b zeigt schematisch eine Schnittansicht des Halbleiterbauelement 100 entlang der Linie Ib aus 1a während einer Fertigungsphase, wenn eine Metallschicht, die die Metallleitung 121 enthält, und die Zwischenschichtverbindung 130 gebildet wird. Wie gezeigt, umfasst das Halbleiterbauelement 100 ein Substrat 101, das ein beliebiges geeignetes Trägermaterial zur Herstellung von Schaltungselementen darin und darauf repräsentiert, wobei die Schaltungselemente eine elektrische Verbindung erfordern, die auf der Grundlage einer oder mehrerer Metallschichten hergestellt wird, etwa der Metallschicht 110. Beispielsweise repräsentiert in modernen Logikschaltungen das Substrat 101 ein Silizium-basiertes Substrat, etwa ein Siliziumvollsubstrat, ein SOI-Substrat (Silizium auf Isolator), ein Substrat mit einer gemischten Konfiguration einer Vollsubstratarchitektur und einer SOI-Architektur, und dergleichen. Ferner ist über dem Substrat 101 eine Bauteilschicht 102 vorgesehen, d. h. mehrere Materialien und Schichten, die die mehreren Schaltungselemente, etwa Transistoren, Kondensatoren und dergleichen, repräsentieren, die zumindest teilweise in einer Halbleiterschicht mit geeigneter Konfiguration ausgebildet sind. Wie zuvor erläutert ist, besitzen entsprechende Schaltungselemente kritische Abmessungen von ungefähr 50 Nanometer und weniger, abhängig von dem Technologiestandard, der für die Herstellung der jeweiligen Schaltungselemente eingesetzt wird. Der Einfachheit halber sind derartige Schaltungselemente in der Bauteilschicht 102 nicht gezeigt. Es sollte beachtet werden, dass die Bauteilschicht 102 geeignete Kontaktstrukturen aufweisen kann, die eine direkte elektrische Verbindung zu den Schaltungselementen in der Bauteilschicht 102 mit einer ersten Metallschicht herstellen, die über der Bauteilschicht 102 ausgebildet ist. Der Einfachheit halber sind derartige Kontaktstrukturen nicht gezeigt. Es sei ferner angenommen, dass die Metallschicht 110 über der Bauteilschicht 102 ausgebildet ist, wobei zu beachten ist, dass die Metallschicht 110 eine beliebige von mehreren gestapelte Metallschichten repräsentieren kann, wie dies zuvor erläutert ist. Die Metallschicht 110 umfasst ein dielektrisches Material 112, das in der zuvor erläuterten Weise aufgebaut sein kann. Ferner sind die Metallleitungen 111a, 111b in dem dielektrischen Material 112 ausgebildet, wobei ein geeignetes Barrierenmaterial vorgesehen sein kann, wenn ein direkter Kontakt des leitenden Materials der Leitungen 111a, 111b mit dem dielektrischen Material 112 zu unterdrücken ist, beispielsweise wie dies zuvor mit Bezug zu Kupfer und Kupferlegierungen erläutert ist.
  • In dieser Fertigungsphase ist ein erster Bereich 122a einer dielektrischen Schicht einer zweiten Metallschicht 120 über der Metallschicht 110 gebildet, wobei der erste dielektrische Bereich 122a aus einem beliebigen geeigneten Material aufgebaut sein kann, etwa konventionellen Dielektrika, Dielektrika mit kleinem ε, wie dies auch zuvor mit Bezug zu der dielektrischen Schicht 112 erläutert ist. Ferner ist eine Maskenschicht 140 auf dem ersten Bereich 122a vorgesehen, wobei die Maskenschicht 140 aus einem geeigneten Material aufgebaut ist, das gewünschte Ätzstoppeigenschaften im Hinblick auf einen anisotropen Ätzprozess aufweist, der in einer späteren Phase auszuführen ist, um den ersten Bereich 122a und einen zweiten Bereich der dielektrischen Schicht der Metallschicht 120, der noch zu bilden ist, zu strukturieren. Beispielsweise ist die Maskenschicht 140 aus Siliziumnitrid, Siliziumkarbid, Siliziumoxynitrid, Siliziumdioxid und dergleichen aufgebaut, abhängig von der Ätzselektivität im Hinblick auf das Material des Bereichs 122a. Der Bereich 122a kann aus einem dielektrischen Material mit kleinem ε aufgebaut, wobei eine Vielzahl geeigneter dielektrischer Materialien verfügbar sind, etwa Siliziumnitrid und dergleichen, die eine hohe Ätzselektivität im Hinblick auf eine Vielzahl gut etablierter anisotroper Ätztechniken zum Strukturieren des dielektrischen Materials mit kleinem ε des Bereichs 122a besitzen. In ähnlicher Weise können Siliziumdioxid oder eine Vielzahl von Polymermaterialien in Verbindung mit dielektrischen Materialien mit kleinem ε verwendet werden. Eine Dicke 140t ist auf der Grundlage der Prozess- und Bauteilerfordernisse eingestellt, d. h. die Dicke 140t ist so ausgewählt, dass das erforderliche Ätzstoppverhalten erreicht wird, wobei auch das dielektrische Verhalten der Schicht 140 berücksichtigt wird. Wenn beispielsweise die Gesamtpermittivität der Metallschicht 120 nicht unnötig erhöht werden soll, wird ein geeignetes Material mit einer nur geringen moderat hohen relativen Permittivität ausgewählt, wobei die Dicke 140t dann so angepasst wird, dass ein zuverlässiger Ätzstopp während des nachfolgenden Ätzprozesses erreicht wird. In jedem Falle kann die Dicke 140t der Maskenschicht 140 deutlich geringer sein im Vergleich zu einer Dicke eines dielektrischen Materials der Metallschicht 120, das in konventionellen Strategien auf der Grundlage eines entsprechenden Fotolithografie- und Ätzschemas zu strukturieren ist. Somit kann auf der Grundlage gegebener Lithografieeigenschaften eines speziellen Technologiestandards das Strukturieren der Maskenschicht 140 auf der Grundlage der Dicke 140t zu deutlich geringeren Prozessanforderungen im Vergleich zu einem komplexen Strukturierungsschema zum Definieren und Ätzen einer Kontaktlochöffnung in einem dielektrischen Zwischenschichtmaterial, wie dies zuvor erläutert wurde, führen.
  • Ferner umfasst das Halbleiterbauelement 100 eine Maske 103, etwa eine Lackmaske, die so gestaltet ist, dass zumindest ein Bereich, der der gewünschten Zwischenschichtverbindung 130 (siehe. 1a) entspricht, freigelassen wird, wobei jedoch die laterale Größe eines Bereichs, der nicht durch die Maske 103 bedeckt ist, weniger kritisch ist und absichtlich so gewählt sein kann, dass dieser größere Abmessungen im Vergleich zu der eigentlichen Zwischenschichtverbindung, die noch zu bilden ist, besitzt. Das heißt, in einigen Beispielen wird die Maske 103 so gebildet, dass lediglich Bereiche des Bauelements 100 bedeckt sind, in denen ein elektrischer Kontakt zu einer darunter liegenden Metallleitung, etwa der Metallleitung 111b, zu verhindern ist, wobei ein gewisses Maß an Sicherheitstoleranz miteingeschlossen wird, während in anderen Fällen die Maske 103 im Wesentlichen jeglichen Bereich des Bauelements 100 abdeckt, mit Ausnahme entsprechender Gebiete, in denen eine Zwischenschichtverbindung, etwa die Verbindung 130, zu einer darunter liegenden Metallleitung, etwa der Metallleitung 111a, herzustellen ist. Auch in diesem Falle wird ein gewünschter Grad an Prozesstoleranz berücksichtigt, wodurch die Gesamtprozesskomplexität eines entsprechenden Fotolithografieprozesses die Strukturierung der Maske 103 deutlich verringert wird. Es sollte beachtet werden, dass die Maske 103, unabhängig davon, ob diese einen moderat großen Bereich bedeckt oder lediglich einen Bereich, der den Kontaktbereich 130 umgibt (siehe 1a), die Größe einer entsprechenden Zwischenschichtverbindung in einer lateralen Richtung bestimmt, beispielsweise in 1b in der horizontalen Richtung, da die tatsächliche Größe in der anderen horizontalen Richtung, d. h. in einer Richtung senkrecht zu Zeichenebene der 1b, durch eine Grabenmaske festgelegt wird, die in einer späteren Fertigungsphase zu bilden ist.
  • Das in 1b gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse gebildet werden. Nach dem Bereitstellen des Substrats 101 mit einer darüber ausgebildeten geeigneten Halbleiterschicht, die den Fall der Bauteilschicht 102 repräsentiert, werden Schaltungselemente, etwa Transistoren und dergleichen, hergestellt, wobei gut etablierte Prozessverfahren eingesetzt werden. Es wird eine Kontaktstruktur (nicht gezeigt) ausgebildet, um entsprechende Bereiche zur Verbindung der Schaltungselemente der Bauteilebene 102 mit einer oder mehreren Metallschichten vorzusehen, etwa der Metallschicht 110. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen die hierin offenbarten Prinzipien auch auf die erste Metallisierungsschicht angewendet werden können, wenn diese mit einer Kontaktstruktur zu verbinden ist, wie dies nachfolgend erläutert ist. Als Nächstes wird die Metallschicht 110 durch Abscheiden des dielektrischen Materials 112 gebildet, die eine beliebige geeignete Materialzusammensetzung aufweisen kann, beispielsweise in Form von dielektrischen Ätzstoppmaterialien (nicht gezeigt), einem dielektrischen Material mit kleinem ε und dergleichen. Das dielektrische Material 112 wird auf der Grundlage einer geeigneten Prozesstechnik, etwa Aufschleudern, CVD (chemische Dampfabscheidung), und dergleichen, aufgebracht. Danach wird eine Prozesssequenz angewendet, um entsprechende Gräben für die Metallleitungen 111a, 111b zu bilden, wobei zu beachten ist, dass ähnliche Prozessverfahren auch hier eingesetzt werden können, wie sie nunmehr mit Bezug zu der Metallschicht 120 beschrieben werden, wenn die Metallschicht 110 eine entsprechende Zwischenschichtverbindung zu den jeweiligen Metallleitungen einer tiefer liegenden Metallschicht (nicht gezeigt) erhalten soll. Zu diesem Zweck werden gut etablierte Fotolithografie- und Strukturierungsschemata eingesetzt, wobei zu beachten ist, dass im Allgemeinen das Strukturieren der Grabenöffnungen, die eine moderat große Abmessung zumindest in der Längsrichtung der Metallleitungen besitzen, weniger kritisch ist, während der Fotolithografie und während des Ätzprozesses im Vergleich zur Bildung von Öffnungen, die kritische Abmessungen in beiden parallelen Richtungen aufweisen.
  • Nach der Strukturierung der jeweiligen Grabenöffnungen wird ein geeignetes leitendes Material in die Gräben eingeführt, beispielsweise auf der Grundlage gut etablierter Techniken, zu denen das Abscheiden eines geeigneten leitenden Barrierenmaterials, etwa Tantal, Tantalnitrid, Titan, Titannitrid, Wolfram, Wolframnitrid, oder anderer geeigneter metallenthaltender Verbindungen und Legierungen gehört, damit die gewünschte mechanische, chemische und elektrische Verhaltensweisen der Metallleitungen 111a, 111b in Verbindung mit einem gut leitenden Metall, etwa Aluminium, Kupfer, Kupferlegierungen, Silber, Silberlegierungen und dergleichen zu erhalten. Beispielsweise wird in anspruchsvollen integrierten Schaltungen Kupfer häufig als ein Basismaterial eines gut leitenden Metalls eingesetzt, das durch elektrochemische Abscheideverfahren aufgebracht werden kann. Während des elektrochemischen Abscheideverfahrens, das in konventionellen Strategien das Füllen von Kontaktöffnungen und Gräben in einer im Wesentlichen hohlraumfreien Weise erfolgt, wie dies auch zuvor erläutert ist, werden geeignete Elektroplattierungsverfahren und/oder stromlose Verfahren eingesetzt, wobei für ein zuverlässiges Füllen der Öffnung ein gewisses Maß an Überschussmaterial für gewöhnlich abgeschieden wird, das anschließend entfernt wird, beispielsweise auf der Grundlage elektrochemischer Ätzverfahren, Elektropolieren, CMP (chemisch-mechanisches Polieren) und dergleichen. Während des Entfernens von überschüssigem Material können auch andere leitende Materialien, etwa Barrierenschichten und dergleichen von horizontalen Bereichen der elektrischen Schicht 112 entfernt werden, wodurch die elektrisch isolierten Metallleitungen 111a, 111b geschaffen werden. Als Nächstes wird in einigen Ausführungsformen eine geeignete Deckschicht (nicht gezeigt) auf den Metallleitungen 111a, 111b vorgesehen und möglicherweise auch auf der dielektrischen Schicht 112, abhängig von der Prozessstrategie. Eine entsprechende Deckschicht kann auch als eine Ätzstoppschicht in einer späteren Fertigungsphase eingesetzt werden, wie dies detaillierter im Weiteren erläutert wird. Im Weiteren wird gemäß dem in 1b gezeigten Verfahren das dielektrische Material so abgeschieden, dass der Bereich 122a eines dielektrischen Materials für die Metallschicht 120 gebildet wird. Zu diesem Zweck kann eine beliebige geeignete Abscheidetechnik eingesetzt werden, wie dies auch für die dielektrische Schicht 112 erläutert ist. Eine Dicke 122w des ersten Bereichs 122a wird entsprechend den Bauteil- und Prozesserfordernissen festgelegt, wodurch die Tiefe jeweiliger Metallleitungen in der Schicht 120 eingestellt wird, etwa der Metallleitung 121, wie dies in 1a angegeben ist. Wie nachfolgend detaillierter beschrieben ist, bieten die hierin offenbarten Prinzipien die Möglichkeit, in effizienter Weise zumindest teilweise die Tiefe und damit die Dicke der jeweiligen Metallleitungen 121 einzustellen, indem die vertikale Position der Maskenschicht 140 festgelegt wird, wobei die Maskenschicht 140 im Hinblick auf die vertikale Position irgendwo innerhalb der Metallschicht 120 angeordnet werden kann und diese kann selbst über oder unter dem dielektrischen Material der Schicht 120 ausgebildet werden, wie dies nachfolgend beschrieben ist.
  • Nach dem Abscheiden des ersten Bereichs 122a wird die Maskenschicht 140 auf Grundlage geeigneter Techniken hergestellt, wobei abhängig von den Eigenschaften des Materials der Schicht 140, ähnliche Prozesstechniken eingesetzt werden können, wie sie auch für den ersten Bereich 122a verwendet wird, während in anderen Fällen unterschiedliche Abscheidetechniken und somit Abscheideanlagen eingesetzt werden. Zum Beispiel wird die Maskenschicht 140 durch Abscheiden eines geeigneten Materials mit den gewünschten Ätzstoppeigenschaften für nachfolgendes Strukturierungsschema aufgebracht, wobei Material in-situ mit dem ersten Bereich 122a abgeschieden wird, wenn eine Änderung in Vorstufenmaterialien und Abscheidebedingungen innerhalb der gleichen Prozesskammer möglich ist. Wenn beispielsweise das dielektrische Material des ersten Bereichs 122a auf Grundlage von Silizium, Kohlenstoff, Sauerstoff und Wasserstoff gebildet wird, wird die Schicht 140 in Form einer Siliziumdioxidschicht mit einer besseren Dichte bereitgestellt, wodurch die gewünschten Ätzstoppeigenschaften erreicht werden. In anderen Fällen werden Materialien, etwa Siliziumnitrid, Siliziumkarbid, stickstoffangereichertes Siliziumkarbid, Siliziumdioxid, Siliziumoxynitrid in einer beliebigen Kombination verwendet, um damit die Schicht 140 bereitzustellen. Zusätzlich oder alternativ wird ein Oberflächenbehandlungsprozess ausgeführt, um die Maskenschicht 140 mit den gewünschten Eigenschaften zu bilden. Beispielsweise kann eine Oxidation, Nitrierung und dergleichen auf der Grundlage geeigneter plasmaunterstützter Atmosphären durchgeführt werden, um damit die Schicht 140 mit der gewünschten Dicke 140t und den gewünschten Ätzstoppeigenschaften bereitzustellen. Als Nächstes wird die Maske 103, beispielsweise in Form eines Lackmaterials, abgeschieden und nachfolgend strukturiert, um damit einen gewünschten Bereich der Maskenschicht 140 bereitzulegen, wie dies zuvor erläutert ist. Danach wird ein Ätzprozess 141 ausgeführt, um einen freiliegenden Bereich der Maskenschicht 140 zu entfernen, wodurch eine erste Maske definiert wird, auf deren Grundlage entsprechende Zwischenschichtverbindungen in einer späteren Phase während des Strukturierens jeweiliger Gräben für Metallleitungen in der Metallschicht 120 gebildet werden, etwa die Metallleitung 121, wie sie schematisch in 1a gezeigt ist. Der Ätzprozess 141 wird so gestaltet, dass dieser eine moderat hohe Selektivität in Bezug auf das darunter liegende Material des ersten Bereichs 122a aufweist, wobei jedoch beachtet werden soll, dass eine sehr ausgeprägte Ätzselektivität nicht erforderlich ist, da weiteres Material der dielektrischen Schicht in einer nachfolgenden Fertigungsphase abgeschieden wird. In einigen Fällen wird der Ätzprozess 141 auf der Grundlage gut etablierter Rezepte ausgeführt, die für eine Vielzahl von elektrischen Materialien verfügbar sind. Zum Beispiel wird der Prozess 141 als ein plasmaunterstützter Prozess abhängig von den Eigenschaften der Materialien der Schichten 140 und 122a ausgeführt. Es sollte beachtet werden, dass aufgrund der weniger kritischen Bedingungen im Hinblick auf eine laterale Größe des Bereichs, der durch die Maske 103 freigelegt wird und aufgrund der reduzierten Dicke 140t im Vergleich zu der Dicke eines typischen dielektrischen Materials der Metallschicht sowohl der vorhergehende Lithografieprozess zum Strukturieren der Maske 103 als auch der Ätzprozess 141 deutlich weniger kritisch sind im Vergleich zu der Strukturierungssequenz einer konventionellen Kontaktlochöffnung.
  • 1c zeigt schematisch eine Querschnittsansicht des Halbleiterbauelements 100 nach dem Ende des Ätzprozesses 141, wodurch ein Teil der Maskenschicht 140 entfernt wird, der durch die Maske 103 freigelegt war, während ein zweiter Teil 122b des dielektrischen Materials unter dem ersten Bereich 122a ausgebildet ist, wodurch eine dielektrische Schicht 122 der Metallschicht 120 gebildet ist. Folglich ist die Maskenschicht 140 vertikal in der dielektrischen Schicht 122 an einer gewünschten Höhenposition angeordnet, so dass eine Tiefe entsprechender Gräben definiert wird, die in dem dielektrischen Material 122 zu bilden sind, während ein Bereich 122c freiliegt, wovon in einem Teil davon die Metallleitungen, die noch zu bilden sind, sich bis hinab zu der darunter liegenden Metallschicht 120 erstrecken, wodurch ebenfalls ein direkter elektrischer Kontakt zu der Metallleitung 111a geschaffen wird, damit eine Zwischenschichtverbindung, etwa die Verbindung 120 in 1a bereitzustellen. Zu diesem Zweck kann eine weitere Maske über der dielektrischen Schicht 122 gebildet werden, beispielsweise in Form einer Lackmaske, einer Hartmaske oder einer Kombination davon, um die Größe und die Position der Gräben zu definieren, die zur Bildung der jeweiligen Metallleitungen, etwa der Metallleitung 121, verwendet werden. Zu diesem Zweck werden entsprechende Prozesstechniken angewendet, wie sie auch in konventionellen Strategien während eines Doppel-Damaszener-Verfahrens eingesetzt werden, wenn Gräben für Metallleitungen zu füllen sind. Wie zuvor erläutert ist, kann der Lithografieprozess zum Definieren einer Öffnung, die mindestens in einer Richtung eine nicht-kritische Abmessung aufweist, auf der Grundlage weniger einschränkender Prozessanforderungen im Vergleich zu einem sehr kritischen Kontaktlochstrukturierungsschema auf Grundlage konventioneller Techniken ausgeführt werden.
  • 1d zeigt schematisch eine Draufsicht des Halbleiterbauelements 100 nach der Herstellung einer Maske 104, die einen Bereich der dielektrischen Schicht 122 frei lässt, wodurch ein Graben 104a in dem Maskenmaterial 104 definiert wird, der im Wesentlichen der Größe und der Position eines Grabens und damit der Metallleitung 121, die noch in der dielektrischen Schicht 122 zu bilden ist, entspricht. Der Einfachheit halber sind Bauteilbereiche, die durch tiefer liegende Strukturelemente definiert sind, etwa der freigelegte Bereich 122c (1c) und die Metallleitungen 111a, 111b in gestrichelten Linien dargestellt, um damit deutlicher die Position dieser Bereiche zueinander im Hinblick auf den Graben 104a anzugeben. Wie gezeigt, definiert der Bereich 122c, d. h. der Bereich, in welchem die Maskenschicht 140 nicht gebildet ist, einen „Schnitt“ mit dem Graben 104a, wobei die Fläche dieses Schnitts, die als 122d angegeben ist, ein Bauteilgebiet definiert, in welchem ein entsprechender Graben und damit eine Metallleitung sich bis zu der Metallschicht 110 erstreckt, wodurch ebenfalls der direkte Kontakt mit der Metallleitung 111a geschaffen wird. Der Einfachheit halber wird der Bereich 122d, in welchem die Metallleitung 121, die noch zu bilden ist, sich bis hinab zu der Metallschicht 110 erstreckt, ebenfalls eine Zwischenschichtverbindung bezeichnet, wobei jedoch ein tatsächlicher direkter elektrischer Kontakt nur innerhalb des Bereichs 130 hergestellt wird. Wie gezeigt, ist der Bereich 122d somit durch zwei Masken definiert, d. h. die Maske 140, die den Graben 104a definiert, und die Maske 140, von beide auf der Grundlage weniger kritischer Prozessbedingungen während des Fotolithografieprozesses gebildet werden können, wobei auch die eigentliche Strukturierung der Maskenschicht 140 auf Grundlage weniger kritischer Ätzbedingungen durchgeführt werden können, wie dies zuvor erläutert ist.
  • 1e zeigt schematisch eine Schnittansicht des Halbleiterbauelements 100, wie dies durch die Linie le angegeben ist, wobei das Bauelement einem Ätzprozess 105 unterzogen wird, um die dielektrische Schicht 122 auf der Grundlage der Masken 104 und 140 zu strukturieren. Der Ätzprozess 105 wird auf der Grundlage gut etablierter anisotroper Ätzrezepte ausgeführt, wobei in einigen Fällen die Maske 104 aus einem geeigneten Hartmaskenmaterial aufgebaut ist, möglicherweise in Verbindung mit dem Lackmaterial, abhängig von der Prozessstrategie. Wie beispielsweise zuvor erläutert ist, können es anspruchsvolle Lithografieverfahren notwendig machen, Lackmaterialien mit geringer Dicke zu verwenden, die möglicherweise nicht ausreichend Ätzselektivität während des Prozesses 105 bereitstellen. Zu diesem Zweck wird sodann ein geeignetes Hartmaskenmaterial verwendet, das auch als eine ARC (antireflektierende Beschichtung) dienen kann, um damit gemeinsam die Maske 104 zu bilden. Während des anisotropen Ätzprozesses 105 wird freiliegendes Material der Schicht 122, d. h. in einer ersten Phase der Bereich 122b, effizient entfernt, während das Voranschreiten der Ätzfront zuverlässig auf und in der Maskenschicht 140 gestoppt werden kann, während der Ätzprozess in dem freiliegenden Bereich 122d weitergeht (siehe 1d). Der Ätzprozess 105 kann beim Freiliegen der Metallschicht 110 geändert werden, wobei zu beachten ist, dass die Metallschicht 110 eine geeignete Deckschicht aufweisen kann, die auch als eine Ätzstoppschicht dienen kann, wie dies nachfolgend detaillierter beschrieben ist. Wenn somit ein Freiliegen eines Bereichs der Metallleitung 111a als wenig geeignet während des Ätzprozesses 105 erachtet wird und/oder wenn die Ätzstoppeigenschaften des Metalls der Leitung 111a und des dielektrischen Materials der Schicht 110 nicht ausreichend sind, um eine zuverlässige Steuerung des Ätzprozesses 105 zu ermöglichen, kann das Ende des Prozesses 105 auf der Grundlage einer Ätzstoppschicht festgelegt werden, die nachfolgend geöffnet wird, wie dies beispielsweise auch in konventionellen Strategien der Fall ist, wenn die Unterseite der entsprechenden Kontaktöffnungen geöffnet wird.
  • 1f zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Wie gezeigt, umfasst die dielektrische Schicht 122 einen Graben 122t, der im Wesentlichen den Graben 104a (siehe 1d) und umfasst auch die Öffnung 122v, die dem Schnitt 122d entspricht, der durch die Masken 104 und 140 definiert ist, wie dies zuvor erläutert ist. Ferner ist eine leitende Barrierenschicht 123 in den Öffnungen 122v und 122t sowie auf horizontalen Bereichen der dielektrischen Schicht 122 gebildet, wenn ein direkter Kontakt eines gut leitenden Metalls mit dem Material der dielektrischen Schicht 122 und 112 als ungeeignet erachtet wird. Beispielsweise sind eine Vielzahl geeigneter Barrierenmaterialien in Verbindung mit kupferbasierten Metallisierungsschemata gut etabliert im Stand der Technik und können für die Barrierenschicht 123 eingesetzt werden. Das Material der Barrierenschicht 123 kann auf der Grundlage geeigneter Abscheidetechnik hergestellt werden, etwa chemischer Dampfabscheidung, ALD (Atomlagenabscheidung), in denen eine selbstbegrenzende Chemie eingesetzt wird, um dünne Materialschichten in gut gesteuerter Weise bereitzustellen, chemische Dampfabscheidung, etwa Sputter-Abscheidung, stromlose Abscheidung und dergleichen. Danach wird ein gut leitendes Material, etwa Kupfer, Kupferlegierungen, Silber und dergleichen auf Grundlage gut etablierter Abscheideverfahren aufgebracht, etwa elektrochemischer Abscheideprozesse, wie sie auch in konventionellen Strategien eingesetzt werden können. Nach dem Abscheiden des leitenden Metalls wird typischerweise Überschussmaterial entfernt, wobei auch die Oberflächentopografie eingeebnet wird, um damit verbesserte Bedingungen für die Herstellung weiterer Schichten zu erhalten, falls diese erforderlich sind.
  • 1g zeigt schematisch das Halbleiterbauelement 100 nach dem Ende der zuvor beschriebenen Prozesssequenz. Wie gezeigt, sind die Metallleitung 121 und die Zwischenschichtverbindung 130 auf der Grundlage eines geeigneten Materials gebildet, etwa Kupfer und dergleichen, wobei die Metallleitung 121 einen Leitungsbereich 121b mit einer Dicke 121t aufweist, die im Wesentlichen die vertikale Position der Maskenschicht 140 definiert ist, wie dies zuvor erläutert ist, während ein weiterer Leitungsbereich 121a eine Dicke aufweist, die der Dicke der dielektrischen Schicht 122 entspricht. Somit kann die Zwischenschichtverbindung 130 als eine integrale Komponente des Leitungsbereichs 121a mit einer „maximalen“ Tiefe betrachtet werden, da diese Verbindung sich über die gesamte dielektrische Schicht 122 in der Tiefenrichtung erstreckt. Somit kann die Zwischenschichtverbindung 130 auf der Grundlage weniger kritischer Prozessbedingungen geschaffen werden, insbesondere im Hinblick auf die Lithografie, wobei zusätzlich die jeweiligen Öffnungen 122v und 122t in einem gemeinsamen Ätzprozess gebildet werden können, der auf Grundlage der Masken 140 und 104 ausgeführt wird, wodurch der Gesamtprozessablauf deutlich verbessert wird. Die Dicke des Leitungsbereichs 121t kann auf der Grundlage der Maskenschicht 140 festgelegt werden, wobei auch die laterale Abmessung des Leitungsbereichs 121a durch die Maske 140 definiert wird, wodurch ein hohes Maß an Entwurfsflexibilität beim Anpassen des elektrischen Leistungsverhaltens der Metallleitung 121 geschaffen wird. Das heißt, abhängig von der lateralen Größe des Leitungsbereichs 121a kann eine deutliche Reduzierung des elektrischen Widerstands für die Metallleitung 121 erreicht werden, wodurch das Leistungsverhalten in Bauteilebenen verbessert wird, in denen ein geringer Serienwiderstand vorteilhaft ist, während die parasitäre Kapazität weniger relevant ist, wie dies zuvor erläutert ist.
  • 1h zeigt schematisch eine Draufsicht des Halbleiterbauelements 100, wobei gezeigt ist, wie die lateralen Abmessungen der Leitungsbereiche 121b und 121a effizient auf der Grundlage des Ausmaßes an Abdeckung durch die Maske 140 eingestellt werden können. Wie gezeigt, repräsentiert die gepunktete Linie den freigelegten Bereich 122c (siehe 1d), wobei der freigelegte Bereich 122c durch die Nachbarschaft des eigentlichen Kontaktbereichs 130 beschränkt ist, wodurch auch die laterale Abmessung des Leitungsbereichs 121a festgelegt ist. Wenn die laterale Größe des Leitungsbereichs 121a zu vergrößern ist, beispielsweise im Hinblick auf das Reduzieren des Gesamtwiderstands der Metallleitung 121, kann der nicht bedeckte Bereich 122c ausgeweitet werden, zumindest in einer Richtung, wie dies durch den Pfeil 125 angegeben ist, wobei eine maximale Ausdehnung in dieser Richtung durch die Position der Metallleitung 111b gegeben ist, für die ein direkter elektrischer Kontakt mit der Leitung 121 zu verhindern ist. In ähnlicher Weise kann die laterale Größe des nicht bedeckten Bereichs 122c in der Richtung 125b erweitert werden, d. h. senkrecht zur Richtung 125a, wobei jedoch eine entsprechende Ausdehnung der Maske 140 die laterale Größe des Leitungsbereichs 121a nicht beeinflusst, da die laterale Größe in der Richtung 125b durch die Maske 104 bestimmt ist, die damit die tatsächliche Breite der Metallleitung 121 festlegt. Beim Erweitern der lateralen Größe des nicht bedeckten Bereichs 122c in der Richtung 125b muss die Position von weiteren Zwischenschichtverbindungen, die auf der Grundlage weiterer Metallleitungen (nicht gezeigt) gestellt sind, berücksichtigt werden, so dass kein Kontakt zu Bereichen hergestellt wird, in denen der elektrische Kontakt zu verhindern ist. Wenn beispielsweise die laterale Größe des freigelegten Bereichs 122c in beiden Richtungen 125a, 125b vorzunehmen ist, wird ein Maß an Abdeckung für die Maske 140 erreicht, wobei lediglich Bereiche, die keine Zwischenschichtverbindung zu der darunter liegenden Metallleitung erfordern, bedeckt bleiben, wie dies beispielsweise für die Metallleitung 111b gezeigt ist. In diesem Falle kann der nicht bedeckte Bereich 122c die gesamte Fläche mit Ausnahme eines Bereichs 122e einnehmen, der durch gestrichelte Linien gezeigt ist und das restliche Material der Maske 140 in diesem Bereich repräsentiert, wodurch ein Freiliegen der Metallleitung 111b während des zuvor beschriebenen Strukturierungsprozesses zum Erhalten der Öffnungen 121v und 121t zuverlässig verhindert wird. Somit wird in diesem Falle die laterale Ausdehnung des Leitungsbereichs 121a mit der „maximalen“ Dicke größer gemacht, während die Länge des Bereichs 121b mit der reduzierten Dicke 121t verringert wird, woraus sich ein insgesamt geringer Widerstand der Metallleitung 121 ergibt.
  • 1i zeigt schematisch eine Draufsicht des Halbleiterbauelements 100, wenn die Metallleitung 121 auf der Grundlage der Maskenschicht 140 mit einer Konfiguration, wie sie durch den Bereich 122e definiert ist, gebildet wird, d. h. das Maskenmaterial wird nur in der Nähe des Schnitts zwischen der Leitung 121 und der Metallleitung 111b vorgesehen, wie dies zuvor erläutert ist. Somit werden Leitungsbereiche mit maximaler Dicke, die als Leitungsbereiche 121a angegeben sind, durch Leitungsbereiche 121b mit der Dicke 121t in Bauteilbereichen unterbrochen, in denen die Metallleitung 121 eine darunter liegende Metallleitung überquert, etwa die Metallleitung 111b, für die eine Zwischenschichtverbindung nicht gewünscht ist. Es sollte beachtet werden, dass zum Vergrößern der lateralen Größe der Leitungsbereiche 121a es nicht notwendig ist, die laterale Größe der nicht freigelegten Bereiche 122c in der Richtung 125b zu vergrößern, wie dies beispielsweise in 1h in Bezug auf den Bereich 122e gezeigt ist, sondern es ist ausreichend, lediglich den nicht freigelegten Bereich 122c entlang der lateralen Richtung 125a zu vergrößern, wodurch streifenartige Maskenstrukturelemente in der Maske 140 definiert werden, die entlang der Metallleitung 121 erstrecken. Durch Einstellen der lateralen Größe der Leitungsbereiche 121a kann somit der Gesamtwiderstand der Metallleitung 121 entsprechend den Bauteilerfordernissen angepasst werden.
  • 1j zeigt schematisch das Halbleiterbauelement 100 in Querschnittsansicht in einem weiteren anschaulichen Fall, in denen die Dicke 121t der Metallleitung 121 auf der Grundlage der vertikalen Position der Maske 140 der dielektrischen Schicht 122 eingestellt wird. Beispielsweise kann der Gesamtwiderstand der Metallleitung 121 auf der Grundlage der Dicke 121t der Leitungsbereiche eingestellt werden, die über einer tiefer liegenden Metallleitung ausgebildet sind, zu denen eines Zwischenschichtverbindung nicht gewünscht ist. Zu diesem Zweck wird das Abscheiden des Maskenmaterials 140 während einer beliebigen geeigneten Fertigungsphase ausgeführt, wenn die dielektrische Schicht 122 gebildet wird, d. h. der erste Bereich 122a (siehe 1b) wird mit einer geeigneten Dicke 122w ausgebildet, woran sich das Abscheiden der Schicht 140 anschließt, wie dies zuvor erläutert ist, wodurch die Dicke oder die Tiefe 121t der Metallleitung 121 für eine gegebene Gesamtdicke der dielektrischen Schicht 122 definiert wird. Das Strukturieren des Maskenmaterials 140 kann dann auf der Grundlage der gleichen Strategien erfolgen, wie dies zuvor erläutert ist, wobei das Ausmaß an Abdeckung und die vertikale Position der Maske 140 somit anwendbar sind, um das gesamte elektrische Leistungsverhalten der Metallleitung 121 einzustellen.
  • 1k zeigt schematisch das Halbleiterbauelement 100 gemäß der Erfindung, in denen die Maske 140 vor dem Abscheiden des Materials der dielektrischen Schicht 122 gebildet wird. Zu diesem Zweck wird das Material der Maske 140 nach dem Fertigstellen der Metallschicht 110 gebildet, und auf der Grundlage von Feststrategien strukturiert, wie sie zuvor beschrieben sind, wobei die laterale Größe eines durch die Maske 140 bedeckten Bereichs auf der Grundlage der zuvor diskutierten Prinzipien eingestellt werden kann. Anschließend wird die dielektrische Schicht 122 auf Basis geeigneter Techniken hergestellt, wie dies zuvor erläutert ist. Somit kann beim Strukturieren der dielektrischen Schicht 122 auf der Grundlage der Maske 140, wie dies zuvor erläutert ist, der entsprechende Ätzprozess 105 (siehe 1e) zuverlässig in dem Material der Maske 140 gestoppt werden, wodurch die Leitungsbereiche 121b definiert wird, wobei die Dicke 121t nun durch die Dicke der Schicht 140 für eine gegebene Dicke der dielektrischen Schicht 122 gegeben ist.
  • 1l zeigt schematisch das Halbleiterbauelement 100 aus 1k in einem weiter fortgeschrittenen Herstellungsstadium, in welchem die Metallleitung 121 auf der Grundlage der zuvor beschriebenen Prozesssequenz hergestellt sind, wodurch ein geringer Gesamtwiderstand erreicht wird, unabhängig von der lateralen Abmessung der jeweiligen Leitungsbereiche 121a, 121b aufgrund einer vergleichbaren Tiefe, die im Wesentlichen sich durch die Dicke der Maske 140 unterscheidet.
  • Wie zuvor erläutert ist, wird erfindungsgemäß ein geeignetes Ätzstoppmaterial auf der Oberseite der Metallschicht 110 vorgesehen, und damit das Metallmaterial einzuschließen und auch um eine verbesserte Steuerbarkeit des entsprechenden Ätzprozesses für das endgültige Freilegen der Metalloberfläche dese darunter liegenden Metallgebiets zu sorgen. Somit kann in Ausführungsformen der Erfindung, bei denen die Maske 140 an der Unterseite der dielektrischen Schicht 122 gebildet ist, die Herstellung einer entsprechenden Ätzstoppschicht in Verbindung mit dem Maskenmaterial 140 in geeigneter Weise angepasst werden, damit das Gesamtstrukturierungsschema zu verbessern, wenn die Öffnungen 122v und 122t gebildet werden (siehe 1f), um die Zwischenschichtverbindung 130 und die Metallleitung 121 zu definieren.
  • Mit Bezug zu den 1m - 1p werden weitere anschauliche Ausführungsformen der Erfindung beschrieben, in denen das Bilden der Maske 140 mit dem Bereitstellen einer entsprechenden Ätzstoppschicht koordiniert wird.
  • 1m zeigt schematisch das Halbleiterbauelement 100 in Querschnittsansicht, wobei eine dielektrische Barrierenschicht 113 als eine letzte Schicht der Metallschicht 110 vorgesehen ist, wodurch die Metallleitungen 111a, 111b eingeschlossen werden und auch Oberflächenbereiche der dielektrischen Schicht 112 bedeckt sind. Die dielektrische Barrierenschicht 113 kann in Form von Siliziumnitrid, Siliziumkarbid, stickstoffangereichertem Siliziumkarbid, oder einer Zusammensetzung dieser Materialien und dergleichen vorgesehen werden. In diesem Falle sorgt die dielektrische Barrierenschicht 113 auch für eine ausreichende diffusionsblockierende Wirkung, um damit eine Diffusion von Kupferatomen in das umgebende dielektrische Material zu unterdrücken und auch um einen direkten Kontakt von reaktiven Komponenten mit dem Kupfermaterial zu verhindern. In erfindungsgemäßen anschaulichen Ausführungsformen wird die dielektrische Barrierenschicht 113 vorgesehen, so dass diese als eine Ätzstoppschicht während des Strukturierens der darüber liegenden Maske 140 und, ohne dass kupferdiffusionsblockierende Eigenschaften erforderlich sind, wenn die Metallleitungen 111a, 111b geeignete Deckschichten aufweisen, beispielsweise in Form von leitenden Deckschichten, die zuverlässig das Kupfermaterial einschließen, während auch für ein gutes Elektromigrationsverhalten gesorgt wird, und dergleichen. Beispielsweise sind eine Vielzahl von Kupferlegierungen oder Zusammensetzungen auf Kobaltbasis verfügbar, um als effizientes Deckmaterial für kupferbasierte Metallleitungen zu dienen. Wie gezeigt, kann das Halbleiterbauleiterelement 100 in dieser Fertigungsphase die Maske 103 aufweisen, die einem gewünschten Bereich der Maske 104 während des Ätzprozesses 141 freilässt. Die Maske 140 wird als Material vorgesehen, das eine erforderliche Ätzstoppeigenschaft während des nachfolgenden Ätzprozesses 105 (siehe 1e) zum Strukturieren der Öffnungen für die Metallleitungen 121 aufweist. Das Material der Maske 140 wird selektiv in Bezug auf die dielektrische Barrierenschicht 112 geätzt, wodurch ein hohes Maß an Prozesssteuerung des Ätzprozesses 141 möglich ist und auch eine Schädigung des dielektrischen Materials 112 und der Metallleitungen 111a, 111b im Wesentlichen verhindert wird. Nach dem Strukturieren der Maske 140 wird die weitere Bearbeitung fortgesetzt, wie dies beispielsweise mit Bezug zu den 1k und 1l beschrieben ist. Es sollte beachtet werden, dass während des Ätzprozesses 105 die dielektrische Barrierenschicht 113 auch als ein effizientes Ätzstoppmaterial dienen kann, das dann entfernt werden kann, um die Metallleitung 111a tatsächlich freizulegen, während die Maske 140 an eine freiliegende Metallleitung 111b verhindert. Beispielsweise wird die Maske 140 in Form von Siliziumdioxid oder einem anderen geeigneten Material mit einem hohen Maß an Ätzselektivität in Bezug auf die dielektrische Barrierenschicht 113, die beispielsweise auf der Grundlage konventioneller Rezepte mit z. B. Siliziumnitrid-basierten Materialien vorgesehen wird.
  • 1n zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen die dielektrische Barrierenschicht 113 in Form mehrerer Unterschichten 113a, 113b und 113c vorgesehen wird, deren Anzahl und Materialzusammensetzung so ausgewählt sind, dass diese auch die Funktion der Maske 140 miteinschließen. Das heißt, die dielektrische Barrierenschicht 113 wird auf der Grundlage einer geeigneten Prozesssequenz 106 gebildet, so dass diese die gewünschten Eigenschaften im Hinblick auf die Funktionsblockierung, die Haftung und dergleichen, sowie auch die Ätzstoppeigenschaften zeigt, um ferner als eine effiziente Maske 140 während des Strukturierens des Grabens 122t und der Öffnung 122v (siehe 1f) dient. Beispielsweise wird die erste Schicht 113a in einer Konfiguration vorgesehen, die einer konventionellen dielektrischen Deckschicht entspricht, während eine oberste Schicht 113c Material repräsentiert, das für die gewünschten Ätzstoppeigenschaften gemäß der Maske 140 sorgt, wie dies zuvor erläutert ist. Wenn die Schichten 113a, 113c ähnliche Materialeigenschaften aufweist, zumindest in Bezug auf eine Vielzahl von Ätzchemikalien, wird eine geeignete Schicht 113b zwischen den Schichten 113a und 113c so vorgesehen, dass die Strukturierung der Schicht 113c verbessert wird. Beispielsweise können Siliziumnitrid-basierte Materialien für die Schichten 113a, 113c eingesetzt werden, wodurch die erforderlichen Ätzstoppeigenschaften erreicht werden und auch der Einschluss der Metallleitungen 111a, 111b gelingt, während die Schicht 113b in Form eines Siliziumdioxid-basierten Materials vorgesehen wird. In dieser Weise kann ein hohes Maß an Kompatibilität mit konventionellen Strategien im Hinblick auf dielektrische Barrierenschichten erreicht werden, beispielsweise unter Anwendung ähnlicher Materialien der Schicht 113a, wobei dennoch eine effiziente Strukturierung der Schicht 113c möglich ist, die als die Maske 140 während des nachfolgenden Strukturierungsprozesses dient. In einigen anschaulichen Ausführungsformen wird die dielektrische Barrierenschicht 113 auf der Grundlage einer in-situ-Prozesstechnik gebildet, wobei das Vorstufenmaterial geeignet geändert wird, um die gewünschte Sequenz aus Materialien zu erhalten. Danach wird die dielektrische Schicht 113 so strukturiert, dass die Unterschicht 113a über der Metallleitung 111a erhalten wird, während die Schicht 113 im Wesentlichen vollständig über der Metallleitung 111b beibehalten wird.
  • 1o zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, nachdem die Öffnungen 122v und 122t in der dielektrischen Schicht 122 strukturiert sind. Wie zuvor erläutert ist, kann der entsprechende Ätzprozess zuverlässig auf der Grundlage der Unterschicht 113a angehalten werden, um damit die Öffnung 122v und die Schicht 113b zu definierten, wodurch der Graben 122t festgelegt wird.
  • 1p zeigt schematisch das Halbleiterbauelement 100 während eines Ätzprozesses 107 zum Entfernen der Unterschicht 113a, wodurch die Öffnung 122v vertieft wird, um damit einen Bereich der Metallleitung 111a zu erweitern und auch um Bereiche der dielektrischen Schicht 112 freizulegen. Während des Ätzprozesses 107 wird auch die Schicht 113c entfernt, wenn ähnliche Materialien für die Unterschichten 113a und 113c verwendet wurden. Jedoch kann in diesem Falle die Unterschicht 113b als Ätzstoppschicht dienen, wodurch eine unerwünschte Materialentfernung der Unterschicht 113a entsprechend dem Graben 122t verhindert wird. Sodann wird die weitere Bearbeitung fortgesetzt, indem geeignete leitende Materialien abgeschieden werden, wie dies zuvor erläutert ist. Folglich kann die Bildung der Öffnungen 122v und 122t effizient mit geeigneten Techniken zur Herstellung dielektrischer Barrierenschichten oder Ätzstoppschichten kombiniert werden, wodurch ebenfalls für ein hohes Maß an Prozesskompatibilität mit konventionellen Strategien zur Herstellung entsprechender dielektrischer Barrierenschichten oder Ätzstoppmaterialien gesorgt wird.
  • In den zuvor beschriebenen Verfahren wird die Definition der lateralen Position der Öffnung 122v auf der Grundlage eines Hartmaskenmaterials bewerkstelligt, d. h. die Maske 140 oder die strukturierte dielektrische Barrierenschicht 113 werden vor dem Strukturierungsprozess zum Ätzen des dielektrischen Materials der Schicht 122 gebildet.
  • Mit Bezug zu den 2a bis 2c werden nunmehr weitere Verfahren beschrieben, in denen die Definition der lateralen Position nach dem Strukturieren der dielektrischen Schicht auf der Grundlage eines geeigneten Maskenmaterials erfolgt, das nachfolgend entfernt wird.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201, einer Bauteilschicht 202 und einer Metallschicht 210. Im Hinblick auf diese Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert sind, und eine weitere detaillierte Beschreibung davon wird weggelassen. Ferner umfasst die Metallschicht 210 eine dielektrische Barrierenschicht 213, um ein dielektrisches Material 212 und auch ein Metallgebiet 211, das in dem dielektrischen Material 212 gebildet ist, abzudecken. Beispielsweise repräsentiert das Metallgebiet 211 eine Metallleitung, etwa wie die Metallleitung 111a in dem Bauteilelement 100. Das Halbleiterbauelement 200 umfasst ferner eine strukturierte dielektrische Schicht 222, die das dielektrische Material für eine weitere Metallschicht 220 repräsentiert, wobei in dem dielektrischen Material 222 entsprechende Gräben 222t ausgebildet sind, um damit die laterale Position entsprechender Metallleitungen in der Metallschicht 220 zu definieren. Es sollte beachtet werden, dass die Gräben 222t sich bis hinab zu der dielektrischen Barrierenschicht 213 erstrecken, wodurch im Gegensatz zu konventionellen Strategien eine größere Dicke der jeweiligen Metallleitungen definiert wird, da der Graben 222t sich durch die gesamte Dicke des dielektrischen Materials 222 erstreckt. Es sollte ferner beachtet werden, dass der Graben 222t eine spezifische Breite, d. h. eine Ausdehnung senkrecht zur Zeichenebene der 2a besitzt. Somit ist eine laterale Abmessung in der Breitenrichtung des Grabens 222t für eine Zwischenschichtverbindung zu dem Metallgebiet 211 bereits durch den Graben 222t definiert, während eine laterale Ausdehnung in der horizontalen Richtung der 2a noch nicht gegeben ist.
  • Im Hinblick auf Prozessverfahren zur Herstellung des Halbleiterbauelements 200, wie es in 2a gezeigt ist, gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind, mit der Ausnahme, dass die Maske 140 nicht vorgesehen ist. Es sollte beachtet werden, dass die dielektrische Barrierenschicht 213 auf Grundlage konventioneller Rezepte hergestellt werden kann, wobei die Ätzselektivität während des entsprechenden Strukturierungsprozesses zur Bildung der Gräben 222t in dem Material 222 als ausreichend erachtet wird. In anderen Fällen kann die dielektrische Barrierenschicht 213 zumindest in einem oberen Bereich der Form eine geeignete Materialzusammensetzung aufweisen, um damit für die gewünschte Ätzselektivität zu sorgen.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem eine Maske 240 vorgesehen ist, um damit eine laterale Abmessung eines Bereichs der Metallschicht 212 zu definieren, der während eines Ätzprozesses 241 freigelegt wird, um damit eine Zwischenschichtverbindung mit dem Metallgebiet 211 herzustellen. Beispielsweise wird die Maske 240 auf der Grundlage eines geeigneten Materials, etwa Fotolack, Polymermaterialien, die auf der Grundlage von Fotolack strukturiert werden, und dergleichen, hergestellt. Zum Beispiel wird ein geeignetes Fotolackmaterial oder Polymermaterial abgeschieden und dann auf der Grundlage etablierter Lithografieverfahren strukturiert, um die Maske 240 vorzusehen. Im Anschluss daran wird der freiliegende Bereich der dielektrischen Schicht 213 während des Prozesses 241 entfernt, wodurch auch ein gewünschter Bereich des Metallgebiets 211 freigelegt wird. Anschließend wird die Maske 240 entfernt, beispielsweise auf der Grundlage geeigneter plasmaunterstützter Techniken, etwa Plasmaveraschung oder anderen geeigneten Abtragungsverfahren, wozu Trockenätzprozesse und/oder nasschemische Ätzprozesse gehören. Als Nächstes werden die resultierenden Öffnungen in der dielektrischen Schicht 222 mit einem leitenden Material nach Bedarf gefüllt.
  • 2c zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Prozesssequenz, die auch geeignete Aufebnungsverfahren enthalten kann. Somit umfasst das Halbleiterbauelement 200 eine Metallleitung 221 mit entsprechenden Leitungsbereichen 221a und 221b, die eine ähnliche Tiefe oder Dicke aufweisen mit Ausnahme der Dicke der dielektrischen Barrierenschicht 213, die einen Kontakt des Leitungsbereichs 221b mit darunter liegenden Metallgebieten in der Metallschicht 210 verhindert. Andererseits stellt der Leitungsbereich 221a eine Zwischenschichtverbindung 230 bereit zu einem Bereich des Metallgebiets 211, wobei eine laterale Ausdehnung der Leitungsbereiche 221a, 221b auf der Grundlage der Konfiguration der Maske 240 definiert ist, wie dies zuvor erläutert ist. Somit kann das Maskenmaterial 240 zum Festlegen der Größen der Leitungsbereiche 221a, 221b von dem Bauelement 200 nach dem Strukturierungsprozess geformt werden, wodurch die Einführung zusätzlicher Materialien in die dielektrische Schicht 220 verhindert wird. Ferner können gut etablierte dielektrische Barrierenschichten 213 effizient eingesetzt werden, wodurch ein hohes Maß an Kompatibilität mit konventionellen Prozesstechniken erreicht wird.
  • Mit Bezug zu den 3a bis 3h werden weitere anschauliche Verfahren nunmehr beschrieben, in denen die Definition der lateralen Positionen zu der Größe einer Zwischenschichtverbindung auf Grundlage eines Maskenmaterials erfolgt, das in der dielektrischen Schicht während des Strukturierens durch einen Ätzprozess vorgesehen wird.
  • 3a zeigt schematisch ein Halbleiterbauelement 300 in Querschnittsansicht, das ein Substrat 301, das möglicherweise eine Bauteilschicht 302 aufweist, mit einer Metallschicht 310 mit einem dielektrischen Material 312 und einem Metallgebiet 311, gefolgt von einer zweiten Metallschicht 320, aufweist, die in der gezeigten Fertigungsphase aus einer dielektrischen Schicht 322 aufgebaut ist. Im Hinblick auf die bislang beschriebenen Komponenten wählten die gleichen Kriterien, wie sie zuvor mit Bezug zu den Bauelementen 100 und 200 erläutert sind. Eine detailliertere Beschreibung wird daher weggelassen. Das Halbleiterbauelement 300 umfasst ferner eine Maskenschicht 340 und eine Maske 303, die in Form einer Lackmaske und dergleichen vorgesehen sind. Das Halbleiterbauelement 300 kann auf der Grundlage im Wesentlichen der gleichen Prozesstechniken hergestellt werden, wie sie zuvor beschrieben sind, wobei ähnlich zu den mit Bezug zu den 2a bis 2c beschriebenen Verfahren eine Prozesssequenz zum Definieren einer lateralen Position einer Zwischenschichtverbindung weggelassen wird. Als Nächstes wird die Maskenschicht 340 auf der Grundlage eines geeigneten Materials gebildet, das für die gewünschte Ätzselektivität während eines nachfolgenden Strukturierungsprozesses sorgt. Danach wird die Maske 303 auf der Grundlage von Fotolithografie gebildet, wobei auch in diesem Falle weniger einschränkende Prozessbedingungen erreicht werden. Als Nächstes wird das Bauelement 300 einem Ätzprozess 341 zum Strukturieren der Maskenschicht 240 unterzogen, wodurch ein Bereich der dielektrischen Schicht 322 freigelegt wird. Anschließend wird der Ätzprozess 341 fortgesetzt, möglicherweise auf der Grundlage einer anderen Ätzchemie, um damit in das Material der dielektrischen Schicht 322 zu ätzen, während in anderen Fällen die Maske 303 entfernt wird und ein Ätzprozess ausgeführt wird auf der Grundlage der strukturierten Maskenschicht 340, um damit in den freiliegenden Bereich der dielektrischen Schicht 322 zu ätzen.
  • 3b zeigt schematisch das Halbleiterbauelement 300 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem eine weitere Maske 304 vorgesehen wird, die einen entsprechenden Graben 304t darin definiert, der im Wesentlichen der Position und der lateralen Größe einer Metallleitung entspricht, die in Metallschicht 320 zu bilden ist. Während des zuvor ausgeführten Ätzprozesses 341 oder in einem separaten Ätzprozess kann eine entsprechende Öffnung 322v in der dielektrischen Schicht 322 gebildet werden, wobei die Öffnung 322v sich bis zu einer ersten Tiefe 322d erstreckt. Das Halbleiterbauelement 300 wird dann einem Ätzprozess 307 unterzogen, um das Maskenmaterial 340 in der Öffnung 304t, die durch die Maske 304 definiert ist, zu entfernen. Es sollte beachtet werden, dass der Ätzprozess 307 eine beliebige geeignete Ätztechnik repräsentiert, wobei eine ausgeprägte Ätzselektivität der Materialien der Schicht 340 und der dielektrischen Schicht 322 nicht erforderlich ist, sofern die anfängliche Tiefe 322d für eine ausreichende Ätzverzögerung in dem Bereich benachbart zu der Öffnung 322v während eines nachfolgenden gemeinsamen Strukturierungsprozesses sorgt, wie dies nachfolgend beschrieben ist.
  • 3c zeigt schematisch das Halbleiterbauelement 300 nach dem Entfernen des freigelegten Bereichs der Schicht 340 und während der Einwirkung einer Ätzumgebung eines Ätzprozesses 305, um einen entsprechenden Graben zu bilden und um die Öffnung 322v weiter zu vertiefen, so dass diese sich bis hinab zu dem Metallgebiet 311 erstreckt.
  • 3d zeigt schematisch das Halbleiterbauelement 300 nach dem Ende des Ätzprozesses 305 und nach dem Entfernen der Maske 304. Somit erstreckt sich die Öffnung 322v bis hinab zu der Metallschicht 311 und ist damit mit einem Bereich des Metallgebiets 311 verbunden, während ein Graben 322t mit einer Tiefe 321d gebildet ist, die im Wesentlichen durch die anfängliche Tiefe 322d (siehe 3b) definiert ist, möglicherweise in Verbindung mit einer zusätzlichen Ätztiefe, die während des Entfernens der Maske 340 in dem Graben 304t geschaffen wird. Somit kann die Dicke 321t des Grabens 322t durch eine Ätzverzögerung während des Ätzprozesses 305 erzeugt werden, die durch Bereitstellen der Öffnung 322v mit der anfänglichen Tiefe 322d erreicht wird. Es sollte beachtet werden, dass die Dicke 321t auch durch eine entsprechende Ätzzeit beeinflusst werden kann, die zum Öffnen einer Ätzstoppschicht erforderlich ist, etwa der dielektrischen Barrierenschichten 113, 213 (nicht gezeigt), falls diese vorgesehen sind. Im Anschluss daran wird die weitere Bearbeitung fortgesetzt, indem beispielsweise die bleibende Maskenschicht 340 entfernt wird, wenn diese für die weitere Bearbeitung des Bauelements 300 als ungeeignet erachtet wird. Es sollte auch beachtet werden, dass in einigen anschaulichen Fällen die verbleibende Maskenschicht 340 während einer Sequenz zum Öffnen einer entsprechenden dielektrischen Barrierenschicht oder Ätzstoppschicht an der Unterseite der Öffnung 322v entfernt werden kann, wenn ähnliche Materialien für diese Schichten verwendet werden. Anschließend wird ein leitendes Material abgeschieden, wie dies zuvor erläutert ist.
  • Somit kann durch das Vorsehen der Maskenschicht 340 auf der Oberseite der dielektrischen Schicht 322 ein hohes Maß an Prozesskompatibilität mit konventionellen Doppel-Damaszener-Strategien erreicht werden, wobei dennoch eine deutlich verbesserte Gesamtsteuerbarkeit erreicht wird, insbesondere im Hinblick auf das Strukturieren einer Zwischenschichtverbindung.
  • 3e zeigt schematisch das Halbleiterbauelement 300 in einem alternativen Prozessschritt. In diesem Falle ist die Maske 303, beispielsweise in Form einer Lackmaske, über dem dielektrischen Material 322 vorgesehen, ohne dass die Maske 340 gebildet wird. In einigen anschaulichen Verfahrensbeispielen wird ein Prozess 341a ausgeführt, um eine unterschiedliche Ätztiefe während eines nachfolgenden gemeinsamen Ätzprozesses zu erhalten, etwa während des Prozesses 305. Beispielsweise umfasst der Prozess 341a einen ersten Ätzschritt zum Entfernen eines gewissen Bereichs der dielektrischen Schicht 322 auf der Grundlage der Maske 303. Danach wird die Maske 303 entfernt und die Maske 304 (nicht gezeigt) wird gebildet und der Ätzprozess wird fortgesetzt, um damit eine Konfiguration, wie in 3d gezeigt, zu erhalten. Somit kann in diesem Falle eine Ätzverzögerung für den Grabenbereich 322t erreicht werden auf der Grundlage der Maske 303 und des Prozesses 341a, dessen Dauer so eingestellt wird, dass die gewünschte Dicke 321t erreicht wird, wobei auch die Kompatibilität zu den Eigenschaften der Lackmaske 303 bewahrt werden. Das heißt, da die Lackmaske 303 mit geringerer Dicke in modernsten Lithografieverfahren vorgesehen wird, wird der Prozess 341a so gestaltet, dass ein zuverlässiger anisotroper Ätzprozess ohne vollständiges Entfernen der Lackmaske 303 möglich ist.
  • Bei anderer Vorgehensweise, wie in 3e gezeigt ist, umfasst der Prozess 341a einen Prozess zum Modifizieren des Ätzverhaltens der dielektrischen Schicht 322 in lokaler Weise. In einer anschaulichen Verfahrensvariante wird dies auf der Grundlage eines lonenimplantationsprozesses erreicht, um die Molekularstruktur zu modifizieren und damit die Ätzrate während eines nachfolgenden Ätzprozesses zu erhöhen. Beispielsweise sorgt einen lonenbeschuss mit einer geeigneten Sorte, etwa Xenon und dergleichen, für ein modifiziertes Ätzverhalten, zumindest in dem oberen Bereich. Das heißt, entsprechende Prozessparameter, etwa die Implantationsenergie und die Dosis werden in geeigneter Weise eingestellt, um ein Eindringen in nicht freigelegte Bereiche der dielektrischen Schicht 322 zu verhindern, während das Ätzverhalten der freiliegenden Bereiche effizient geändert wird. Im Anschluss daran wird die Maske 303 entfernt und eine weitere Maske, etwa die Maske 304, wird so gebildet, dass die Position und die laterale Größe des Grabens definiert werden.
  • 3f zeigt schematisch das Halbleiterbauelement 300 während des Ätzprozesses 305 auf der Grundlage der Ätzmaske 304, wobei der Prozess 305 zuverlässig auf der Grundlage der dielektrischen Ätzstoppschicht oder Barrierenschicht 313 gestoppt wird. Somit wird die Dicke 321t des Grabens 322 durch die unterschiedliche Ätzrate des Materials 322 entsprechend der Öffnung 322v und der Tiefe 322t definiert.
  • 3g zeigt schematisch das Halbleiterbauelement 300 während eines weiteren Ätzprozesses 307, der auf der Grundlage der Maske 304 ausgeführt wird, oder wobei die Maske 304 entfernt wurde, abhängig von der Prozessstrategie. Der Ätzprozess 307 ist so gestaltet, dass zumindest ein wesentlicher Teil der Schicht 313 entfernt wird, während in einigen anschaulichen Verfahrensvarianten ein Bereich 313a als eine Ätzstoppschicht dient, wenn eine Freilegung des Metallgebiets 311 in dieser Phase als ungeeignet erachtet wird. danach wird die weitere Bearbeitung fortgesetzt, beispielsweise indem die Maske 304 entfernt wird und schließlich Schicht 313a, falls diese vorgesehen ist, abgetragen wird, woran sich der Abscheideprozess anschließt, um eine Metallleitung und eine entsprechende Zwischenschichtverbindung zu bilden, wie dies zuvor erläutert ist.
  • 3h zeigt schematisch das Bauelement 300 in Fällen, in denen der Ätzprozess 305 auf der Grundlage der Maske 304 fortgesetzt wird, nachdem die Schicht 313 geöffnet ist oder zumindest ein oberer Bereich davon geöffnet ist. Das heißt, während der Fortsetzung des Prozesses 305 wird, wie gezeigt, das Material der dielektrischen Schicht 322, das dem Grabenbereich 322t entspricht, weiter abgetragen, bis die dielektrische Schicht 313 freigelegt ist, die auch als eine effiziente Ätzstoppschicht während des Prozesses 305 dienen kann. Danach wird der verbleibende Bereich 303, falls dieser vorgesehen ist, während des Prozesses 305 entfernt, wobei der Bereich 313a, der moderat hohe Ätzselektivität in Bezug auf die Schicht 313 aufweisen kann, oder wobei die deutlich geringere Dicke des Bereichs 313a zu einem zuverlässigen Freilegen des Metallgebiets 313 führt, wobei gleichzeitig andere Bereiche, die der freigelegten Schicht 313 entsprechen, zuverlässig bedeckt bleiben.
  • Folglich kann durch das Fortsetzen des Ätzprozesses 305, wie in 3h gezeigt ist, ein hohes Maß an Prozessgleichmäßigkeit erreicht werden, da die Tiefe des entsprechenden Grabens 322t auf der Grundlage der Schicht 313 bestimmt wird. Danach wird die weitere Bearbeitung fortgesetzt, wie dies zuvor erläutert ist.
  • Im Ergebnis betrifft der hierin offenbarte Gegenstand Verfahren zur Herstellung von Halbleiterbauelementen, in denen Metallgebiete und Metallleitungen mit größerer Dicke zumindest teilweise auf der Grundlage eines Strukturierungsschemas mit weniger anspruchsvollen Bedingungen im Hinblick auf das Strukturieren von Zwischenschichtverbindungen, die eine Verbindung zu tiefer liegender Metallschicht herstellen, gebildet werden. Zu diesem Zweck wird ein Hartmaskenmaterial unter dem dielektrischen Material der zu strukturierenden Metallschicht vorgesehen, wobei das Hartmaskenmaterial auf der Grundlage weniger kritischer Prozessbedingungen strukturiert werden kann, da zumindest in einer lateralen Richtung deutlich größere Abmessungen im Vergleich zu konventionellen Strategien auftreten. Die jeweilige Größe der Zwischenschichtverbindung in der lateralen Richtung kann auf der Grundlage der Grabenmaske für die zu bildende Metallleitung definiert werden, wodurch die Gesamtsteuerbarkeit des Strukturierungsprozesses verbessert wird. In anderen Beispielen, die hierin offenbart sind, wird die Definition der lateralen Position und der Größe der Zwischenschichtverbindung auf der Grundlage eines Maskierungsschemas bewerkstelligt, in welchem die Maske über der zu strukturierenden dielektrischen Schicht gebildet wird, während in noch anderen Beispielen die Definition der lateralen Größe und Position nach dem Grabenätzprozess erfolgt. Im Gegensatz zu konventionellen Konzepten findet der Strukturierungsprozess unter deutlich weniger anspruchsvollen Prozessbedingungen im Hinblick auf die Fotolithografie und das Ätzen statt.

Claims (3)

  1. Verfahren mit: Definieren einer lateralen Position einer Zwischenschichtverbindung (122V) zwischen einer ersten Metallschicht (110) mit einer ersten Metallleitung (111A) und einer zweiten Metallschicht (120) eines Halbleiterbauelements (100) mittels einer ersten Maske (140); Bilden einer zweiten Maske (104), die ausgebildet ist, einen Graben (122T) in einem dielektrischen Material (122) mit kleinem ε, das zwischen der ersten (110) und der zweiten (120) Metallschicht gebildet ist, zu definieren, wobei der Graben (122T) einer zweiten Metallleitung (121) der zweiten Metallschicht (120) entspricht, die lateral senkrecht zur ersten Metallleitung (110) orientiert ist; und Bilden einer Öffnung für die Zwischenschichtverbindung (122V) und des Grabens (122T) in dem dielektrischen Material (122) mit kleinem ε in einem gemeinsamen Ätzprozess, wobei eine laterale Größe der Öffnung in einer Breitenrichtung (125A) der ersten Metallleitung (121) größer ist als eine Breite der ersten Metallleitung (121) und in der zur Breitenrichtung lateral senkrechten Richtung (125B) durch den Graben (122T) festgelegt ist, wobei die erste Maske (140) über der ersten Metallschicht (110) gebildet wird, bevor das dielektrische Material (122) mit kleinem ε über der ersten Maske (140) gebildet wird, und eine dielektrische Barrierenschicht (113) als letzte Schicht der ersten Metallschicht (110) gebildet wird und die erste Maske (140) unter Verwendung der dielektrischen Barrierenschicht (113) als Ätzstoppschicht während des Strukturierens der darüberliegenden ersten Maske (140) gebildet wird.
  2. Verfahren nach Anspruch 1, wobei Bilden der Öffnung (122V) und des Grabens (122T) umfasst: Ätzen des dielektrischen Materials (122) mit kleinem ε und Verwenden der ersten Maske (140) als einen Ätzstopp zum Definieren einer Tiefe des Grabens.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden von Metall in der Öffnung (122V) und dem Graben (122T) in einem gemeinsamen Abscheideprozess.
DE102007052049.4A 2007-10-31 2007-10-31 Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess Active DE102007052049B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102007052049.4A DE102007052049B4 (de) 2007-10-31 2007-10-31 Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess
US12/103,765 US8198190B2 (en) 2007-10-31 2008-04-16 Semiconductor device and method for patterning vertical contacts and metal lines in a common etch process
US13/468,083 US8741770B2 (en) 2007-10-31 2012-05-10 Semiconductor device and method for patterning vertical contacts and metal lines in a common etch process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007052049.4A DE102007052049B4 (de) 2007-10-31 2007-10-31 Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess

Publications (2)

Publication Number Publication Date
DE102007052049A1 DE102007052049A1 (de) 2009-05-07
DE102007052049B4 true DE102007052049B4 (de) 2020-06-18

Family

ID=40514239

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007052049.4A Active DE102007052049B4 (de) 2007-10-31 2007-10-31 Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess

Country Status (2)

Country Link
US (2) US8198190B2 (de)
DE (1) DE102007052049B4 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142508B2 (en) 2011-06-27 2015-09-22 Tessera, Inc. Single exposure in multi-damascene process
US9235674B2 (en) * 2013-03-05 2016-01-12 Oracle International Corporation Mitigating electromigration effects using parallel pillars
US9142456B2 (en) * 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5616961A (en) 1994-03-03 1997-04-01 Kabushiki Kaisha Toshiba Structure of contact between wiring layers in semiconductor integrated circuit device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6180516B1 (en) 1998-11-05 2001-01-30 United Microelectronics Corp, Method of fabricating a dual damascene structure
US6225211B1 (en) 1999-04-29 2001-05-01 Industrial Technology Research Institute Method for making stacked and borderless via structures on semiconductor substrates for integrated circuits
EP1107308A1 (de) 1999-12-03 2001-06-13 Lucent Technologies Inc. Verfahren zur Herstellung einer vielschichtigen Verbindungsstruktur für eine integrierte Schaltung unter Verwendung einer selektiven Deckschicht
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6312874B1 (en) 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
US6316836B1 (en) 1998-05-27 2001-11-13 Nec Corporation Semiconductor device interconnection structure
US20020009675A1 (en) * 1996-02-13 2002-01-24 Nanseng Jeng Method for reducing photolithographic steps in a semiconductor interconnect process
DE10201448A1 (de) 2002-01-16 2003-07-24 Infineon Technologies Ag Durchgangskontakt und Verfahren zum Herstellen desselben
US20040053501A1 (en) 2002-09-18 2004-03-18 Brennan Kenneth D. Self aligned vias in dual damascene interconnect, buried mask approach
DE102004027663A1 (de) 2003-06-05 2005-03-24 International Business Machines Corp. Maskenlose Array-Schutz-Prozessabfolge zur Bildung von Durchgangsverbindungen in magnetischen Zufallszugriffsspeichern
US20050263876A1 (en) * 1998-12-01 2005-12-01 Tri-Rung Yew Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US7163890B2 (en) 2003-09-09 2007-01-16 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device having slope at lower sides of interconnection hole with etch-stop layer
DE102005036548A1 (de) 2005-06-20 2007-01-18 Infineon Technologies Ag Verfahren zur Herstellung eines Kontaktes in einem Flash-Speicher
US7262127B2 (en) 2005-01-21 2007-08-28 Sony Corporation Method for Cu metallization of highly reliable dual damascene structures

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004027633A1 (de) 2004-06-05 2006-01-05 Robert Bosch Gmbh Messfühler zur Bestimmung der Sauerstoffkonzentration im Abgas von Brennkraftmaschinen

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5616961A (en) 1994-03-03 1997-04-01 Kabushiki Kaisha Toshiba Structure of contact between wiring layers in semiconductor integrated circuit device
US20020009675A1 (en) * 1996-02-13 2002-01-24 Nanseng Jeng Method for reducing photolithographic steps in a semiconductor interconnect process
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6316836B1 (en) 1998-05-27 2001-11-13 Nec Corporation Semiconductor device interconnection structure
US6180516B1 (en) 1998-11-05 2001-01-30 United Microelectronics Corp, Method of fabricating a dual damascene structure
US6312874B1 (en) 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
US20050263876A1 (en) * 1998-12-01 2005-12-01 Tri-Rung Yew Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6225211B1 (en) 1999-04-29 2001-05-01 Industrial Technology Research Institute Method for making stacked and borderless via structures on semiconductor substrates for integrated circuits
EP1107308A1 (de) 1999-12-03 2001-06-13 Lucent Technologies Inc. Verfahren zur Herstellung einer vielschichtigen Verbindungsstruktur für eine integrierte Schaltung unter Verwendung einer selektiven Deckschicht
DE10201448A1 (de) 2002-01-16 2003-07-24 Infineon Technologies Ag Durchgangskontakt und Verfahren zum Herstellen desselben
US20040053501A1 (en) 2002-09-18 2004-03-18 Brennan Kenneth D. Self aligned vias in dual damascene interconnect, buried mask approach
DE102004027663A1 (de) 2003-06-05 2005-03-24 International Business Machines Corp. Maskenlose Array-Schutz-Prozessabfolge zur Bildung von Durchgangsverbindungen in magnetischen Zufallszugriffsspeichern
US7163890B2 (en) 2003-09-09 2007-01-16 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device having slope at lower sides of interconnection hole with etch-stop layer
US7262127B2 (en) 2005-01-21 2007-08-28 Sony Corporation Method for Cu metallization of highly reliable dual damascene structures
DE102005036548A1 (de) 2005-06-20 2007-01-18 Infineon Technologies Ag Verfahren zur Herstellung eines Kontaktes in einem Flash-Speicher

Also Published As

Publication number Publication date
US20090108466A1 (en) 2009-04-30
US8741770B2 (en) 2014-06-03
US8198190B2 (en) 2012-06-12
US20120220119A1 (en) 2012-08-30
DE102007052049A1 (de) 2009-05-07

Similar Documents

Publication Publication Date Title
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102009023251B4 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE10250889A1 (de) Verbesserte Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102010063775B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102010038746A1 (de) Reduzierte Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102007052049B4 (de) Verfahren zum Strukturieren von vertikalen Kontakten und Metallleitungen in einem gemeinsamen Ätzprozess
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102006025405B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R016 Response to examination communication
R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LT, TW

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R020 Patent grant now final