TWI449803B - 原位腔室處理與沉積製程 - Google Patents

原位腔室處理與沉積製程 Download PDF

Info

Publication number
TWI449803B
TWI449803B TW098128270A TW98128270A TWI449803B TW I449803 B TWI449803 B TW I449803B TW 098128270 A TW098128270 A TW 098128270A TW 98128270 A TW98128270 A TW 98128270A TW I449803 B TWI449803 B TW I449803B
Authority
TW
Taiwan
Prior art keywords
gas
precursor
group
substrate
ligand
Prior art date
Application number
TW098128270A
Other languages
English (en)
Other versions
TW201016880A (en
Inventor
Paul F Ma
Joseph F Aubuchon
Mei Chang
Steven H Kim
Dien-Yeh Wu
Norman M Nakashima
Mark Johnson
Roja Palakodeti
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201016880A publication Critical patent/TW201016880A/zh
Application granted granted Critical
Publication of TWI449803B publication Critical patent/TWI449803B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Description

原位腔室處理與沉積製程
本發明之實施例大體上是關於半導體與其他電子裝置的處理,特別是關於在氣相沉積製程前用於處理製程腔室與其中之基材之表面的改善的方法。
電子裝置產業以及半導體產業持續致力於更大生產率,同時增加沉積在具有漸增之較大表面面積之基材上之層的均勻性。這些相同因素與新材料結合亦提供基材上每單位面積之較高的電路整合。隨著對增加之電路整合的需要,對較大均勻性、沉積率和關於層特性之製程控制的需求因而上升。在多層積體電路中形成含鉭層,例如,鉭、氮化鉭和氮化鉭矽,對製程控制形成許多挑戰,尤其是關於觸點形成。
從濺射鉭和反應濺射氮化鉭所形成的阻障層已驗證其性質適於用來控制銅擴散。示範的性質包括高導電率、高熱穩定性和抗外來原子擴散。使用物理氣相沉積(PVD)和原子層沉積(ALD)兩種製程來沉積鉭或氮化鉭,其特徵在於小尺寸(例如,約90nm寬)和約5:1的高深寬比。不過,咸信PVD製程在此尺寸和深寬比之下可能已到達極限,而ALD製程則遭受其他問題。
在ALD製程期間遭遇的常見問題包括在相同的ALD製程腔室內完成多次執行後,沉積率和不均勻性的性能缺乏穩定性。包括內部製程套組的製程腔室之老化為沉積頻率和製程腔室之內表面上之沉積薄膜類型的函數。由於引入次要反應,製程腔室之內表面上的沉積薄膜顯著影響ALD製程的沉積特性。隨著在ALD腔室內處理的基材數目增加,次要反應導致沉積率和不均勻性的性能漂移。同樣地,製程腔室之內表面上的沉積薄膜可剝離形成微粒,其最終會污染基材表面。
因此,在氣相沉積製程期間,需要增加基材上之沉積材料之沉積率和均勻性的穩定性,亦需要減少或排除製程腔室內的污染物。
在一實施例中,茲提供一用於處理製程腔室以及在基材表面上沉積材料的方法,其包括在一預處理製程期間,將一製程腔室的內表面和一配置在該製程腔室內的基材暴露至一處理氣體,該處理氣體含有一氫化配體化合物;及隨後在一氣相沉積製程期間,將該基材暴露至一第一前驅物氣體,以在該基材上沉積一材料。在如原子層沉積(ALD)製程或化學氣相沉積(CVD)製程之該氣相沉積製程期間,該基材可循序或同時暴露至該第一前驅物和至少一個第二前驅物氣體。
在許多範例中,該處理氣體內的該氫化配體化合物所具有的化學式為HL,其中L為一配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。該第一前驅物氣體可含有一第一前驅物,其所具有的化學式為ML'x ,其中x為1、2、3、4、5、6或更大,M為一元素,例如,鈦、鋯、鉿、鈮、鉭、鉬、鎢、釕、鈷、鎳、鈀、鉑、銅、鋁、鎵、銦、矽、鍺、錫、磷、砷或銻;且每一L'為一獨立的配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合。
在某些實施例中,該方法提供該氣相沉積製程為ALD製程,且在ALD製程期間,該基材循序暴露至該烷胺金屬前驅物氣體以及該第二前驅物氣體。在其他實施例中,該氣相沉積製程為CVD製程,且在CVD製程期間,該基材同時暴露至該烷胺金屬前驅物氣體以及該第二前驅物氣體。在一範例中,提供一用於處理腔室以及在基材表面上沉積材料的方法,其包括在一預處理製程期間,將一製程腔室的內表面和一在該製程腔室內的基材暴露至一處理氣體,該處理氣體含有一烷基胺化合物;及在一ALD製程期間,將該基材循序暴露至一烷胺金屬前驅物氣體以及至少一個第二前驅物氣體,同時在該基材上沉積一材料。在一範例中,該第二前驅物氣體含有 一氮前驅物,例如,銨,其用來沉積一金屬氮化物材料,例如,氮化鉭。
在某些範例中,該處理氣體含有一氫化配體化合物,例如,烷基胺化合物,其所具有的化學式為H2 NR或HNR'R",其中每一R、R'和R"為獨立的甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其異構物、其衍生物或其組合。該烷基胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其異構物、其衍生物或其組合。該處理氣體可進一步含有至少一個載氣,例如,氨、氫、氮、氬、氦或其組合。在一範例中,該處理氣體含有二甲胺、氨和另一載氣,例如,氬。
在某些實施例中,該烷胺金屬前驅物氣體含有一烷胺金屬前驅物,其所具有的化學式為ML’x ,其中x為1、2、3、4、5、6或更大,M為一金屬或其他元素,例如,鈦、鋯、鉿、鉭、鉬、鎢或矽,且每一配體L'為一獨立的配體,例如,烷胺配體、其包括N(CH3 )2 、N(C2 H5 )2 、N(C3 H7 )2 、N(C4 H9 )2 、N(CH3 )(C2 H5 )、其異構物、其衍生物或其組合。在某些範例中,該金屬或元素M可為矽、鈦、鋯或鉿,而x通常為4。在其他範例中,該烷胺金屬前驅物為一鉭前驅物,連同該金屬M為鉭,而x通常為4或5。鉭前驅物的範例含有五(二甲胺)鉭(PDMAT)、五(二乙胺)鉭、五(乙基甲基胺)鉭、第三丁基亞胺基三(二甲胺)鉭、第三丁基亞胺基三(二乙胺)鉭、第三丁基亞胺 基三(乙基甲基胺)鉭、三級戊基亞胺基三(二甲胺)鉭、三級戊基亞胺基三(二乙胺)鉭、三級戊基亞胺基三(乙基甲基胺)鉭或其衍生物。在一範例中,該鉭前驅物為PDMAT,且該烷基胺化合物氣體含有甲胺或二甲胺。
在其他範例中,該處理氣體內的該氫化配體化合物可為一醇化合物,其所具有的化學式為ROH,其中R為甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物。該醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其異構物、其衍生物或其組合。在該氫化配體化合物為一醇的範例中,該第一前驅物可含有一烷氧基配體,例如,OCH3 、OC2 H5 、OC3 H7 、OC4 H9 、其異構物或其衍生物。在其他範例中,該氫化配體化合物的該配體L可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物,且該第一前驅物的該配體L'可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物。
該製程腔室通常含有一蓋體組件和一腔室主體,其在該預處理製程期間可獨立加熱至位於從約30℃至約100℃之範圍內的溫度,較佳的是從約40℃至約80℃。該蓋體組件和該腔室主體的內表面在該預處理製程期間可暴露至該烷基胺化合物氣體。該預處理製程可持續一段時間,其位於從約5秒至約60秒內的範圍,較佳的是從約15秒至約40秒,更佳的是從約10秒至約30秒。
在該預處理製程期間處理該製程腔室的內容積表面幫助增加遍及基材表面之該沉積材料的均勻性(或降低不均勻性)-和未實施該預處理製程相反。該沉積材料遍及該基材表面的的不均勻性可為約12%或更小,較佳的是約10%或更小,更佳的是約8%或更小,舉例來說,約6%或更小。
在一範例中,提供一用於處理一腔室與在基材表面上沉積一材料的方法,其包括以下步驟:將一製程腔室的內表面與配置在該製程腔室內部之一基材暴露至一具有一連續流的載氣;在一預處理製程期間,引入一含有甲胺或二甲胺之處理氣體至該連續流載氣,以使該製程腔室的內表面和該基材暴露至該處理氣體。該方法進一步提供在一ALD製程期間,交替或循序脈衝一鉭前驅物氣體和一氮前驅物氣體至該連續流載氣中,以循序將該基材暴露至該鉭和氮前驅物氣體,同時沉積一氮化鉭材料在該基材上。在一範例中,該鉭前驅物氣體含有PDMAT,且該氮前驅物氣體含有氨。
本發明之實施例提供一在一氣相沉積製程期間用於原位處理一製程腔室之內表面以及在該製程腔室內部之一基材上沉積材料的方法。在如原子層沉積(ALD)或化學氣相沉積(CVD)之該氣相沉積製程期間,該基材可循序或 同時暴露至一第一前驅物和一第二前驅物氣體。在一實施例中,於一預處理製程期間,該製程腔室的內表面和該基材可暴露至一處理氣體,其含有一試劑,例如,氫化配體化合物。該氫化配體化合物可與從後續氣相沉積製程期間使用之金屬有機前驅物所形成的自由配體相同。該自由配體通常在沉積製程期間藉由氫化或熱解來形成。在一範例中,於實施氣相沉積製程前,該製程腔室和基材在一預處理製程期間暴露至一烷基胺化合物(例如,二甲胺);該氣相沉積製程使用金屬有機化學前驅物,其可具有烷胺配體,例如,五(二甲胺)鉭(PDMAT,((CH3 )2 N)5 Ta)。
在許多範例中,該處理氣體含有一該氫化配體化合物,其具有HL的化學式,其中L為一配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。該化學前驅物氣體含有一化學前驅物,其具有ML'x 的化學式,其中x為1、2、3、4、5、6或更大,M為一元素,例如,鈦、鋯、鉿、鈮、鉭、鉬、鎢、釕、鈷、鎳、鈀、鉑、銅、鋁、鎵、銦、矽、鍺、錫、磷、砷或銻,且每一L'為一獨立的配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合。
在某些實施例中,該方法提供該氣相沉積製程為ALD製程,且在ALD製程期間,該基材循序暴露至該烷胺金 屬前驅物氣體以及另一化學前驅物氣體。在其他實施例中,該氣相沉積製程為CVD製程,且在CVD製程期間,該基材同時暴露至該烷胺金屬前驅物氣體以及另一化學前驅物氣體。在一範例中,該方法提供在一預處理製程期間,將一製程腔室之內表面和該製程腔室內部之一基材暴露至一處理氣體,其含有一烷基胺化合物;及在ALD製程期間,循序將該基材暴露至一烷胺金屬前驅物氣體和至少一個額外的化學前驅物氣體,同時在該基材上沉積一材料。在一範例中,該額外的化學前驅物氣體含有一氮前驅物,例如,氨,其用來沉積一金屬氮化物材料,例如,氮化鉭。
在某些範例中,該處理氣體含有一烷基胺化合物,其所具有的化學式為H2 NR或HNR'R",其中每一R、R'和R"為獨立的甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其異構物、其衍生物或其組合。該烷基胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其異構物、其衍生物或其組合。該處理氣體可進一步含有至少一個載氣,例如,氨、氫、氮、氬、氦或其組合。在一範例中,該處理氣體含有二甲胺、氨和另一載氣,例如,氬。
在某些實施例中,該烷胺金屬前驅物氣體含有一烷胺金屬前驅物,其所具有的化學式為ML’x ,其中x為1、2、3、4、5、6或更大,M為一金屬或其他元素,例如,鈦、鋯、鉿、鉭、鉬、鎢或矽,且每一配體L'為一獨立的配 體,例如,烷胺配體、其含有N(CH3 )2 、N(C2 H5 )2 、N(C3 H7 )2 、N(C4 H9 )2 、N(CH3 )(C2 H5 )、其異構物、其衍生物或其組合。在某些範例中,該金屬或元素M可為矽、鈦、鋯或鉿,而x通常為4。在其他範例中,該烷胺金屬前驅物為一鉭前驅物,連同該金屬M為鉭,而x通常為4或5。鉭前驅物的範例包括五(二甲胺)鉭、五(二乙胺)鉭、五(乙基甲基胺)鉭、第三丁基亞胺基三(二甲胺)鉭、第三丁基亞胺基三(二乙胺)鉭、第三丁基亞胺基三(乙基甲基胺)鉭、三級戊基亞胺基三(二甲胺)鉭、三級戊基亞胺基三(二乙胺)鉭、三級戊基亞胺基三(乙基甲基胺)鉭或其衍生物。在一範例中,該鉭前驅物為PDMAT,且該烷基胺化合物氣體含有甲胺或二甲胺。
在其他範例中,該處理氣體內部的該氫化配體化合物可為一醇化合物,其所具有的化學式為ROH,其中R為甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物。該醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其異構物、其衍生物或其組合。在該氫化配體化合物為一醇的範例中,該化學前驅物可含有一烷氧基配體,例如,OCH3 、OC2 H5 、OC3 H7 、OC4 H9 、其異構物或其衍生物。在其他範例中,該氫化配體化合物的該配體L可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物,且該化學前驅物的該配體L'可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物。
該製程腔室通常含有一蓋體組件和一腔室主體,其可在該預處理製程期間獨立加熱至從約30℃至約100℃之範圍內的溫度,較佳的是從約40℃至約80℃。該蓋體組件和該腔室主體的內表面在該預處理製程期間可暴露至該烷基胺化合物氣體。該預處理製程可持續一段時間,其位於從約5秒至約60秒內的範圍,較佳的是從約15秒至約40秒,更佳的是從約10秒至約30秒。
在一範例中,提供一用於處理腔室以及在基材上沉積材料的方法,其包括以下步驟:將一製程腔室之內表面和一配置在該製程腔室內部之基材暴露至一具有一連續流之載氣;在一預處理製程期間,將一含有甲胺或二甲胺的處理氣體引入該連續流載氣,以使該製程腔室之內表面和該基材暴露至該處理氣體。該方法進一步提供在一ALD製程期間,交替或循序脈衝一鉭前驅物氣體和一氮前驅物氣體至該連續流載氣中,以循序將該基材暴露至該鉭和氮前驅物氣體,同時沉積一氮化鉭材料在該基材上。在一範例中,該鉭前驅物氣體含有PDMAT,且該氮前驅物氣體含有氨。
第1圖繪示根據此處所述之一實施例之用於沉積氮化鉭材料之ALD製程100的順序流程圖。ALD製程100提供供給該製程腔室之載氣的恆定流量,並使其中之基材暴露至此載氣恆定流量。在步驟102,該製程腔室可加熱並加壓至一預定溫度和壓力。同樣地,在步驟102期間,該製程腔室及/或基材可暴露至一預處理製程。該 預處理製程提供使該製程腔室之內表面與該基材暴露至一處理氣體,其含有一氫化配體化合物,較佳的是,一烷基胺化合物,例如,甲胺、二甲胺或其衍生物。
含有該氫化配體化合物之該處理氣體可在具有或不具有一載氣的情況下暴露至該製程腔室之內表面或該基材。在許多範例中,該處理氣體含有至少一個載氣和該氫化配體化合物。該處理氣體之該載氣可為氨、氬、氮、氫、氦或其混合物。在一替代實施例中(例如,用於形成氧化物或其他材料),該處理氣體之該載氣可含有氧、氧化亞氮或空氣。
在步驟102期間,該製程腔室和該基材可暴露至該處理氣體,其具有一位於從約0.5slm至約20slm之範圍內的氣體流速,較佳的是從約1slm至約16slm,更佳的是從約2slm至約8slm,例如,約4slm。該處理氣體可藉由使該載氣流過含有該氫化配體化合物之安瓿或起泡器而形成。或者,該處理氣體可藉由使該氫化配體化合物與該載氣共流而形成。該氫化配體化合物可具有位於由約5sccm至約1000sccm之範圍內的氣體流速,較佳的是從約25sccm至約500sccm,更佳的是從約50sccm至約150sccm,例如,約100sccm。在一範例中,該處理氣體含有一烷基胺化合物,例如,甲胺、二甲胺或其衍生物,和至少一個載氣。在一範例中,該處理氣體可含有二甲胺,其具有約100sccm的流速;及氬,其具有約4slm的流速。在另一範例中,該處理氣體可含 有二甲胺,其具有約20sccm的流速;氨,其具有約1slm的流速;及氬,其具有約8slm的流速。該製程腔室及/或基材可暴露至含有該氫化配體或其他試劑的處理氣體達一段時間,其位於從約2秒至約120秒的範圍內,較佳的是從約5秒至約60秒,舉例來說,約20秒或約40秒。
在該預處理製程和該沉積製程期間,該製程腔室和該基材可維持在近乎低於所選擇之化學前驅物(例如PDMAT)的熱分解溫度。該製程腔室、該基材及/或該基材基座在該預處理製程和該沉積製程期間的示範溫度可位於從約100℃至約500℃的範圍內,較佳的是從約200℃至約400℃,更佳的是從約250℃至約300℃。該製程腔室可含有一腔室主體和一腔室蓋體,其各自可獨立加熱至位於從約25℃至約300℃之範圍內的溫度,較佳的是從約30℃至約100℃,更佳的是從約40℃至約80℃。該製程腔室可具有位於從約1mTorr至約100Torr之範圍內的內壓,較佳的是從約1Torr至約50Torr,更佳的是從約5Torr至約20Torr,例如,約10Torr。
在步驟104中,氮化鉭層的形成敘述為將載氣流起始進入該製程腔室與遍及該基材。在步驟106中,提供鉭前驅物脈衝進入該製程腔室中。鉭前驅物脈衝進入載氣流中。在基材上吸附單層的鉭前驅物。剩餘的鉭前驅物可藉由淨化氣流及/或真空系統拉力來移除。在步驟108期間,載氣連續暴露至該基材,並將一氮前驅物脈衝加 入載氣中。該氮前驅物,例如,氨,與吸附的鉭前驅物起反應,以在該基材上形成一氮化鉭層。剩餘的氮前驅物與任何副產品(例如,有機化合物)可藉由淨化氣流及/或真空系統拉力來移除。在步驟110,如果達成所需的氮化鉭層厚度,則沉積製程結束於步驟112。不過,在達成所需的氮化鉭層厚度之前,通常會重複多個步驟104至110的循環。在一範例中,PDMAT和氨循序脈衝40個循環,以沉積具有約20Å厚度的薄膜。
或者,針對製程100,氮化鉭層的形成可起始於在基材上吸收單層的氮前驅物,隨後則是單層的鉭前驅物。此外,在其他範例中,介於反應物氣體及/或淨化氣體之脈衝間的單獨幫浦排空可用於防止反應物氣體混合。
在流入ALD製程腔室之前,PDMAT前驅物可在安瓿、氣化器、起泡器或類似容器內加熱。PDMAT可加熱到至少30℃的溫度,較佳的是位於從約45℃至約90℃的範圍內,更佳的是從約50℃至約80℃,例如,約73℃。預熱的PDMAT前驅物比室溫(約20℃)下的PDMAT前驅物更徹底留存在載氣中。為了將PDMAT前驅物加熱至所需溫度,安瓿、輸送線與安瓿及/或輸送線上的閥可各自獨立加熱至位於從約25℃至約300℃之範圍內的溫度,較佳的是從約50℃至約150℃,更佳的是從約70℃至約120℃。在一範例中,安瓿之側壁可加熱到約85℃,輸送線可加熱到約100℃,且閥可加熱到約95℃。
為了清楚而容易的敘述,該方法將進一步敘述為與使用ALD製程沉積氮化鉭阻障層相關。例如PDMAT之鉭前驅物或含鉭化合物的脈衝可引入製程腔室中。可藉助載氣或淨化氣體提供鉭前驅物,載氣或淨化氣體包括,但不受限於,氦、氬、氮、氫、成形氣體或其混合物。氮前驅物或含氮化合物(例如氨)的脈衝亦引入製程腔室中。載氣可用於輸送氮前驅物。在一實施態樣中,淨化氣流可藉由一氣源(例如,槽或內部)連續提供,以作用如鉭前驅物和氮前驅物之脈衝間的淨化氣體,並作用如鉭前驅物和氮前驅物之脈衝期間的載氣。在其他實施態樣中,一淨化氣體脈衝可在鉭前驅物的每一脈衝和氮前驅物的每一脈衝後提供。同樣地,在每一沉積步驟或半反應期間,恆定的淨化氣體或載氣可流過製程腔室。
在一範例中,基材可加熱至位於從約250℃至約300℃之範圍內的溫度,且腔室內壓可位於從約5Torr至約15Torr的範圍內。基材可暴露至氬載氣,其具有位於從約1000sccm至約3000sccm之範圍內的流速,較佳的是約1500sccm。鉭前驅物氣體是藉由使氬載氣流過具有預熱PDMAT的安瓿而形成,其速度從約200sccm至約2000sccm,較佳的是約500sccm。PDMAT維持在約73℃。含有PDMAT的製程氣體供給基材表面達一段時間,其位於從約0.1秒至約3.0秒的範圍內,較佳的是從約0.5秒至約1.5秒,舉例來說,約1秒。
在基材暴露至PDMAT脈衝之後,氬載氣流可繼續淨化達一段時間,其位於從約0.2秒至約5.0秒的範圍內,較佳的是從約0.25秒至約1.5秒,舉例來說,約0.5秒。在此淨化步驟期間,一真空系統移除任何殘餘的PDMAT。隨後,將含有氨之含氮製程氣體的脈衝供給基材表面。製程氣體可包括載氣中的氮前驅物,或可單獨為氮前驅物。在一範例中,製程氣體含有氨和氮。含有氨的製程氣體以從約1000sccm至約3000sccm的速度輸送,較佳的是約1500sccm,並供給基材表面達一段時間,其位於從約0.1秒至約3.0秒的範圍內,較佳的是從約0.5秒至約1.5秒,舉例來說,約1秒。在含有氨的製程氣體脈衝後,氬載氣流可繼續達一段時間,其位於從約0.2秒至約5.0秒的範圍內,較佳的是從約0.25秒至約1.5秒,舉例來說,約0.5秒。真空系統移除任何剩餘的氮前驅物及/或任何在反應期間形成的副產品。
重複ALD循環直到達到沉積材料(例如氮化鉭)的預定厚度,例如,位於從約5Å至約200Å的範圍內,較佳的是從約10Å至約30Å,例如,對阻障層來說約20Å。在預處理製程期間處理製程腔室的內容積表面幫助增加遍及基材表面之沉積材料的均勻性(或降低不均勻性)-和未實施預處理製程相反。遍及基材表面之沉積材料的不均勻性可為約12%或更小,較佳的是約10%或更小,更佳的是約8%或更小,舉例來說,約6%或更小。
第2圖繪示根據此處所述之一實施例之用於沉積氮化鉭材料之ALD製程200的順序流程圖。ALD製程200提供供給該製程腔室之載氣的恆定流量,並使其中之基材暴露至此載氣恆定流量。在步驟202,該製程腔室可加熱並加壓至一預定溫度和壓力。在步驟202期間,該製程腔室及/或基材可暴露至一預處理製程。該預處理製程提供使該製程腔室之內表面與該基材暴露至一處理氣體,其含有一氫化配體化合物,較佳的是,一烷基胺化合物,例如,甲胺、二甲胺或其衍生物。
含有該氫化配體化合物之該處理氣體可在具有或不具有一載氣的情況下暴露至該製程腔室之內表面或該基材。在許多範例中,該處理氣體含有至少一個載氣和該氫化配體化合物。該處理氣體之該載氣可為氨、氬、氮、氫、氦或其混合物。在一例如用於形成氧化物或其他材料的替代實施例中,該處理氣體之該載氣可包括氧、氧化亞氮或空氣。
在步驟202期間,該製程腔室和該基材可暴露至該處理氣體,其具有一位於從約0.5slm至約20slm之範圍內的氣體流速,較佳的是從約1slm至約16slm,更佳的是從約2slm至約8slm,例如,約4slm。該處理氣體可藉由使該載氣流過含有該氫化配體化合物之安瓿或起泡器而形成。或者,該處理氣體可藉由使該氫化配體化合物與該載氣共流而形成。該氫化配體化合物可具有位於由約5sccm至約1000sccm之範圍內的氣體流速, 較佳的是從約25sccm至約500sccm,更佳的是從約50sccm至約150sccm,例如,約100sccm。在一範例中,該處理氣體含有一烷基胺化合物,例如,甲胺、二甲胺或其衍生物,和至少一個載氣。在一範例中,該處理氣體可含有二甲胺,其具有約100sccm的流速;及氬,其具有約4slm的流速。在另一範例中,該處理氣體可含有二甲胺,其具有約20sccm的流速;氨,其具有約1slm的流速;及氬,其具有約8slm的流速。該製程腔室及/或基材可暴露至含有該氫化配體或其他試劑的處理氣體達一段時間,其位於從約2秒至約120秒的範圍內,較佳的是從約5秒至約60秒,舉例來說,約20秒或約40秒。
在一實施例中,於步驟204期間,將淨化氣體的第一脈衝供給製程腔室並遍及基材。在步驟204和208期間,一真空系統從製程腔室移除氣體。在步驟206期間,基材暴露至鉭前驅物的脈衝。PDMAT吸附至基材形成一單層。在步驟208期間,淨化氣體的第二脈衝移除過量的PDMAT和任何氣體污染物。在步驟210期間,將氮前驅物脈衝至腔室中並遍及基材。氮前驅物與吸附的PDMAT起反應,以形成一含鉭材料,例如,氮化鉭。在步驟212,如果達成所需的氮化鉭層厚度,則沉積製程結束於步驟214。不過,在達成所需的氮化鉭層厚度之前,通常會重複多個步驟204至212的循環。在一範例中,PDMAT和氨循序脈衝20個循環,以沉積具有約10Å厚度的薄膜。
在該預處理製程和該沉積製程期間,該製程腔室和該基材可維持在近乎低於所選擇之化學前驅物(例如,PDMAT)的熱分解溫度。該製程腔室、該基材及/或該基材基座在該預處理製程和該沉積製程期間的示範溫度可位於從約100℃至約500℃之範圍內的溫度,較佳的是從約200℃至約400℃,更佳的是從約250℃至約300℃。該製程腔室可含有一腔室主體和一腔室蓋體,其各自可獨立加熱至位於從約25℃至約300℃之範圍內的溫度,較佳的是從約30℃至約100℃,更佳的是從約40℃至約80℃。該製程腔室可具有位於從約1mTorr至約100Torr之範圍內的內壓,較佳的是從約1Torr至約50Torr,更佳的是從約5Torr至約20Torr,例如,約10Torr。
如上文所述,鉭前驅物氣體可藉由使氬載氣流過具有預熱PDMAT的安瓿而形成。基材可暴露至含有PDMAT的鉭前驅物氣體達一段時間,其位於從約0.1秒至約3.0秒的範圍內,較佳的是從約0.5秒至約1.5秒,更佳的是約1秒。在鉭前驅物氣體脈衝之後,可將淨化氣體的脈衝注入製程腔室,且真空系統從製程腔室的內容積移除不同氣體達一段時間,其位於從約0.2秒至約5.0秒的範圍內,較佳的是從約0.25秒至約1.5秒,更佳的是約0.5秒。隨後,基材暴露至氮前驅物氣體或含有氮之含氮製程氣體的脈衝。氮前驅物氣體可包括載氣中的氮前驅物或可單獨為氮前驅物。含有氨的氮前驅物氣體可以從約1000sccm至約3000sccm的速度輸送,較佳的是約1500 sccm,並暴露至基材達一段時間,其位於從約0.1秒至約3.0秒的範圍內,較佳的是從約0.5秒至約1.5秒,更佳的是約1秒。在氮前驅物氣體脈衝之後,可將淨化氣體的另一脈衝注入製程腔室,且真空系統從製程腔室的內容積移除不同氣體達一段時間,其位於從約0.2秒至約5.0秒的範圍內,較佳的是從約0.25秒至約1.5秒,更佳的是約0.5秒。重複ALD循環直到達到含鉭層(例如,氮化鉭)的預定厚度,該厚度例如位於從約5Å至約200Å的範圍內,較佳的是從約10Å至約30Å,例如,約20Å。
每一含鉭氣體脈衝、含氮氣體脈衝和介於反應物脈衝間之淨化氣體脈衝的持續時間可變,並取決於所用之沉積腔室和耦合至此之真空系統的體積容積。舉例來說,(1)氣體之較低的腔室壓力將需要較長的脈衝時間;(2)較低的氣體流速將需要較長時間使腔室壓力上升並穩定,且需要較長的脈衝時間;及(3)大容積的腔室將耗費較長時間填滿,需要較長時間使腔室壓力穩定,從而需要較長的脈衝時間。類似地,介於每一脈衝之間的時間亦為可變,並取決於製程腔室和耦合至此之真空系統的體積容積。一般而言,含鉭氣體或含氮氣體的脈衝持續時間必須足夠長,以用於單層化合物的吸附或反應。在一實施態樣中,當含氮氣體的脈衝進入時,含鉭氣體的脈衝仍可在腔室中。一般而言,淨化氣體及/或幫浦排空 的持續時間必須足夠長,以防止含鉭氣體與含氮氣體的脈衝在反應區中混合在一起。
在另一實施例中,於其上之基材上形成其他材料前,製程腔室可在預處理製程期間暴露至一處理氣體。在一範例中,該氫化配體化合物可為一烷基胺化合物,例如,甲胺或二甲胺,而PDMAT可用作鉭前驅物,以形成其他含鉭材料,例如,氧化鉭、氮化矽鉭、氮化硼鉭、氮化磷鉭、氧氮化鉭或鉭矽酸鹽。一形成三級或四級元素含鉭材料之製程的更詳細敘述在共同受讓的美國專利第7,081,271號中敘述,其全文併入於此以供參照。
製程100和200可修改,以獲得三級含鉭材料。舉例來說,如果基材在含有鉭前驅物氣體和氮前驅物脈衝之ALD循環的額外步驟時暴露至矽前驅物脈衝,則可形成氮化矽鉭材料。類似地,如果基材在含有鉭前驅物氣體和氮前驅物脈衝之ALD循環的額外步驟時暴露至氧前驅物脈衝,則可形成氧氮化鉭材料。在另一範例中,如果基材在ALD循環期間暴露至鉭前驅物氣體脈衝、矽前驅物脈衝和氧前驅物脈衝,則可形成鉭矽酸鹽材料。在另一範例中,如果基材在ALD循環期間暴露至鉭前驅物氣體脈衝和氧前驅物脈衝,則可形成氧化鉭材料。在另一範例中,如果基材在ALD循環期間暴露至鉭前驅物氣體脈衝、氮前驅物脈衝和磷前驅物(例如,膦)脈衝,則可形成氮化磷鉭材料。在另一範例中,如果基材在ALD循 環期間暴露至鉭前驅物氣體脈衝、氮前驅物脈衝和硼前驅物(例如,二硼烷)脈衝,則可形成氮化硼鉭材料。
在一實施例中,可形成或沉積氮化鉭材料,其具有化學式TaNx ,其中x位於從約0.4至約2.0的範圍內。在某些範例中,氮化鉭材料可形成為具有經驗式TaN、Ta3 N5 、Ta2 N或Ta6 N2.57 。氮化鉭材料可沉積為非晶或結晶材料。在氮化鉭材料的沉積期間,ALD製程提供化學計量控制。化學計量可藉由沉積製程後的不同程序改變,例如,當Ta3 N5 熱退火以形成TaN時。可在沉積期間改變前驅物比率,以控制氮化鉭材料的化學計量。
在上文範例中,不同的鉭材料,例如,氮化鉭,可藉由ALD製程形成,其利用鉭前驅物PDMAT和氫化配體化合物二甲胺。不過,其他化學前驅物和氫化配體化合物係於本發明實施例的範圍內。
用在氣相沉積製程之化學前驅物的一個重要特徵在於具有有利的蒸氣壓。化學前驅物在周圍溫度及/或壓力下可具有氣態、液態或固態。不過,在氣相沉積系統內部,前驅物揮發為氣體,並輸送至ALD或CVD製程腔室。化學前驅物通常在輸送至製程腔室前加熱。
鉭前驅物可含有配體,例如,烷胺基、烷基亞胺基、環戊二烯基、烷基環戊二烯基、戊二烯基、烷基、烯、炔、烷氧基、其異構物、其衍生物或其組合。用作鉭前驅物的烷胺鉭化合物包括(RR’N)5 Ta,其中每一R或R’為獨立的氫、甲基、乙基、丙基或丁基。用作鉭前驅物 的烷基亞胺基鉭化合物包括(RN)(R’R”N)3 Ta,其中每一R、R’或R”為獨立的氫、甲基、乙基、丙基、丁基或戊基。
示範的鉭前驅物含有五(二甲胺)鉭(PDMAT,(Me2 N)5 Ta)、五(二乙胺)鉭(PDEAT,(Et2 N)5 Ta)、五(乙基甲基胺)鉭(PEMAT,(EtMeN)5 Ta)、第三丁基亞胺基三(二甲胺)鉭(TBTDMT,(t BuN)Ta(NMe2 )3 )、第三丁基亞胺基三(二乙胺)鉭(TBTDET,(t BuN)Ta(NEt2 )3 )、第三丁基亞胺基三(乙基甲基胺)鉭(TBTEMT,(t BuN)Ta(NMeEt)3 )、三級戊基亞胺基三(二甲胺)鉭(TAIMATA,(t AmylN)Ta(NMe2 )3 )、三級戊基亞胺基三(二乙胺)鉭((t AmylN)Ta(NEt2 )3 )、三級戊基亞胺基三(乙基甲基胺)鉭((t AmylN)Ta(NEtMe)3 )、雙(環戊二烯基)三氫化鉭(Cp2 TaH3 )、雙(甲基環戊二烯基)三氫化鉭((MeCp)2 TaH3 )、雙(五甲基環戊二烯基)三氫化鉭((Me5 Cp)2 TaH3 )、甲氧鉭((MeO)5 Ta)、乙氧鉭((EtO)5 Ta)、丙氧鉭((PrO)5 Ta)、丁氧鉭((BuO)5 Ta)、其異構物或其衍生物。
此處使用「TAIMATA」來敘述三級戊基亞胺基三(二甲胺)鉭,其具有化學式(t AmylN)Ta(NMe2 )3 ,其中為t Amyl為三級戊基(tert-amyl)群(C5 H11 -或CH3 CH2 C(CH5 )2 -)。在一實施例中,一含鉭氣體可藉由在氣化器、起泡器或安瓿中加熱液態TAIMATA前驅物到至少30℃的溫度來形成,較佳的是加熱到位於從約50℃至約80℃之範圍內的 溫度。一載氣可流過加熱的TAIMATA各處或起泡通過加熱的TAIMATA,以形成含鉭氣體。
除了鉭前驅物之外,其他化學前驅物亦可用在氣相沉積製程中,如此處實施例所述。亦可用在氣相沉積(例如,ALD或CVD)製程中之示範的化學前驅物包括鈦前驅物、鎢前驅物、鉿前驅物、鋯前驅物、鋁前驅物、鈷前驅物、釕前驅物、銅前驅物、矽前驅物、氮前驅物、氧前驅物和其他化學前驅物。可形成或沉積的材料包括數種金屬、氮化物、氧化物、矽化物,其包括金屬鉭、氮化鉭、氧化鉭、氧氮化鉭、矽化鉭、氮矽化鉭、金屬鈦、氮化鈦、氧化鈦、氧氮化鈦、矽化鈦、氮矽化鈦、金屬鎢、氮化鎢、氧化鎢、硼氮化鎢、矽化鎢、氮矽化鎢、硼化鎢、金屬鉿、氮化鉿、氧化鉿、氧氮化鉿、矽化鉿、氮化矽鉿、鉿矽酸鹽、氧氮化鉿矽、金屬鋯、氮化鋯、氧化鋯、氧氮化鋯、矽化鋯、氮化鋯矽、鋯矽酸鹽、氮氧化鋯矽、金屬鋁、氮化鋁、氧化鋁、氧氮化鋁、矽化鋁、氮化鋁矽、鋁矽酸鹽、氮氧化鋁矽、金屬鈷、矽化鈷、金屬釕、金屬銅、銅合金、其衍生物、其合金或其組合。
在另一實施例中,處理氣體含有一氫化配體化合物,其具有HL的化學式,其中L為一配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。在某些範例中,例如,當金屬前驅物為烷胺金屬前驅物時,處 理氣體含有一氫化配體化合物,其可為烷基胺化合物,並具有H2 NR或HNR'R"的化學式,其中每一R、R'和R"為獨立的甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其異構物、其衍生物或其組合。該烷基胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其異構物、其衍生物或其組合。在某些範例中,該處理氣體進一步含有至少一個載氣,例如,氨、氫、氮、氬、氦或其組合。在一範例中,該處理氣體含有二甲胺、氨和另一載氣,例如,氬。
在其他範例中,該處理氣體含有氫化配體化合物,其可為一醇化合物,且具有化學式ROH,其中R為甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物。該醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其異構物、其衍生物或其組合。在其他範例中,化學前驅物含有一烷氧基配體,例如,OCH3 、OC2 H5 、OC3 H7 、OC4 H9 、其異構物或其衍生物。
在其他實施例中,前驅物氣體含有一化學前驅物,其具有ML'x 的化學式,其中x為1、2、3、4、5、6或更大,M為一元素,例如,鈦、鋯、鉿、鈮、鉭、鉬、鎢、釕、鈷、鎳、鈀、鉑、銅、鋁、鎵、銦、矽、鍺、錫、磷、砷或銻,且每一L'為一獨立的配體,例如,烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合。
在一實施例中,氫化配體化合物的配體L可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物,且該化學前驅物的該配體L'可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物。
在某些範例中,前驅物氣體含有一烷胺基金屬前驅物氣體,其含有一烷胺基金屬前驅物,且具有的化學式ML’x ,其中x為1、2、3、4、5、6或更大,M為一金屬或其他元素,例如,鈦、鋯、鉿、鉭、鉬、鎢或矽,且每一配體L'為一獨立的配體,例如,烷胺配體、其包括N(CH3 )2 、N(C2 H5 )2 、N(C3 H7 )2 、N(C4 H9 )2 、N(CH3 )(C2 H5 )、其異構物、其衍生物或其組合。在某些範例中,金屬/元素M可為矽、鈦、鋯或鉿,而x通常為4。在其他範例中,烷胺基金屬前驅物為鉭前驅物,連同金屬M為鉭,而x通常為4或5。
在其他範例中,氫化配體化合物可為一醇化合物,其所具有的化學式為ROH,其中R為甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物。該醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其異構物、其衍生物或其組合。在其他範例中,第一前驅物含有一烷氧基配體,例如,OCH3 、OC2 H5 、OC3 H7 、OC4 H9 、其異構物或其衍生物。在其他範例中,氫化配體化合物的配體L可為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物,且第一前驅物的配體L'可為環戊二烯 基、烷基環戊二烯基、戊二烯基、吡咯基、其異構物或其衍生物。
如此處所述用於沉積材料的鈦前驅物包括四(二甲胺基)鈦(TDMAT)、四(乙基甲胺基)鈦(TEMAT)、四(二乙胺基)鈦(TDEAT)或其衍生物。
如此處所述用於沉積材料的鎢前驅物包括雙(第三丁基亞胺基)-雙(二甲胺基)鎢((t BuN)2 W(NMe2 )2 )、雙(第三丁基亞胺基)-雙(二乙胺基)鎢((t BuN)2 W(NEt2 )2 )、雙(第三丁基亞胺基)-雙(乙基甲胺基)鎢((t BuN)2 W(NEtMe)2 )或其衍生物。
用作鉿前驅物的烷胺鉿化合物包括(RR’N)4 Hf,其中每一R或R’為獨立的氫、甲基、乙基、丙基、丁基、戊基或其異構物。如此處所述用於沉積材料的鉿前驅物包括四(二乙胺基)鉿((Et2 N)4 Hf,TDEAH)、四(二甲胺基)鉿((Me2 N)4 Hf,TDMAH)、四(乙基甲胺基)鉿((EtMeN)4 Hf,TEMAH)、四甲氧鉿((MeO)4 Hf)、四乙氧鉿((EtO)4 Hf)、四丙氧鉿((PrO)4 Hf)、四丁氧鉿((BuO)4 Hf)、其異構物或其衍生物。其他鉿前驅物可包括氯化鉿(HfCl4 )、碘化鉿(HfI4 )、(t BuC5 H4 )2 HfCl2 、(C5 H5 )2 HfCl2 、(EtC5 H4 )2 HfCl2 、(Me5 C5 )2 HfCl2 、(Me5 C5 )HfCl3 、(i PrC5 H4 )2 HfCl2 、(i PrC5 H4 )HfCl3 、(t BuC5 H4 )2 HfMe2 、(acac)4 Hf、(hfac)4 Hf、(tfac)4 Hf、(thd)4 Hf、(NO3 )4 Hf或其衍生物。
用作鋯前驅物的烷胺鋯化合物包括(RR’N)4 Zr,其中每一R或R’為獨立的氫、甲基、乙基、丙基、丁基、戊基 或其異構物。如此處所述用於沉積材料的鋯前驅物包括四(二乙胺基)鋯((Et2 N)4 Zr)、四(二甲胺基)鋯((Me2 N)4 Zr)、四(乙基甲胺基)鋯((EtMeN)4 Zr)、四甲氧鋯((MeO)4 Zr)、四乙氧鋯((EtO)4 Zr)、四丙氧鋯((PrO)4 Zr)、四丁氧鋯((BuO)4 Zr)、其異構物或其衍生物。其他鋯前驅物可包括氯化鋯(ZrCl4 )、碘化鋯(ZrI4 )、(t BuC5 H4 )2 ZrCl2 、(C5 H5 )2 ZrCl2 、(EtC5 H4 )2 ZrCl2 、(Me5 C5 )2 ZrCl2 、(Me5 C5 )ZrCl3 、(i PrC5 H4 )2 ZrCl2 、(i PrC5 H4 )ZrCl3 、(t BuC5 H4 )2 ZrMe2 、(acac)4 Zr、(Zrac)4 Zr、(tfac)4 Zr、(thd)4 Zr、(NO3 )4 Zr或其衍生物。
如此處所述用於沉積材料的鋁前驅物包括甲氧鋁((MeO)3 Al)、乙氧鋁((EtO)3 Al)、丙氧鋁((PrO)3 Al)、丁氧鋁((BuO)3 Al)或其衍生物。
如此處所述用於沉積材料的矽前驅物包括矽烷化合物、烷胺基矽烷化合物、矽醇、或烷氧基矽烷化合物和其他含矽的化合物。用作矽前驅物的烷胺基矽烷化合物包括(RR’N)4-n SiHn ,其中R或R’為獨立的氫、甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物,且n為0、1、2或3。烷氧基矽烷化合物可藉由一般性的化學式(RO)4-n SiLn 來敘述,其中R為甲基、乙基、丙基、丁基、戊基、其異構物或其衍生物,且L為氫、氫氧基、氟、氯、溴、碘、甲基、乙基、丙基、丁基或其混合物,且n為0、1、2或3。矽前驅物可包括四(二甲胺基)矽烷((Me2 N)4 Si,DMAS)、三(二甲胺基)矽烷((Me2 N)3 SiH, 三DMAS)、雙(二甲胺基)矽烷((Me2 N)2 SiH2 )、二甲胺基矽烷((Me2 N)SiH3 )、四(二乙胺基)矽烷((Et2 N)4 Si))、三(二乙胺基)矽烷((Et2 N)3 SiH)、四(甲基乙胺基)矽烷((MeEtN)4 Si)、三(甲基乙胺基)矽烷((MeEtN)3 SiH)、四甲氧基矽烷((MeO)4 Si)、四乙氧基矽烷((EtO)4 Si)、其異構物、其衍生物或其組合。此處所述可用在氣相沉積製程的其他矽前驅物包括矽烷(SiH4 )、二矽烷(Si2 H6 )、四氯化矽(SiCl4 )、六氯二矽烷(Si2 Cl6 )、四異氰酸酯基矽烷(Si(NCO)4 )、三異氰酸酯基甲矽烷(MeSi(NCO)3 )或其衍生物。
在另一實施例中,用來在此處所述之沉積製程期間形成釕材料的釕前驅物族包括吡咯基釕前驅物。在製程腔室及/或基材的預處理製程期間,處理氣體內的氫化配體化合物可為氫化吡咯基配體、吡啶或其衍生物。在一範例中,吡咯基釕前驅物含有釕和至少一個吡咯基配體或至少一個吡咯基衍生物配體。吡咯基釕前驅物可具有吡咯基配體,例如,舉例來說: 其中R1 、R2 、R3 、R4 和R5 為各自獨立地無配體、氫、烷基(例如,甲基、乙基、丙基、丁基、戊基或更高)、胺基、烷氧基、醇基、芳香基、另一吡咯基(例如,2,2’ -雙吡咯基)、吡唑基、其衍生物或其組合。吡咯基配體可具有任何二或多個以化學基連接在一起的R1 、R2 、R3 、R4 和R5 。舉例來說,R2 和R3 可為一環結構(例如吲哚基或其衍生物)的一部分。如此處所用的吡咯基釕前驅物指的是任何化學化合物,其含有釕和至少一個吡咯基配體或至少一個吡咯基配體的衍生物。在某些範例中,吡咯基釕前驅物可包括雙(四甲基吡咯基)釕、雙(2,5-二甲基吡咯基)釕、雙(2,5-二乙基吡咯基)釕、雙(四乙基吡咯基)釕、戊二烯基四甲基吡咯基釕、戊二烯基2,5-二甲基吡咯基釕、戊二烯基四乙基吡咯基釕、戊二烯基2,5-二乙基吡咯基釕、1,3-二甲基戊二烯基吡咯基釕、1,3-二乙基戊二烯基吡咯基釕、甲基環戊二烯基吡咯基釕、乙基環戊二烯基吡咯基釕、2-甲基吡咯基吡咯基釕、2-乙基吡咯基吡咯基釕或其衍生物。
此處所用的吡咯基配體可縮寫為「py」,且吡咯基衍生物配體可縮寫為「R-py」。用來在此處所述之沉積製程期間形成釕材料的示範吡咯基釕前驅物含有烷基吡咯基釕前驅物(例如,(Rx -py)Ru)、雙(吡咯基)釕前驅物(例如,(py)2 Ru)、二烯基(dienyl)吡咯基釕前驅物(例如,(Cp)(py)Ru)。烷基吡咯基釕前驅物的範例包括甲基吡咯基釕、乙基吡咯基釕、丙基吡咯基釕、二甲基吡咯基釕、二乙基吡咯基釕、二丙基吡咯基釕、三甲基吡咯基釕、三乙基吡咯基釕、四甲基吡咯基釕、四乙基吡咯基釕或其衍生物。雙(吡咯基)釕前驅物的範例包括雙(吡咯基) 釕、雙(甲基吡咯基)釕、雙(乙基吡咯基)釕、雙(丙基吡咯基)釕、雙(二甲基吡咯基)釕、雙(二乙基吡咯基)釕、雙(二丙基吡咯基)釕、雙(三甲基吡咯基)釕、雙(三乙基吡咯基)釕、雙(四甲基吡咯基)釕、雙(四乙基吡咯基)釕、甲基吡咯基吡咯基釕、乙基吡咯基吡咯基釕、丙基吡咯基吡咯基釕、二甲基吡咯基吡咯基釕、二乙基吡咯基吡咯基釕、二丙基吡咯基吡咯基釕、三甲基吡咯基吡咯基釕、三乙基吡咯基吡咯基釕、四甲基吡咯基吡咯基釕、四乙基吡咯基吡咯基釕或其衍生物。
二烯基吡咯基釕前驅物含有至少一個二烯基配體和至少一個吡咯基配體。二烯基配體可含有碳主鏈,其具有少至四個碳原子和多至約十個碳原子,較佳的是約五或六個。二烯基配體可具有一環結構(例如,環戊二烯基)或可為一開烷基鏈(例如,戊二烯基)。同樣地,二烯基配體可不含有烷基,含有一烷基或許多烷基。
在一實施例中,二烯基吡咯基釕前驅物含有戊二烯基配體或烷基戊二烯基配體。戊二烯基吡咯基釕前驅物的範例包括戊二烯基吡咯基釕、戊二烯基甲基吡咯基釕、戊二烯基乙基吡咯基釕、戊二烯暴丙基吡咯基釕、戊二烯基二甲基吡咯基釕、戊二烯基二乙基吡咯基釕、戊二烯基二丙基吡咯基釕、戊二烯基三甲基吡咯基釕、戊二烯基三乙基吡咯基釕、戊二烯基四甲基吡咯基釕、戊二烯基四乙基吡咯基釕或其衍生物。烷基戊二烯基吡咯基釕前驅物的範例包括烷基戊二烯基吡咯基釕、烷基戊二 烯基甲基吡咯基釕、烷基戊二烯基乙基吡咯基釕、烷基戊二烯基丙基吡咯基釕、烷基戊二烯基二甲基吡咯基釕、烷基戊二烯基二乙基吡咯基釕、烷基戊二烯基二丙基吡咯基釕、烷基戊二烯基三甲基吡咯基釕、烷基戊二烯基三乙基吡咯基釕、烷基戊二烯基四甲基吡咯基釕、烷基戊二烯基四乙基吡咯基釕或其衍生物。
在另一實施例中,二烯基吡咯基釕前驅物含有環戊二烯基配體或烷基環戊二烯基配體。環戊二烯基吡咯基釕前驅物的範例含有環戊二烯基吡咯基釕、環戊二烯基甲基吡咯基釕、環戊二烯基乙基吡咯基釕、環戊二烯基丙基吡咯基釕、環戊二烯基二甲基吡咯基釕、環戊二烯基二乙基吡咯基釕、環戊二烯基二丙基吡咯基釕、環戊二烯基三甲基吡咯基釕、環戊二烯基三乙基吡咯基釕、環戊二烯基四甲基吡咯基釕、環戊二烯基四乙基吡咯基釕或其衍生物。烷基環戊二烯基吡咯基釕前驅物的範例包括烷基環戊二烯基吡咯基釕、烷基環戊二烯基甲基吡咯基釕、烷基環戊二烯基乙基吡咯基釕、烷基環戊二烯基丙基吡咯基釕、烷基環戊二烯基二甲基吡咯基釕、烷基環戊二烯基二乙基吡咯基釕、烷基環戊二烯基二丙基吡咯基釕、烷基環戊二烯基三甲基吡咯基釕、烷基環戊二烯基三乙基吡咯基釕、烷基環戊二烯基四甲基吡咯基釕、烷基環戊二烯基四乙基吡咯基釕或其衍生物。
在另一實施例中,釕前驅物可不含有吡咯基配體或吡咯基衍生物配體,取而代之的是含有至少一個開鏈二烯 基配體,例如,CH2 CRCHCRCH2 ,其中R為獨立的烷基或氫。釕前驅物可具有兩個開鏈二烯基配體,例如,戊二烯基或庚二烯基。雙(戊二烯基)釕化合物具有一般性的化學式(CH2 CRCHCRCH2 )2 Ru,其中R為獨立的烷基或氫。通常,R為獨立的氫、甲基、乙基、丙基或丁基。因此,釕前驅物可包括雙(二烷基戊二烯基)釕化合物、雙(烷基戊二烯基)釕化合物、雙(戊二烯基)釕化合物或其組合。釕前驅物的範例包括雙(2,4-二甲基戊二烯基)釕、雙(2,4-二乙基戊二烯基)釕、雙(2,4-二異丙基戊二烯基)釕、雙(2,4-二第三丁基戊二烯基)釕、雙(甲基戊二烯基)釕、雙(乙基戊二烯基)釕、雙(異丙基戊二烯基)釕、雙(第三丁基戊二烯基)釕、其衍生物或其組合。在某些實施例中,其他釕前驅物包括三(2,2,6,6-四甲基-3,5-庚二酮酸)釕、二羰基戊二烯基釕、乙醯丙酮酸釕、2,4-二甲基戊二烯基環戊二烯基釕、雙(2,2,6,6-四甲基-3,5-庚二酮酸)(1,5-環辛二烯)釕、2,4-二甲基戊二烯基甲基環戊二烯基釕、1,5-環辛二烯環戊二烯基釕、1,5-環辛二烯甲基環戊二烯基釕、1,5-環辛二烯乙基環戊二烯基釕、2,4-二甲基戊二烯基乙基環戊二烯基釕、2,4-二甲基戊二烯基異丙基環戊二烯基釕、雙(N,N-二甲基1,3-四甲基二亞胺基)1,5-環辛二烯釕、雙(N,N-二甲基1,3-二甲基二亞胺基)1,5-環辛二烯釕、雙(烯丙基)1,5-環辛二烯釕、η6 -C6 H6 1,3-環己二烯釕、雙(1,1-二甲基-2-胺基乙氧基)1,5-環辛二烯釕、雙(1,1- 二甲基-2-胺基乙基胺)1,5-環辛二烯釕、雙(環戊二烯基)釕、雙(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕、和雙(五甲基環戊二烯基)釕或其衍生物。
如此處所述用於沉積材料的鈷前驅物包括鈷羰基複合物、鈷脒化合物、雙環戊二烯鈷化合物、鈷二烯基複合物、鈷亞硝基複合物、其衍生物、其複合物、其電漿或其組合。在某些實施例中,鈷材料可藉由CVD和ALD製程來沉積,其進一步在共同受讓的美國專利第7,1164,846和7,404,985號中敘述,兩專利併入此處以供參照。
在某些實施例中,鈷羰基化合物或複合物可用作鈷前驅物。鈷羰基化合物或複合物具有一般性的化學式(CO)x Coy Lz ,其中X可為1、2、3、4、5、6、7、8、9、10、11或12,Y可為1、2、3、4或5,且Z可為1、2、3、4、5、6、7或8。L基為無配體、一配體或多個配體,其可為相同配體或不同配體,並包括環戊二烯基、烷基環戊二烯基(例如,甲基環戊二烯基或五甲基環戊二烯基)、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、乙烯、丙烯基(或丙烯)、烯烴、二烯烴、炔、乙炔、丁基乙炔、亞硝基、氨或其衍生物。
在一實施例中,二鈷六羰基乙醯化合物可用於在沉積製程期間形成鈷材料(例如,鈷層220)。二鈷六羰基乙醯化合物可具有化學式(CO)6 Co2 (RC≡CR'),其中R和R’為獨立的氫、甲基、乙基、丙基、異丙基、丁基、第三丁 基、戊基、苯甲基、芳香基、其異構物、其衍生物或其組合。在一範例中,二鈷六羰基丁基乙炔(CCTBA,(CO)6 Co2 (HC≡Ct Bu))為鈷前驅物。二鈷六羰基乙醯化合物的其他範例包括二鈷六羰基甲基丁基乙炔((CO)6 Co2 (MeC≡Ct Bu))、二鈷六羰基苯基乙炔((CO)6 Co2 (HC≡CPh))、二鈷六羰基甲基苯基乙炔((CO)6 Co2 (MeC≡CPh))、二鈷六羰基甲基乙炔((CO)6 Co2 (HC≡CMe))、二鈷六羰基二甲基乙炔((CO)6 Co2 (MeC≡CMe))、其衍生物、其複合物、其電漿或其組合。其他示範的鈷羰基複合物包括環戊二烯基鈷雙(羰基)(CpCo(CO)2 )、三羰基丙烯基鈷((CO)3 Co(CH2 CH=CH2 ))或其衍生物。
在另一實施例中,鈷脒或鈷胺基複合物可用作鈷前驅物。鈷胺基複合物具有一般性的化學式(RR’N)x Co,其中X可為1、2或3,且R和R’為獨立的氫、甲基、乙基、丙基、丁基、烷基、矽烷基、烷基矽烷基、其衍生物或其組合。某些示範的鈷胺基複合物包括雙(二(丁基二甲基矽烷基)胺基)鈷(((BuMe2 Si)2 N)2 Co)、雙(二(乙基二甲基系烷基)胺基)鈷(((EtMe2 Si)2 N)2 Co)、雙(二(丙基二甲基矽烷基)胺基)鈷(((PrMe2 Si)2 N)2 Co)、雙(二(三甲基矽烷基)胺基)鈷(((Me3 Si)2 N)2 Co)、三(二(三甲基矽烷基)胺基)鈷(((Me3 Si)2 N)3 Co)或其衍生物。
某些示範的鈷前驅物包括甲基環戊二烯基鈷雙(羰基)(MeCpCo(CO)2 )、乙基環戊二烯基鈷雙(羰基) (EtCpCo(CO)2 )、五甲基環戊二烯基鈷雙(羰基)(Me5 CpCo(CO)2 )、二鈷八(羰基)(Co2 (CO)8 )、亞硝基鈷三(羰基)((ON)Co(CO)3 )、雙(環戊二烯基)鈷、(環戊二烯基)鈷(環己二烯基)、環戊二烯基鈷(1,3-己二烯基)、(環丁二烯基)鈷(環戊二烯基)、雙(甲基環戊二烯基)鈷、(環戊二烯基)鈷(5-甲基環戊二烯基)、雙(乙烯)鈷(五甲基環戊二烯基)、碘化四羰鈷、四羰鈷三氯矽烷、氯化羰基三(三甲基膦)鈷、鈷三羰基-氫三丁基膦、乙炔二鈷六羰基、乙炔二鈷五羰基三乙基膦、其衍生物、其複合物、其電漿或其組合。
氮前驅物可用來沉積氮化物或含氮材料。如此處敘述用於沉積材料的氮前驅物含有氨(NH3 )、肼(N2 H4 )、甲基肼((CH3 )HN2 H2 )、二甲基肼((CH3 )2 N2 H2 )、第三丁基肼(C4 H9 N2 H3 )、苯基肼(C6 H5 N2 H3 )、其他肼衍生物、胺類、氮電漿源(例如,N2 、原子氮、N2 /H2 、NH3 或N2 H4 電漿)、2,2’-偶氮三級丁烷((CH3 )6 C2 N2 )、有機或烷基疊氮化物(例如,甲基疊氮化物(CH3 N3 )、乙基疊氮化物(C2 H5 N3 )、三甲基矽烷基疊氮化物(Me3 SiN3 )、無機疊氮化物(例如,NaN3 或Cp2 CoN3 ))和其他適當的氮源。自由基氮化合物,例如,N3 、N2 、N、NH或NH2 ,可藉由熱、熱線、原位電漿或遠端電漿來製造。在一範例中,氮前驅物為氨。在另一範例中,氮前驅物含有原位或藉由遠端電漿系統形成的氮電漿。
可用於沉積不同材料的其他反應氣體包括氮化鉭、包括氧源之含鉭材料,和還原劑。含鉭材料,例如,鉭矽酸鹽、氧化鉭或氧氮化鉭,可以將氧源加至氣相沉積(例如,ALD或CVD)製程來形成。氧源或氧前驅物含有原子氧、O2 、O3 、H2 O、H2 O2 、有機過氧化物、其衍生物或其組合。還原化合物可包括在氣相沉積製程中,以形成鉭前驅物,例如,金屬鉭、氮化鉭硼或氮化鉭磷。還原化合物包括硼烷(BH3 )、二硼烷(B2 H6 )、烷基硼烷(例如,Et3 B)、膦(PH3 )、氫(H2 )、其衍生物或其組合。
製程腔室(例如ALD腔室)的詳細敘述在共同受讓的美國專利第6,916,398號和2002年10月25日提出申請並公開在美國專利公報第2003-0121608號的美國專利申請案第10/281,079號中敘述,其全文併入於此以供參照。在一實施例中,使用電漿增強ALD(PE-ALD)製程來沉積鉭材料。執行PE-ALD的腔室和製程進一步在共同受讓之美國專利第6,998,014號中敘述,其全文併入於此以供參照。預熱前驅物(例如PDMAT或TAIMATA)之氣化器或安瓿的詳細敘述在共同受讓的美國專利第6,915,592和7,186,385號中敘述,其全文併入於此以供參照。輸送前驅物(例如PDMAT或TAIMATA)至製程腔室之系統的詳細敘述在共同受讓的美國專利第6,955,211號和2003年11月3日提出申請並公開為美國專利公報第2005-0095859號的美國專利申請案第10/700,328號中敘述,其全文併入於此以供參照。
收斂-發散蓋體組件
第3A至3C圖為製程腔室1100的示意圖,其包括氣體輸送系統1130,其適於ALD製程,如在此處實施例中所述。製程腔室1100含有腔室主體1102,其具有側壁1104和底部1106。製程腔室1100中的流量閥1108提供機器人(未圖示)存取,以輸送並擷取基材1110(例如,200mm或300mm半導體晶圓或玻璃基材)往返製程腔室1100。可與此處所述之預處理製程和沉積製程併用之製程腔室的詳細揭示可在共同受讓的美國專利第6,916,398和7,204,886號以及2007年10月24日提出申請並公開為美國專利公報第2008-0102208號的美國專利申請案第11/923,583號中找到,其全文併入於此以供參照。
基材支撐1112在製程腔室1100的基材接收表面1111上支撐基材1110。基材支撐1112裝配至升降馬達1114,以用於升起和降下基材支撐1112與配置其上的基材1110。連接至升降馬達1118的升降平板1116裝配在製程腔室1100中,並升起和降下可移動地配置通過基材支撐1112的升降銷1120。升降銷1120在基材支撐1112的表面上方升起和降下基材1110。基材支撐1112可包括真空夾盤(未圖示)、靜電夾盤(未圖示)或夾圈(未圖示),以在沉積製程期間將基材1110固定至基材支撐1112。
可調整基材支撐1112的溫度,以控制配置其上之基材1110的溫度。舉例來說,基材支撐1112可使用嵌入式加 熱元件(例如,電阻加熱器(未圖示))加熱,或可使用輻射熱(例如,配置在基材支撐1112上方的加熱燈(未圖示))加熱。淨化環1122可配置在基材支撐1112上,以定義淨化槽道1124,其提供淨化氣體給基材1110的周圍部分,以防止其上的沉積。
氣體輸送系統1130配置在腔室主體1102的上部,以提供氣體(例如,製程氣體及/或淨化氣體)給製程腔室1100。第3A至3C圖繪示氣體輸送系統1130,其裝配為將基材1110暴露至至少兩個氣源或化學前驅物。在其他範例中,氣體輸送系統1130可重新裝配為將基材1110暴露至單一氣源或至三或多個氣源或化學前驅物。真空系統1178與抽泵槽道1179相通,以從製程腔室1100排空任何所需氣體,並幫助在製程腔室1100的抽泵區1166內側維持所需壓力或所需壓力範圍。
在一實施例中,氣體輸送系統1130含有腔室蓋體組件1132,其具有氣體分散槽道1128,該槽道延伸通過腔室蓋體組件1132的中心部分。氣體分散槽道1128垂直基材接收表面1111延伸,且亦沿著氣體分散槽道1128的中心軸1133延伸、通過蓋體平板1170、到下表面1160。收斂槽道1134a為氣體分散槽道1128的一部分,其在氣體分散槽道1128的上部1137內部朝中心軸1133逐漸變細。發散槽道1134b為氣體分散槽道1128的一部分,其在氣體分散槽道1128的下部1135內部遠離中心軸1133逐漸變細。節流閥1131為一窄通道,其分隔收斂槽道 1134a和發散槽道1134b。氣體分散槽道1128進一步延伸通過下表面1160並進入反應區1164。下表面1160從發散槽道1134延伸到抗流器1162。下表面1160按一定尺寸製作並成形,以本質上覆蓋配置在基材支撐1112之基材接收表面1111上的基材1110。
與類似配置之缺少節流閥1131的製程腔室相比,製程氣體,如循環氣流1174,在通過節流閥1131時,被迫環繞氣體分散槽道1128的中心軸1133做出更多迴轉。循環氣流1174可含有一流動模式,例如,漩渦模式、螺旋模式、螺線模式、旋轉模式、扭轉模式、線圈模式、渦流模式或其衍生物。循環氣流1174可環繞氣體分散槽道1128的中心軸1133延伸至少約1迴轉,較佳的是至少約1.5迴轉,更佳的是至少約2迴轉,更佳的是至少約3迴轉,更佳的是約4迴轉或更多。
氣體分散槽道1128具有氣體入口1136a、1136b,以提供來自兩對類似閥1142a/1152a、1142b/1152b的氣流,這兩對類似閥可設置在一起及/或分開設置。在一組態中,閥1142a和閥1142b耦合至個別的反應物氣源,但較佳的是耦合至相同的淨化氣源。舉例來說,閥1142a耦合至反應物氣源1138,且閥1142b耦合至反應物氣源1139,而兩個閥1142a、1142b耦合至淨化氣源1140。每一閥1142a、1142b包括輸送接線1143a、1143b,其具有閥座組件1144a、1144b,且每一閥1152a、1152b包括淨化接線1145a、1145b,其具有閥座組件1146a、1146b。 輸送接線1143a、1143b與反應物氣源1138、1143流體相通,並與氣體分散槽道1128的氣體入口1136a、1136b流體相通。輸送接線1143a、1143b的閥座組件1144a、1144b控制從反應物氣源1138、1143至氣體分散槽道1128的反應物氣流。淨化接線1145a、1145b與淨化氣源1140流體相通,並在輸送接線1143a、1143b的閥座組件1144a、1144b的下游與輸送接線1143a、1143b相交。淨化接線1145a、1145b的閥座組件1146a、1146b控制從淨化氣源1140至氣體分散槽道1128的淨化氣流。如果使用載氣從反應物氣源1138、1143輸送反應物氣體,可使用相同氣體作為載氣和淨化氣體(例如,使用氬作為載氣和淨化氣體)。
每一閥座組件1144a、1144b、1146a、1146b可含有一膜片(未圖示)和一閥座(未圖示)。膜片可偏壓為開啟或閉合,並可分別致動為閉合或開啟。膜片可氣動致動或可以電力致動。氣動致動閥包括可從Fujikin公司和Parker Hannifin公司Veriflo部門購得的氣動致動閥。電力致動閥包括可從Fujikin公司購得的電力致動閥。舉例來說,可使用的ALD閥為Fujikin型號FPR-UDDFAT-21-6.35-PI-ASN或Fujikin型號FPR-NHDT-21-6.35-PA-AYT。可程式化邏輯控制器1148a、1148b可耦合至閥1142a、1142b,以控制閥1142a、1142b之閥座組件1144a、1144b、1146a、1146b的膜片致動。氣動致動閥可以低至約0.020秒的多段時間提供 氣體脈衝。電力致動閥可以低至約0.005秒的多段時間提供氣體脈衝。電力致動閥典型需要使用耦合在閥和可程式化邏輯控制器之間的驅動器。
每一閥1142a、1142b可為零失效容積閥,以在閥座組件1144a、1144b閉合時,以致來自輸送接線1143a、1143b的反應物氣體能沖洗。舉例來說,淨化接線1145a、1145b可定位於鄰接輸送接線1143a、1143b的閥座組件1144a、1144b。當閥座組件1144a、1144b閉合時,淨化接線1145a、1145b可提供淨化氣體,以沖洗輸送接線1143a、1143b。在一實施例中,淨化接線1145a、1145b定位為稍微與輸送接線1143a、1143b的閥座組件1144a、1144b隔開,以便在開啟時,淨化氣體不會直接輸送至閥座組件1144a、1144b中。如此處所用的零失效容積閥是定義為具有可忽略之失效容積的閥(例如,沒有必要是零失效容積)。
每一對閥1142a/1152a、1142b/1152b可適於提供反應物氣體和淨化氣體的組合氣流及/或個別氣流。關於閥對1142a/1152a,反應物氣體和淨化氣體之組合氣流的一個範例包括通過淨化接線1145a之來自淨化氣源1140的淨化氣體連續流,以及通過輸送接線1143a之來自反應物氣源1138的反應物氣體脈衝。淨化氣體的連續流可藉由使淨化接線1145a之閥座組件1146a的膜片處於開啟狀態來提供。來自反應物氣源1138的反應物氣體脈衝可藉由開啟與閉合輸送接線1143a之閥座組件1144a的膜片 來提供。關於閥對1142a/1152a,反應物氣體和淨化氣體之個別氣流的一個範例包括通過淨化接線1145a之來自淨化氣源1140的淨化氣體脈衝,以及通過輸送接線1143a之來自反應物氣源1138的反應物氣體脈衝。淨化氣體的脈衝可藉由開啟與閉合淨化接線1145a之閥座組件1146a的膜片來提供。來自反應物氣源1138的反應物氣體脈衝可藉由開啟與閉合輸送接線1143a之閥座組件1144a的膜片來提供。
閥1142a、1142b的輸送接線1143a、1143b可通過氣體導管1150a、1150b耦合至氣體入口1136a、1136b。氣體導管1150a、1150b可與閥1142a、1142b整合或可與之分開。在一實施態樣中,閥1142a、1142b緊密相鄰地耦合至氣體分散槽道1128,以減小介於閥1142a、1142b和氣體入口1136a、1136b間之輸送接線1143a、1143b和氣體導管1150a、1150b之任何不必要的容積。
第3C圖繪示每一氣體導管1150a和1150b與氣體入口1136a和1136b,其以數種角度相對氣體分散槽道1128的中心軸1133定位。每一氣體導管1150a、1150b與氣體入口1136a、1136b較佳的是定位為垂直(其中+β、-β=90°)中心軸1133,或放置為從氣體導管1150a、1150b的中心線1176a和1176b至中心軸1133為角度+β或角度-β(其中0°<+β<90°或0°<-β<90°)。因此,氣體導管1150a、1150b可水平定位為垂直中心軸1133,並可向下呈角度+β或可向上呈角度-β,以提供朝 氣體分散槽道1128壁的氣流,而非直接向下朝基材1110,其幫助降低吹掉吸附在基材1110表面上之反應物的可能性。此外,氣體導管1150a、1150b的直徑可從閥1142a、1142b的輸送接線1143a、1143b至氣體入口1136a、1136b增加,以在氣流進入氣體分散槽道1128前,幫助減低其速度。舉例來說,氣體導管1150a、1150b可含有一逐漸增加的內徑,或可含有複數個連接導管,其具有增加的內徑。
第3C圖繪示氣體分散槽道1128,其含有一內徑,其在收斂槽道1134a內部從上部1137沿著中心軸1133至節流閥1131減少。同樣地,氣體分散槽道1128含有一內徑,其在發散槽道1134b內部從節流閥1131沿著中心軸1133至鄰接腔室蓋體組件1132之下表面1160的下部1135增加。在一範例中,適於處理100mm直徑基材的製程腔室1100可具有下列直徑。在氣體分散槽道1128之上部1137的直徑可位於從約0.5英吋至約2英吋的範圍內,較佳的是從約0.75英吋至約1.5英吋,更佳的是從0.8英吋至約1.2英吋,舉例來說,約1英吋。在氣體分散槽道1128之節流閥1131的直徑可位於從約0.1英吋至約1.5英吋的範圍內,較佳的是從約0.3英吋至約0.9英吋,更佳的是從0.5英吋至約0.8英吋,舉例來說,約0.66英吋。在氣體分散槽道1128之下部1135的直徑可位於從約0.5英吋至約2英吋的範圍內,較佳的是從 約0.75英吋至約1.5英吋,更佳的是從0.8英吋至約1.2英吋,舉例來說,約1英吋。
一般而言,上述應用至氣體分散槽道1128的尺寸適於提供位於從約500sccm至約20slm之範圍內的總氣體流速。在其他特定的實施例中,可改變尺寸以適應通過其中某一氣流。一般而言,較大的氣流將需要較大之氣體分散槽道1128的直徑。
不希望受理論約束,咸信氣體分散槽道1128的直徑,其逐漸從氣體分散槽道1128的上部1137至節流閥1131減小並從節流閥1131至氣體分散槽道1128的下部1135增加,允許通過氣體分散槽道1128之氣體的較少絕熱膨脹,其幫助控制在循環氣流1174中所含有的製程氣體之溫度。舉例來說,通過氣體入口1136a、1136b輸送至氣體分散槽道1128中之氣體的突然絕熱膨脹可導致氣體的溫度下降,其可導致氣體冷凝並形成小滴。另一方面,咸信逐漸變細的氣體分散槽道1128提供較少的氣體絕熱膨脹。因此,可將更多熱傳遞往返氣體,且因此,氣體溫度可更容易藉由控制氣體的外圍溫度(例如,控制腔室蓋體組件1132的溫度)來控制。氣體分散槽道1128可逐漸變細,並含有一或多個逐漸變細的內表面,例如,逐漸變細的直表面、凹表面、凸表面或其組合,並可含有一或多段逐漸變細的內表面(例如,一部分逐漸變細而一部分沒有逐漸變細)。
在一實施例中,氣體入口1136a、1136b位於鄰接氣體分散槽道1128的上部1137處。在其他實施例中,一或多個氣體入口1136a、1136b可沿著氣體分散槽道1128的長度位於上部1137和下部1135之間。
每一氣體導管1150a、1150b可以一偏離氣體導管1150a、1150b之中心線以及偏離氣體分散槽道1128之半徑線的角度α定位,類似於第3B圖所繪示之每一氣體導管1150a和1150b可以偏離氣體導管1150a和1150b之中心線1176a和1176b以及偏離氣體分散槽道1128中心之半徑線的角度α定位。氣體通過較佳地以一角度α(例如,當α>0°)定位的氣體導管1150a、1150b之進入導致氣體以一循環方向流動,如循環氣流1174所示(第3B圖)。相對於直接直上膨脹槽道的壁(例如,當α=0°),以角度α提供氣體幫助提供通過氣體分散槽道1128的更多層流而非擾流。咸信通過氣體分散槽道1128的層流導致氣體分散槽道1128之內表面和腔室蓋體組件1132之其他表面的改善淨化。相較之下,擾流可不均勻地流過氣體分散槽道1128的內表面和其他表面,並可含有在其中沒有氣流的失效點或停滯點。在一實施態樣中,氣體導管1150a、1150b和對應的氣體入口1136a、1136b彼此隔開,並以相同的循環方向引導一流(例如,順時針或反時針)。
不希望受理論約束,第3C圖為腔室蓋體組件1132之氣體分散槽道1128的橫剖面圖,其顯示通過其中之氣流 的簡化示意圖。雖然未知通過氣體分散槽道1128的確切流動模式,咸信循環氣流1174(第3B至3C圖)可以循環流動模式行進通過氣體分散槽道1128,例如,漩渦流動、螺旋流動、螺線流動、渦漩流動、旋轉流動、扭轉流動、線圈流動、螺旋狀流動、捲曲流動、渦流流動、其衍生物或其組合。如第3C圖所示,相對於在與基材1110分隔之隔室中提供,可在一「處理區域」中提供循環流。在一實施態樣中,由於漩渦流動模式在氣體分散槽道1128之內表面各處的清掃動作,循環氣流1174可幫助建立氣體分散槽道1128之更有效率的淨化。
在一實施例中,第3C圖繪示介於氣體入口1136a、1136b和基材1110之間的距離1175,其足夠長,以致不需循環氣流1174在基材1110的表面各處以螺線流動消散成一向下流。咸信循環氣流1174以層狀方式繼續進行有效淨化腔室蓋體組件1132和基材1110的表面。在一特定實施例中,氣體分散槽道1128之上部1137和基材1110間之距離1175的長度可位於從約3英吋至約8英吋的範圍內,較佳的是從約3.5英吋至約7英吋,更佳的是從約4英吋至約6英吋,例如,約5英吋。
在氣體分散槽道1128之上部1137和節流閥1131之間的距離1177a作為蓋體帽1172內部沿著中心軸1133的收斂槽道1134a的長度,且在節流閥1131和蓋體帽1172之下表面1173之間的距離1177b作為蓋體帽1172內部沿著中心軸1133的發散槽道1134b的長度。在一範例 中,距離1177a可具有從約1英吋至約4英吋之範圍內的長度,較佳的是從約1.25英吋至約3英吋,更佳的是從約1.5英吋至約2.5英吋,舉例來說,約2英吋;且距離1177b可具有從約0.5英吋至約4英吋之範圍內的長度,較佳的是從約1英吋至約3英吋,更佳的是從約1.25英吋至約1.75英吋,舉例來說,約1.5英吋。
第3A圖繪示腔室蓋體組件1132之下表面1160的至少一部分可從氣體分散槽道1128至腔室蓋體組件1132的周圍部分逐漸變細,以幫助提供在基材1110表面各處之來自氣體分散槽道1128之氣流之改善的速度曲線(例如,從基材中心至基材邊緣)。下表面1160可含有一或多個逐漸變細的表面,例如,直表面、凹表面、凸表面或其組合。在一實施例中,下表面1160以漏斗形逐漸變細。
在一範例中,下表面1160向下傾斜,以幫助減少在腔室蓋體組件1132之下表面1160和基材1110間行進之製程氣體的速度變異,同時協助提供基材1110之表面均勻暴露至反應物氣體。在一實施例中,腔室蓋體組件1132之向下傾斜的下表面1160和基材1110之表面間之流動截面的最大面積和流動截面的最小面積比小於約2,較佳的是小於約1.5,更佳的是小於約1.3,更佳的是約1。
不希望受理論約束,咸信以更均勻的速度在基材1110表面各處行進的氣流幫助提供氣體在基材1110上之更均勻的沉積。咸信氣體速度直接與氣體濃度成比例,氣體 濃度相繼直接與氣體在基材1110表面上的沉積率成比例。因此,咸信相對基材1110表面的第二區域,在基材1110表面之第一區域之更高的氣體速度提供氣體在第一區域上的更高沉積。咸信具有向下傾斜之下表面1160的腔室蓋體組件1132在基材1110的表面各處提供更均勻的氣體沉積,因為下表面1160在基材1110的表面各處提供更均勻的速度,從而提供更均勻的氣體濃度。
第3A圖繪示抗流器1162,其位於腔室蓋體組件1132的周圍部分並鄰接基材1110的周圍。當組裝腔室蓋體組件1132以形成環繞基材1110的製程區時,抗流器1162含有任何構件,其限制通過其中的氣流,使之位於鄰接基材1110周圍的區域。
在一特定實施例中,抗流器1162和基材支撐1112之間的間隔可位於從約0.04英吋至約2.0英吋的範圍內,較佳的是從約0.04英吋至約0.2英吋。間隔可依沉積期間所輸送的氣體和製程條件來變化。抗流器1162藉由將反應區1164隔離抽泵區1166(第3A圖)之不均勻的壓力分佈,而幫助提供定義在腔室蓋體組件1132和基材1110之間的容積或反應區1164內部之更均勻的壓力分佈。
參照第3A圖,在一實施態樣中,由於反應區1164與抽泵區1166隔離,反應物氣體或淨化氣體僅需要適當填充反應區1164,以確保基材1110足夠暴露至反應物氣體或淨化氣體。在習用的CVD中,先前技術的腔室必須同時而均勻地提供反應物的結合流給整個基材表面,以確 保反應物的共同反應在基材1110表面各處均勻發生。在ALD中,製程腔室1100循序將反應物引至基材1110表面,以在基材1110之表面上提供交替之反應物薄層的吸收。結果,ALD不需要同時到達基材1110表面的反應物流。取而代之的是必須提供足量的反應物流,以在基材1110的表面上吸附反應物薄層。
如在此處之一實施例中所述,由於與習用CVD腔室的內容積相比時,反應區1164可含有較小容積,針對一ALD序列中的特定製程,需要較小量的氣體來填充反應區1164。舉例來說,對適於處理200mm直徑之基材的腔室而言,反應區1164的容積可為約1000cm3 或更小,較佳的是約500cm3 或更小,更佳的是約200cm3 或更小。在另一範例中,對適於處理100mm直徑之基材的腔室而言,反應區1164的容積可為約3000cm3 或更小,較佳的是約1500cm3 或更小,更佳的是約600cm3 或更小。在另一實施例中,基材支撐1112可升起或降下,以調整用於沉積之反應區1164的容積。由於反應區1164的較小容積,較少氣體,無論是沉積氣體或淨化氣體,必須流入製程腔室1100中。因此,製程腔室1100的產量較大,且由於使用較小量的氣體而可最小化廢料,從而降低操作成本。
腔室蓋體組件1132含有蓋體帽1172和蓋體平板1170,其中蓋體帽1172和蓋體平板1170形成氣體分散槽道1128,如第3A至3C圖所繪示。一額外的平板可選 擇性地配置在蓋體平板1170和蓋體帽1172之間。在其他實施例中,氣體分散槽道1128可從單一塊材料整體地製成。
視輸送通過其中的特定氣體而定,腔室蓋體組件1132可包括冷卻元件及/或加熱元件。控制腔室蓋體組件1132的溫度可用來防止氣體分解、沉積或冷凝在腔室蓋體組件1132上。舉例來說,水槽道(例如,第10A圖中的冷卻劑槽道1090)可形成在腔室蓋體組件1132中,以冷卻腔室蓋體組件1132。在另一範例中,加熱元件(未圖示)可嵌入或可圍繞腔室蓋體組件1132的部件,以加熱腔室蓋體組件1132。在一實施例中,腔室蓋體組件1132的部件可個別加熱或冷卻。舉例來說,參照第3A圖,腔室蓋體組件1132可含有蓋體平板1170和蓋體帽1172,其中蓋體平板1170和蓋體帽1172形成氣體分散槽道1128。蓋體帽1172可維持在一溫度範圍,且蓋體平板1170可維持在另一溫度範圍。舉例來說,蓋體帽1172可藉由纏繞在加熱器帶或使用另一加熱裝置加熱,以防止反應物氣體冷凝,且蓋體平板1170可維持在周圍溫度。在另一範例中,蓋體帽1172可加熱,且蓋體平板1170可以形成通過其中的水槽道冷卻,以防止反應物氣體在蓋體平板1170上熱分解。
腔室蓋體組件1132的部件和零件可含有材料,例如,不鏽鋼、鋁、鍍鎳鋁、鎳、其合金或其他適當材料。在一實施例中,蓋體帽1172和蓋體平板1170可獨立製造、 切削、鍛鑄或以其他方式從金屬製成,例如,鋁、鋁合金、鋼、不鏽鋼、其合金或其組合。
在一實施例中,氣體分散槽道1128的內表面(包括蓋體平板1170和蓋體帽1172兩者的內表面)與腔室蓋體組件1132的下表面1160可含有一鏡面拋光表面,以幫助沿著氣體分散槽道1128和腔室蓋體組件1132的下表面1160產生氣體層流。在另一實施例中,氣體導管1150a、1150b的內表面可電解拋光,以幫助產生通過其中的氣體層流。
在一替代實施例中,氣體分散槽道1128的內表面(包括蓋體平板1170和蓋體帽1172兩者的內表面)與腔室蓋體組件1132的下表面1160可含有粗糙表面或切削表面,以在表面各處製造更多表面積。粗糙表面在蓋體平板1170和蓋體帽1172的內表面與下表面1160上提供不需要積聚之材料的更佳黏著。不需要之薄膜的形成通常是實施氣相沉積製程的結果,並可從下表面1160與氣體分散槽道1128的內表面剝離或剝落而污染基材1110。在一範例中,下表面1160及/或氣體分散槽道1128之內表面的平均粗糙度(Ra )可為至少約10μin,例如,位於從約10μin(約0.254μm)至約200μin(約5.08μm)的範圍,較佳的是從約20μin(約0.508μm)至約100μin(約2.54μm),更佳的是從約30μin(約0.762μm)至約80μin(約2.032μm)。在另一範例中,下表面1160及/或氣體分散槽道1128之內表面的平均粗糙度可為至少約100μin(約 2.54μm),較佳的是位於從約200μin(約5.08μm)至約500μin(約12.7μm)的範圍內。
第3A圖繪示控制單元1180,例如,可程式化個人電腦、工作站電腦等,其耦合至製程腔室1100,以控制處理條件。舉例來說,控制單元1180可裝配為在基材製程序列的不同階段期間控制通過閥1142a和1142b之來自氣源1138、1143和1140的不同製程氣流與淨化氣流。作為說明之用,控制單元1180含有中央處理單元(CPU)1182、支援電路1184和記憶體1186,其含有關聯的控制軟體1183。
控制單元1180可為任何形式的通用電腦處理器之一,其可用在工業設定中,以控制多種腔室和子處理器。CPU 1182可使用任何適當的記憶體1186,例如,隨機存取記憶體、唯讀記憶體、軟碟、硬碟或任何其他形式的數位儲存器,局部或遠端。多種支援電路可耦合至CPU 1182,以支援製程腔室1100。控制單元1180可耦合至另一控制器,其位置鄰接個別的腔室部件,例如,用於閥1142a、1142b的可程式化邏輯控制器1148a、1148b。控制單元1180和製程腔室1100不同之其他部件間的雙向相通是透過許多訊號電纜來處理,其共同稱為訊號匯流排1188,其中一些繪示於第3A圖。除了控制來自氣源1138、1143、1140與來自閥1142a、1142b之可程式化邏輯控制器1148a、1148b的製程氣體和淨化氣體之外,控制單元1180可裝配為負責自動化控制用在晶圓處理中 的其他活動-例如,晶圓運輸、溫度控制、腔室排空等,其中一些在此文的別處敘述。
參照第3A至3C圖,在操作中,基材1110藉由機器人(未圖示)通過流量閥1108輸送至製程腔室1100。基材1110透過升降銷1120和機器人的合作而定位在基材支撐1112上。基材支撐1112升起基材1110,使之進入接近腔室蓋體組件1132之下表面1160的相對處。一第一氣流可藉由閥1142a注入製程腔室1100之氣體分散槽道1128,其與藉由閥1142b注入製程腔室1100之一第二氣流一起或分別注入。第一氣流可含有來自淨化氣源1140的淨化氣體連續流與來自反應物氣源1138之反應物氣體脈衝,或可含有來自反應物氣源1138之反應物氣體脈衝與來自淨化氣源1140之淨化氣體脈衝。第二氣流可含有來自淨化氣源1140的淨化氣體連續流與來自反應物氣源1139之反應物氣體脈衝,或可含有來自反應物氣源1139之反應物氣體脈衝與來自淨化氣源1140之淨化氣體脈衝。循環氣流1174以漩渦流動行進通過氣體分散槽道1128,其提供在氣體分散槽道1128之內表面各處的清掃動作。循環氣流1174朝基材1110之表面消散至一向下流。隨著氣流行進通過氣體分散槽道1128,其速度減小。氣流接著在基材1110之表面各處與在腔室蓋體組件1132之下表面1160各處行進。腔室蓋體組件1132之向下傾斜的下表面1160幫助減少在基材1110表面各處之氣流的速度變異。氣流接著行進通過抗流器1162並進入 製程腔室1100的抽泵區1166。過量的氣體、副產物等流入抽泵槽道1179,並接著藉由真空系統1178從製程腔室1100排空。在一實施態樣中,氣流以層狀方式繼續行進通過氣體分散槽道1128與基材1110之表面和腔室蓋體組件1132之下表面1160間,其幫助反應物氣體至基材1110表面之均勻暴露,並有效淨化腔室蓋體組件1132的內表面。
如第3A至3C圖所繪示,製程腔室1100已在此處敘述為具有組合的特徵結構。在一實施態樣中,製程腔室1100提供反應區1164,與習用的CVD腔室相比,其含有小容積。製程腔室1100需要較小量的氣體,例如,反應物氣體或淨化氣體,以針對特定製程填充反應區1164。在另一實施態樣中,製程腔室1100提供腔室蓋體組件1132,其具有向下傾斜或漏斗形的下表面1160,以減少在腔室蓋體組件1132之底表面和基材1110間行進之氣流之速度曲線的變異。在仍有另一實施態樣中,製程腔室1100提供氣體分散槽道1128,以減少通過其中引入之氣流的速度。在仍有另一實施態樣中,製程腔室1100以偏離氣體分散槽道1128中心的角度α設置氣體導管。製程腔室1100如此文於別處所述般提供其他特徵結構。一適於ALD之腔室的其他實施例合併一或多個這些特徵結構。
本發明的實施例提供腔室預處理與沉積製程,其可用於在氣相沉積製程(例如ALD製程期間)沉積材料。該 製程可用在數種氣相沉積製程腔室和氣體輸送系統內部,含有膨脹槽道蓋體組件、收斂-發散蓋體組件、多重注入蓋體組件或延伸帽蓋體組件。其他實施例提供在ALD製程期間使用這些氣體輸送系統沉積材料的方法。
如第3A至3C圖所繪示,製程腔室1100可有利地用於實施ALD或CVD製程,如此處實施例所述。為了清楚起見,以ALD沉積一層將參照利用製程腔室1100之氮化鉭層的ALD更詳細敘述。在一實施態樣中,氮化鉭阻障層的ALD包括循序提供鉭前驅物脈衝和氮前驅物脈衝給製程腔室1100,其中每一脈衝以淨化氣流及/或腔室排空來分隔,以移除任何過量反應物,以防止鉭前驅物與氮前驅物的氣相反應以及移除任何反應副產物。循序提供鉭前驅物和氮前驅物可導致單層鉭前驅物和單層氮前驅物的交替吸收,以針對每一脈衝循環在基材結構上形成單層氮化鉭。基材結構一詞用於指稱基材和其他形成在其上方的材料層,例如,介電層。
咸信用於吸附單層反應物(例如鉭前驅物和氮前驅物)之吸附製程的自限在於,在給定的脈衝期間僅有一單層可吸附至基材結構的表面上,因為基材結構的表面具有用於吸附反應物之有限數目的位置。一旦反應物,例如,鉭前驅物或氮前驅物,佔用了有限數目的位置,反應物的進一步吸收將會受阻。可重複該循環至氮化鉭層的所需厚度。
本發明的實施例提供一預處理製程,其用於將製程腔室1100的內表面暴露至處理氣體內的氫化配體化合物。在一實施例中,處理氣體可從氣源1138、1139及/或1140的任何一個輸送至製程腔室1100中。或者,在另一實施例中,處理氣體可從另一氣源(未圖示)輸送至製程腔室1100中。在另一實施例中,含有氫化配體化合物的處理氣體可通過蓋體帽1172上的入口(未圖示)進入製程腔室1100。一旦進入製程腔室1100,處理氣體可下行氣體分散槽道1128,從收斂槽道1134a通過發散槽道1134b沿著下表面1160並進入反應區1164。包括收斂槽道1134a、發散槽道1134b、下表面1160和反應區1164的製程腔室1100的內表面可以來自處理氣體的氫化配體化合物或其他試劑塗佈。
在另一實施例中,含有氫化配體化合物或其他試劑的處理氣體環繞氣體分散槽道1128的中心軸1133迴轉流動,如循環氣流1174,同時塗佈製程腔室1100的內表面,如第3C圖所繪示。循環氣流1174可含有一流動模式,例如,漩渦模式、螺旋模式、螺線模式、旋轉模式、扭轉模式、線圈模式、渦流模式或其衍生物。循環氣流1174可環繞氣體分散槽道1128的中心軸1133延伸至少約1迴轉,較佳的是至少約1.5迴轉,更佳的是至少約2迴轉,更佳的是至少約3迴轉,更佳的是約4迴轉或更多。
鉭前驅物,例如,PDMAT,的脈衝可藉由氣源1138通過閥1142a引入。鉭前驅物可在載氣的幫助下提供,載氣包括,但不受限於,氦、氬、氮(N2 )、氫(H2 )或其混合物。氮前驅物(例如氨)的脈衝可藉由氣源1139通過閥1142a引入。載氣亦可用來幫助輸送氮前驅物。淨化氣體例如氬,可藉由氣源1140通過閥1142a及/或通過閥1142b引入。在一實施態樣中,淨化氣流可藉由氣源1140通過閥1142a、1142b連續提供,以作用如鉭前驅物和氮前驅物之脈衝間的淨化氣體,並作用如鉭前驅物和氮前驅物之脈衝期間的載氣。在一實施態樣中,與通過氣體導管1150a或1150b之一提供淨化氣體相比,通過兩氣體導管1150a、1150b輸送淨化氣體提供反應區1164之更完整的淨化。在一實施態樣中,可通過氣體導管1150a或1150b之一輸送反應物氣體,因為反應物(例如鉭前驅物或氮前驅物)氣流的均勻性不像淨化氣體的均勻性那麼臨界,此歸因於反應物在基材結構之表面上的自限吸收製程。在其他實施例中,可以脈衝提供淨化氣體。在其他實施例中,可以多於或少於兩個氣流提供淨化氣體。在其他實施例中,可以多於單一氣流(例如,二或多個氣流)提供鉭前驅物氣體。在其他實施例中,可以多於單一氣流(例如,二或多個氣流)提供氮前驅物氣體。
氮化鉭層的形成敘述為起始於在基材上吸收單層鉭前驅物,隨後則是單層氮前驅物。或者,氮化鉭層的形成可起始於在基材上吸收單層的氮前驅物,隨後則是單層 的鉭前驅物。此外,在其他實施例中,介於反應物氣體之脈衝間的單獨幫浦排空可用於防止反應物氣體混合。
每一鉭前驅物脈衝的持續時間、每一氮前驅物脈衝的持續時間和介於反應物脈衝間之淨化氣流的持續時間可變,並取決於所用之沉積腔室和耦合至此之真空系統的體積容積。舉例來說,(1)氣體之較低的腔室壓力將需要較長的脈衝時間;(2)較低的氣體流速將需要較長時間使腔室壓力上升並穩定,且需要較長的脈衝時間;及(3)大容積的腔室將耗費較長時間填滿,需要較長時間使腔室壓力穩定,從而需要較長的脈衝時間。類似地,介於每一脈衝之間的時間亦為可變,並取決於製程腔室和耦合至此之真空系統的體積容積。一般而言,鉭前驅物或氮前驅物的脈衝持續時間必須足夠長,以用於單層化合物的吸收。在一實施態樣中,當氮前驅物的脈衝進入時,鉭前驅物的脈衝仍可在腔室中。一般而言,淨化氣體及/或幫浦排空的持續時間必須足夠長,以防止鉭前驅物與氮前驅物的脈衝在反應區中混合在一起。
一般而言,約1.0秒或更少之鉭前驅物的脈衝時間和約1.0秒或更少之氮前驅物的脈衝時間典型足以在基材結構上吸附交替的單層。對淨化氣體而言,無論是連續的淨化氣體或淨化氣體脈衝,介於鉭前驅物和氮前驅物之脈衝間之約1.0秒或更小的時間典型足以防止鉭前驅物和氮前驅物的脈衝在反應區中混合在一起。當然,反應物之較長的脈衝時間可用來確保鉭前驅物和氮前驅物 的吸收,且反應物脈衝之間的較長時間可用來確保反應副產物的移除。
在一範例中,於ALD製程期間,製程腔室、基材或基材支撐可維持在近乎低於所選擇之鉭前驅物的熱分解溫度。在小於約100Torr,較佳的是小於50Torr,的腔室壓力下,與此處一致之鉭前驅物併用之示範的加熱器溫度範圍近乎介於約20℃和約500℃之間。當鉭前驅物為PDMAT時,加熱器溫度較佳地位於從約150℃至約350℃的範圍內,更佳的是從約250℃至300℃,且製程腔室的內壓可位於從約5Torr至約20Torr的範圍內。在其他實施例中,須了解可使用其他溫度和壓力。舉例來說,可使用高於熱分解溫度的溫度。不過,溫度必須經過選擇,以便超過50百分比的沉積活動是經由吸收製程。在另一範例中,可使用高於熱分解溫度的溫度,其中在每一前驅物沉積期間的分解量受限,以便生長模式將類似於ALD生長模式。
在一範例中,製程腔室1100可暴露至預處理製程隨後則是ALD製程。該製程可以一流速提供從氣源1138通過閥1142a之具有約1秒或更少之脈衝時間的鉭前驅物氣體脈衝(例如,氬中的PDMAT),該流速位於從約100sccm至約1000sccm的範圍內,較佳的是從約300sccm至約700sccm。該製程可進一步以一流速提供從氣源1139通過閥1142b之具有約1秒或更少之脈衝時間的氮前驅物氣體脈衝(例如,氨),該流速位於從約20sccm至 約1000sccm的範圍內,較佳的是從約100sccm至約300sccm。氬淨化氣體可具有一流速,其位於從約1slm至約12slm的範圍內,較佳的是從約2slm至約8slm,並可從氣源1140通過閥1142a、1142b和通過其他製程腔室1100上的入口連續提供。鉭前驅物和氮前驅物之脈衝間的時間可為約0.5秒或更少。
在一實施例中,氮化鉭層可沉積至一通孔或類似孔穴的側壁,其具有約50Å或更小的厚度,較佳的是約20Å或更小,更佳的是約10Å或更小。咸信具有約10Å或更小之厚度的氮化鉭層具有足夠厚度用於防止銅擴散之阻障層的應用中。在其他實施例中,氮化鉭層可具有大於50Å的厚度。在一實施態樣中,含有以此處所述之製程沉積之氮化鉭的薄阻障層可用於填充具有高深寬比(例如,大於5比1)之次微米(例如,小於0.15μm)和更小的特徵結構。
預處理製程期間之製程腔室的內表面處理幫助增加氮化鉭層或其他沉積材料之基材表面各處的均勻性(或降低不均勻性)-和未實施預處理製程相反。基材表面各處之沉積材料的不均勻性約為12%或更小,較佳的是約10%或更小,更佳的是約8%或更小,舉例來說,約6%或更小。
如此處所用之「原子層沉積」(ALD)指的是循序引入二或多種反應化合物以在基材表面上沉積一材料層。二、三、或多種反應化合物或可替代地引入製程腔室之反應 區或製程區中。反應化合物可為氣體、電漿、蒸氣、流體狀態或其他用於氣相沉積製程的相態。通常,每一反應化合物是以一時間延遲分隔,以允許每一化合物在基材表面上黏著及/或反應。在一實施態樣中,一第一前驅物或化合物A脈衝進入反應區,隨後則是一第一時間延遲。接下來,一第二前驅物或化合物B脈衝進入反應區,隨後則是一第二延遲。化合物A和化合物B起反應,以形成沉積材料。在每一時間延遲期間,將一淨化氣體引入製程腔室中,以淨化反應區或以其他方式從反應區移除任何殘留的反應化合物或副產物。或者,淨化氣體可在整個沉積製程期間連續流動,以便在介於反應化合物之脈衝間的時間延遲期間僅有淨化氣體流動。或者,反應化合物產生脈衝直到沉積材料所需的薄膜厚度在基材表面上形成。在任一方案中,脈衝化合物A、淨化氣體、脈衝化合物B和淨化氣體之ALD製程為一循環。一循環可始於化合物A或化合物B的任一者,並繼續循環的個別順序直到達到具有所需厚度的薄膜。在一替代實施例中,一含有化合物A的第一前驅物、一含有化合物B的第二前驅物與一含有化合物C的第三前驅物各自分開脈衝進入製程腔室中。或者,一第一前驅物脈衝可在時間上與一第二前驅物脈衝重疊,而一第三前驅物脈衝不與第一和第二前驅物脈衝的任一者在時間上重疊。此處所用的「製程氣體」指的是單一氣體、多個氣體、一含有一電漿的氣體、一或多個氣體及/或一或多個電漿的組 合。製程氣體可含有至少一個用於氣相沉積製程的反應化合物。反應化合物可為氣體、電漿、蒸氣、流體狀態或其他用於氣相沉積製程的相態。同樣地,製程氣體可含有淨化氣體或載氣,且不含有反應化合物。
如此處所用之「基材」或「基材表面」指的是任何形成在一基材上的基材或材料表面,在其上執行薄膜製程。舉例來說,在其上可執行處理的基材表面含有材料,例如,矽、氧化矽、應變矽、絕緣層上矽(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、石英和任何其他材料,例如,金屬、金屬氮化物、金屬合金和其他導電材料,依應用而定。基材表面上的阻障層、金屬或金屬氮化物可包括鈦、氮化鈦、氮矽化鈦、鎢、氮化鎢、氮矽化鎢、鉭、氮化鉭或氮矽化鉭。基材可具有不同尺寸,例如,200mm或300mm直徑的晶圓和矩形或正方形玻璃片。基材包括半導體基材、顯示器基材(例如,LCD)、太陽能面板基材和其他類型的基材。除非以其他方式指明,否則此處所述之實施例和範例較佳地在具有200mm直徑或300mm直徑,更佳地,300mm直徑的基材上實施。在其上可使用本發明之實施例的基板包括,但不受限於,半導體晶圓,例如,結晶矽(例如,矽<100>或矽<111>)、氧化矽、玻璃、石英、應變矽、矽鍺、摻雜或無摻雜的多晶矽、摻雜或無摻雜的矽晶圓和圖案化或無圖案化的晶圓。基材可暴露至一 預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火及/或加熱基材表面。
雖然本發明已依據特定實施例敘述,熟悉此技術者將了解反應條件(例如,溫度、壓力、薄膜厚度等)的不同變化可替換,且意欲包括於此以及欲沉積的氣體序列中。舉例來說,循序沉積製程可具有不同的初始序列。初始序列可包括在引入含鉭氣體至製程腔室前,將基材暴露至含氮氣體。此外,除了作用如觸點的擴散阻障之外,氮化鉭層可用於電路的其他特徵結構。因此,本發明的範圍不應以前文敘述為基礎。寧說是本發明的範圍應當以此處詳述包括其等同物的完整範圍的申請專利範圍為基礎而決定。
雖然前文係引導至本發明之實施例,本發明之其他及進一步的實施例可在不偏離其基本範圍之情況下設計,且其範圍係由跟隨之申請專利範圍決定。
100‧‧‧製程
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟
200‧‧‧製程
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
220‧‧‧鈷層
1090‧‧‧冷卻劑槽道
1100‧‧‧製程腔室
1102‧‧‧腔室主體
1104‧‧‧側壁
1108‧‧‧流量閥
1110‧‧‧基材
1111‧‧‧基材接收表面
1112‧‧‧基材支撐
1114‧‧‧升降馬達
1116‧‧‧升降平板
1118‧‧‧升降馬達
1120‧‧‧升降銷
1122‧‧‧淨化環
1124‧‧‧淨化槽道
1128‧‧‧氣體分散槽道
1130‧‧‧氣體輸送系統
1131‧‧‧節流閥
1132‧‧‧腔室蓋體組件
1133‧‧‧中心軸
1134‧‧‧發散槽道
1134a‧‧‧收斂槽道
1134b‧‧‧發散槽道
1135‧‧‧下部
1136a‧‧‧氣體入口
1136b‧‧‧氣體入口
1137‧‧‧上部
1138‧‧‧氣源
1139‧‧‧氣源
1140‧‧‧氣源
1142a‧‧‧閥
1142b‧‧‧閥
1143‧‧‧氣源
1143a‧‧‧輸送接線
1143b‧‧‧輸送接線
1144a‧‧‧閥座組件
1144b‧‧‧閥座組件
1145a‧‧‧淨化接線
1145b‧‧‧淨化接線
1146a‧‧‧閥座組件
1146b‧‧‧閥座組件
1148a‧‧‧可程式化邏輯控制器
1148b‧‧‧可程式化邏輯控制器
1150a‧‧‧氣體導管
1150b‧‧‧氣體導管
1152a‧‧‧每一閥
1152b‧‧‧每一閥
1160‧‧‧下表面
1162‧‧‧抗流器
1164‧‧‧填充反應區
1166‧‧‧抽泵區
1170‧‧‧蓋體平板
1172‧‧‧蓋體帽
1173‧‧‧下表面
1174‧‧‧循環氣流
1175‧‧‧距離
1176a‧‧‧中心接線
1176b‧‧‧中心接線
1177a‧‧‧距離
1177b‧‧‧距離
1178‧‧‧真空系統
1179‧‧‧抽泵槽道
1180‧‧‧控制單元
1182‧‧‧CPU
1183‧‧‧關聯的控制軟體
1184‧‧‧支援電路
1186‧‧‧記憶體
1188‧‧‧訊號匯流排
參照繪示於附圖中的實施例來提供於上文扼要總結之本發明的更具體敘述,以更詳細了解本發明之上述特徵結構。不過,須注意附圖僅繪示本發明的典型實施例,且因此不應視為對本發明範圍之限制,因為本發明可容許其他等效實施例。
第1圖繪示根據此處所述之一實施例之一流程圖,其顯示一用於沉積氮化鉭材料的方法;第2圖繪示根據此處所述之另一實施例之一流程圖,其顯示一用於沉積氮化鉭材料的方法;及第3A至3C圖繪示如此處另一實施例中所述之一製程腔室的概略橫剖面圖,其含有包括一蓋體組件和一適於ALD的氣體輸送設備。
100‧‧‧製程
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟

Claims (2)

  1. 一種用於處理一腔室與在一基材表面上沉積一材料的方法,包含以下步驟:在一預處理製程期間,將一製程腔室的多個內表面和配置在該製程腔室內部的一基材同時暴露至一處理氣體,該處理氣體包含一氫化配體化合物,其中該氫化配體化合物具有HL的化學式,其中該氫化配體化合物的配體L選自由環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、前述配體之異構物、前述配體之衍生物、及前述配體之組合所構成的群組,且在該預處理製程期間在該製程腔室的該等內表面上形成該氫化配體化合物的一塗層;以及隨後地在一氣相沉積製程期間,將該基材暴露至一第一前驅物氣體,以在該製程腔室內部的該基材上沉積一材料,其中該第一前驅物氣體包含一第一前驅物,該第一前驅物具有ML’x 的化學式,其中x為1、2、3、4、5、6或更大,M是一元素,該元素選自下列元素所構成的群組:鈦、鋯、鉿、鈮、鉭、鉬、鎢、釕、鈷、鎳、鈀、鉑、銅、鋁、鎵、銦、矽、鍺、錫、磷、砷以及銻,且每一L’獨立地為一配體,該配體選自下列配體所構成的群組:烷胺基、烷基亞胺基、烷氧基、烷基、烯、炔、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、前述配體之異構物、和前述配體之組合。
  2. 如請求項1所述之方法,其中該第一前驅物的配體L’選自由環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、前述配體之異構物、和前述配體之組合所構成的群組。
TW098128270A 2008-09-08 2009-08-21 原位腔室處理與沉積製程 TWI449803B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/206,705 US8491967B2 (en) 2008-09-08 2008-09-08 In-situ chamber treatment and deposition process

Publications (2)

Publication Number Publication Date
TW201016880A TW201016880A (en) 2010-05-01
TWI449803B true TWI449803B (zh) 2014-08-21

Family

ID=41797756

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098128270A TWI449803B (zh) 2008-09-08 2009-08-21 原位腔室處理與沉積製程

Country Status (6)

Country Link
US (1) US8491967B2 (zh)
JP (3) JP2012502179A (zh)
KR (2) KR101585166B1 (zh)
CN (1) CN102144281B (zh)
TW (1) TWI449803B (zh)
WO (1) WO2010027669A2 (zh)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
KR101713920B1 (ko) 2011-09-29 2017-03-09 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층
US8841703B2 (en) * 2011-10-31 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
TWI504774B (zh) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd 高純度pdmat前驅物蒸氣之製作方法
US9432809B2 (en) 2013-07-12 2016-08-30 Qualcomm Incorporated Providing OTDOA PRS assistance data
JP6116425B2 (ja) * 2013-07-19 2017-04-19 大陽日酸株式会社 金属薄膜の製膜方法
KR102219147B1 (ko) * 2013-11-13 2021-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
EP3114250B1 (en) * 2014-03-03 2024-05-01 Picosun Oy Protecting an interior of a gas container with an ald coating
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR101840293B1 (ko) * 2016-07-29 2018-03-20 주식회사 유진테크 머티리얼즈 박막 증착 방법
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
TWI627773B (zh) * 2017-05-04 2018-06-21 旺宏電子股份有限公司 半導體結構及其形成方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111133127A (zh) * 2017-09-26 2020-05-08 应用材料公司 为了较佳生物传感器性能的用于原生氧化物移除和介电氧化物再生长的方法、材料和工艺
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
CN109594059B (zh) * 2018-10-31 2020-12-08 青岛大学 一种用于三乙胺检测的异质敏感薄膜的原子层沉积制备方法
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
WO2020175152A1 (ja) * 2019-02-25 2020-09-03 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11643751B2 (en) 2020-03-10 2023-05-09 Matrix Sensors, Inc. Apparatus and method for producing a crystalline film on a substrate surface
CN111501016A (zh) * 2020-04-09 2020-08-07 中国科学院微电子研究所 一种高均一性的原子层沉积方法及其应用
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220043028A (ko) * 2020-09-28 2022-04-05 가부시키가이샤 코쿠사이 엘렉트릭 기화 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법
JP7258970B2 (ja) * 2020-09-28 2023-04-17 株式会社Kokusai Electric 気化システム、基板処理装置および半導体装置の製造方法
KR102672156B1 (ko) 2021-07-30 2024-06-05 (주)원익머트리얼즈 유기금속화합물 전구체를 이용한 박막 증착 방법
WO2023141550A1 (en) * 2022-01-21 2023-07-27 X-Energy, Llc Deposition of ceramic layers using liquid organometallic precursors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200801228A (en) * 2006-05-05 2008-01-01 Applied Materials Inc Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
TW200912030A (en) * 2007-09-14 2009-03-16 Sigma Aldrich Co Methods of preparing thin films by atomic layer deposition using titanium-based precursors

Family Cites Families (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP4308867B2 (ja) * 1992-06-08 2009-08-05 東京エレクトロン株式会社 高融点金属窒化膜の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
WO1995022171A2 (en) * 1994-02-03 1995-08-17 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TWI227531B (en) 1997-03-05 2005-02-01 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
DE50016103D1 (de) 1999-05-12 2011-06-16 Qimonda Ag Kondensator für halbleiteranordnung und verfahren nselben
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
JP2001108199A (ja) * 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100375102B1 (ko) * 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100493206B1 (ko) 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
DE20221269U1 (de) * 2001-10-26 2005-12-08 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur Abscheidung atomarer Schichten
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
AU2003221212A1 (en) 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
ATE397275T1 (de) 2002-06-10 2008-06-15 Imec Inter Uni Micro Electr Transistoren und speicherkondensatoren enthaltend eine hfo2-zusammensetzung mit erhöhter dielektrizitätskonstante
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
CN100533651C (zh) 2002-06-12 2009-08-26 应用材料有限公司 用于处理衬底的等离子体方法和装置
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
WO2004106584A1 (en) 2003-05-27 2004-12-09 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7736697B2 (en) * 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
CN101313392B (zh) 2005-10-05 2011-03-16 陶氏康宁公司 涂布的基底及其制备方法
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200801228A (en) * 2006-05-05 2008-01-01 Applied Materials Inc Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
TW200912030A (en) * 2007-09-14 2009-03-16 Sigma Aldrich Co Methods of preparing thin films by atomic layer deposition using titanium-based precursors

Also Published As

Publication number Publication date
JP2015134966A (ja) 2015-07-27
JP2018048394A (ja) 2018-03-29
CN102144281A (zh) 2011-08-03
WO2010027669A2 (en) 2010-03-11
JP6412987B2 (ja) 2018-10-24
WO2010027669A3 (en) 2010-05-14
KR20110056540A (ko) 2011-05-30
US8491967B2 (en) 2013-07-23
JP6189350B2 (ja) 2017-08-30
KR20160009706A (ko) 2016-01-26
KR101772635B1 (ko) 2017-08-29
KR101585166B1 (ko) 2016-01-13
US20100062614A1 (en) 2010-03-11
TW201016880A (en) 2010-05-01
CN102144281B (zh) 2014-06-25
JP2012502179A (ja) 2012-01-26

Similar Documents

Publication Publication Date Title
TWI449803B (zh) 原位腔室處理與沉積製程
TWI521084B (zh) 於原子層沉積製程中調節沉積速率的方法
JP5965955B2 (ja) 原子層堆積装置
JP5019430B2 (ja) 間欠的なプリカーサガスフロープロセスを使用して金属層を形成する方法。
US7682946B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
WO2005098938A1 (en) Ruthenium layer formation for copper film deposition
WO2021030327A1 (en) Molybdenum thin films by oxidation-reduction
WO2012003341A2 (en) Methods for forming tungsten-containing layers
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
TW201908512A (zh) 沉積含釔膜的方法及設備
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition