JP2012502179A - インサイチュチャンバ処理および堆積プロセス - Google Patents

インサイチュチャンバ処理および堆積プロセス Download PDF

Info

Publication number
JP2012502179A
JP2012502179A JP2011526095A JP2011526095A JP2012502179A JP 2012502179 A JP2012502179 A JP 2012502179A JP 2011526095 A JP2011526095 A JP 2011526095A JP 2011526095 A JP2011526095 A JP 2011526095A JP 2012502179 A JP2012502179 A JP 2012502179A
Authority
JP
Japan
Prior art keywords
gas
substrate
precursor
tantalum
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011526095A
Other languages
English (en)
Inventor
ポール, エフ. マ,
ジョゼフ, エフ. オーブション,
メイ チャン,
スティーヴン, エイチ. キム,
ディエン−イェ ウー,
ノルマン, エム. ナカシマ,
マーク ジョンソン,
ロージャ パラコデティ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012502179A publication Critical patent/JP2012502179A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本発明の実施形態は、原子層堆積(ALD)または化学気相堆積(CVD)などの気相堆積プロセス中に、プロセッシングチャンバの内面を処理し、材料を堆積させる方法を提供する。一実施形態では、前処理プロセス中に、プロセッシングチャンバの内面および基板を、水素化配位子化合物などの試薬にさらすことができる。この水素化配位子化合物は、次の堆積プロセス中に使用される有機金属前駆体から形成される遊離配位子と同じ配位子とすることができる。この遊離配位子は、堆積プロセス中に、通常、水素化または熱分解によって形成される。一例では、プロセッシングチャンバおよび基板は、ペンタキス(ジメチルアミノ)タンタル(PDMAT)などのアルキルアミノ配位子を有する有機金属化学前駆体を利用した気相堆積プロセスを行う前に、前処理プロセス中に、アルキルアミン化合物(例えば、ジメチルアミン)にさらされる。

Description

本発明の実施形態は、一般に、半導体および他の電子デバイスプロセッシングに関し、より詳細には、気相堆積プロセス前に、プロセッシングチャンバの表面およびその内部にある基板を処理する改良方法に関する。
電子デバイス産業および半導体産業は、表面積が益々大きくなる基板上に堆積させる層の均一性を向上させつつ、より高い製造歩留りを求めて努力し続けている。さらに、これらのファクターを新素材と組み合わせることにより、基板上の単位面積当たりの回路集積化がより高いものとなる。より高い均一性、堆積速度、および層特性に関するプロセス制御の必要性は、高度回路集積化の要求とともに高くなっている。マルチレベル集積回路に、タンタル、窒化タンタル、および窒化タンタルシリコンなどのタンタル含有層を形成することは、特に接触の形成に関して、プロセス制御に多くの難題を投げかける。
スパッタタンタルおよび反応性スパッタ窒化タンタルから形成されたバリア層は、銅拡散の制御での使用に好適な実証された特性を有する。例示的な特性としては、高導電性、高い熱的安定性、および異種原子の拡散に対する抵抗性が挙げられる。物理気相堆積(PVD)および原子層堆積(ALD)の両プロセスとも、小サイズ(例えば、幅約90nm)および約5:1の高アスペクト比のフィーチャに、タンタルまたは窒化タンタルを堆積させるために使用される。しかし、PVDプロセスはこのサイズおよびアスペクト比で限界に達している可能性があると考えられており、一方、ALDプロセスは他の問題を抱えている。
ALDプロセス中に遭遇する共通の問題としては、同一のALDプロセッシングチャンバ内で複数の運転を終了した後、堆積速度および不均一性の性能の安定性が失われることが挙げられる。プロセッシングチャンバ(内部のプロセスキットを含む)のエージングは、堆積回数とプロセッシングチャンバの内面に堆積した膜の種類の関数である。プロセッシングチャンバの内面に堆積した膜は、二次反応を持ち込むことによりALDプロセスの堆積特性に著しい影響を与える。二次反応は、ALDチャンバ内で処理(process)される基板の数の増加とともに、堆積速度および不均一性の性能のドリフトをもたらす。さらに、プロセッシングチャンバの内面に堆積した膜がはがれて微粒子を形成し、これが最後には基板表面を汚染する恐れがある。
したがって、気相堆積プロセス中の堆積速度の安定性および基板上の堆積材料の均一性を高める必要があるとともに、プロセッシングチャンバ内の汚染物質を減少または排除する必要がある。
一実施形態では、チャンバを処理し、基板表面上に材料を堆積させる以下の方法が提供される。この方法は、前処理プロセス中に、プロセッシングチャンバの内面および前記プロセッシングチャンバ内に配置された基板を、水素化配位子化合物を含む処理ガスにさらすことと、続いて、気相堆積プロセス中に、前記基板を第1前駆体ガスにさらして前記基板上に材料を堆積させることと、を含む。前記基板は、原子層堆積(ALD)プロセスまたは化学気相堆積(CVD)プロセスなどの気相堆積プロセス中に、第1前駆体および少なくとも第2前駆体ガスに順次または同時にさらすことができる。
多くの例において、処理ガス内の水素化配位子化合物はHLの化学式を有しており、式中、Lは、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、またはこれらの誘導体などの配位子である。前記第1前駆体ガスは、ML’の化学式を有する第1前駆体を含むことができ、式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Nb、Ta、Mo、W、Ru、Co、Ni、Pd、Pt、Cu、Al、Ga、In、Si、Ge、Sn、P、As、またはSbなどの元素であり、 L’は、それぞれ独立に、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、水素、ハロゲン、これらの誘導体、またはこれらの組合せなどの配位子である。
いくつかの実施形態では、前記方法は、気相堆積プロセスがALDプロセスであること、基板が、ALDプロセス中に、アルキルアミノ金属前駆体ガスおよび第2前駆体ガスに順次さらされることを提供する。他の実施形態では、気相堆積プロセスはCVDプロセスであり、基板は、CVDプロセス中に、アルキルアミノ金属前駆体ガスおよび第2前駆体ガスに同時にさらされる。一例では、チャンバを処理し、基板表面上に材料を堆積させる以下の方法が提供される。この方法は、前処理プロセス中に、アルキルアミン化合物を含む処理ガスに、プロセッシングチャンバの内面および前記プロセッシングチャンバ内の基板をさらすことと、ALDプロセス中に、アルキルアミノ金属前駆体ガスおよび少なくとも第2前駆体ガスに前記基板を順次さらして、基板上に材料を堆積させることと、を含む。一例では、第2の前駆体ガスはアンモニアなどの窒素前駆体を含んでおり、これは、窒化タンタルなどの金属窒化物材料を堆積させるために使用される。
いくつかの例では、処理ガスは、HNRまたはHNR’R”の化学式を有するアルキルアミン化合物などの水素化配位子化合物を含み、式中、R、R’、およびR”は、それぞれ独立に、メチル、エチル、プロピル、ブチル、アミル、フェニル、アリール、これらの異性体、これらの誘導体、またはこれらの組合せである。アルキルアミン化合物は、メチルアミン、ジメチルアミン、エチルアミン、ジエチルアミン、メチルエチルアミン、プロピルアミン、ジプロピルアミン、ブチルアミン、ジブチルアミン、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。処理ガスは、さらに、アンモニア、水素、窒素、アルゴン、ヘリウムまたはこれらの組合せなどの少なくとも1種のキャリアガスを含むことができる。一例では、処理ガスは、ジメチルアミン、アンモニア、およびアルゴンなどの別のキャリアガスを含む。
いくつかの実施形態では、アルキルアミノ金属前駆体ガスは、ML’の化学式を有するアルキルアミノ金属前駆体を含んでおり、式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Ta、Mo、W、またはSiなどの、金属または他の元素とすることができ、配位子L’は、それぞれ独立に、アルキルアミノ配位子などの配位子であり、これには、N(CH、N(C、N(C、N(C、N(CH)(C)、これらの異性体、これらの誘導体、またはこれらの組合せが含まれる。いくつかの例では、前記金属または元素Mは、Si、Ti、Zr、またはHfとすることができ、xは通常4である。他の例では、アルキルアミノ金属前駆体はタンタル前駆体であり、金属MはTaであり、xは通常4または5である。タンタル前駆体の例としては、ペンタキス(ジメチルアミノ)タンタル(PDMAT)、ペンタキス(ジエチルアミノ)タンタル、ペンタキス(エチルメチルアミノ)タンタル、tert−ブチルイミノトリス(ジメチルアミノ)タンタル、tert−ブチルイミノトリス(ジエチルアミノ)タンタル、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル、tert−アミルイミノ−トリス(ジメチルアミノ)タンタル、tert−アミルイミノ−トリス(ジエチルアミノ)タンタル、tert−アミルイミノ−トリス(エチルメチルアミノ)タンタル、またはこれらの誘導体が挙げられる。一例では、タンタル前駆体はPDMATであり、アルキルアミン化合物ガスはメチルアミンまたはジメチルアミンを含んでいる。
他の例では、処理ガス中の水素化配位子化合物はROHの化学式を有するアルコール化合物とすることができ、式中、Rは、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体である。アルコール化合物は、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。水素化配位子化合物がアルコールである例では、第1前駆体は、OCH、OC、OC、OC、これらの異性体、またはこれらの誘導体などのアルコキシ配位子を有することができる。他の例では、水素化配位子化合物の配位子Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができ、また、第1前駆体の配位子L’は、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができる。
プロセッシングチャンバは、一般に、リッドアセンブリおよびチャンバボディを有しており、これらを、前処理プロセス中に、独立に、約30℃から約100℃まで、好ましくは約40℃から約80℃までの範囲内の温度に加熱することができる。リッドアセンブリとチャンバボディの内面を、前処理プロセス中に、アルキルアミン化合物ガスにさらすことができる。前処理プロセスは、約5秒から約60秒まで、好ましくは約15秒から約40秒まで、より好ましくは約10秒から約30秒までの範囲内の時間続けることができる。
前処理プロセス中のプロセッシングチャンバの内部面の処理は、基板表面全体にわたる堆積材料の均一性を高める(または不均一性を低下させる)のに役立つ−この状態は前処理プロセスを行わない状態とは対照的である。基板表面全体にわたる堆積材料の不均一性は、約12%以下、好ましくは約10%以下、より好ましくは約8%以下、例えば約6%以下とすることができる。
一例では、チャンバを処理し、基板表面上に材料を堆積させる以下の方法が提供される。この方法は、プロセッシングチャンバの内面および前記プロセッシングチャンバ内に配置された基板を、連続フローを有するキャリアガスにさらすことと、前処理プロセス中に、メチルアミンまたはジメチルアミンを含む処理ガスを連続的に流れるキャリアガスに導入して、前記プロセッシングチャンバの内面および前記基板を前記処理ガスにさらすことと、を含む。この方法は、ALDプロセス中に、連続的に流れるキャリアガスへタンタル前駆体ガスおよび窒素前駆体ガスを交互にまたは順次パルス的に送ってタンタル前駆体ガスおよび窒素前駆体ガスに基板を順次さらし、基板上に窒化タンタル材料を堆積させることをさらに提供する。一例では、タンタル前駆体ガスはPDMATを含んでおり、窒素前駆体ガスはアンモニアを含んでいる。
本発明の上記特徴が詳細に理解され得るように、上で簡単に纏めた本発明のより詳しい説明は実施形態によって参照することができ、その一部は添付の図面に示されている。しかし、添付の図面は本発明の典型的な実施形態を示すだけであり、したがって、本発明は他の同等に有効な実施形態を認めることができるので、その範囲の限定とみなすべきではないことに留意されたい。
本明細書に記載された一実施形態に従って窒化タンタル材料を堆積させる方法を示すフローダイヤグラムである。 本明細書に記載された別の実施形態に従って窒化タンタル材料を堆積させる方法を示すフローダイヤグラムである。 本明細書の別の実施形態に記載されているALD用に作られた、リッドアセンブリおよびガス送達装置を含むプロセッシングチャンバの概略断面図である。 本明細書の別の実施形態に記載されているALD用に作られた、リッドアセンブリおよびガス送達装置を含むプロセッシングチャンバの概略断面図である。 本明細書の別の実施形態に記載されているALD用に作られた、リッドアセンブリおよびガス送達装置を含むプロセッシングチャンバの概略断面図である。
本発明の実施形態は、気相堆積プロセス中に、インサイチュでプロセッシングチャンバの内面を処理し、プロセッシングチャンバ内の基板上に材料を堆積させる方法を提供する。基板は、原子層堆積(ALD)または化学気相堆積(CVD)などの気相堆積プロセス中に、第1前駆体および第2前駆体ガスに順次または同時にさらすことができる。一実施形態では、プロセッシングチャンバの内面および基板は、前処理プロセス中に、水素化配位子化合物などの試薬を含む処理ガスにさらすことができる。この水素化配位子化合物は、次の気相堆積プロセス中に使用される有機金属前駆体から形成される遊離配位子と同じ配位子とすることができる。この遊離配位子は、通常、堆積プロセス中に水素化または熱分解によって形成される。一例では、プロセッシングチャンバおよび基板は、ペンタキス(ジメチルアミノ)タンタル(PDMAT、((CHN)Ta)などのアルキルアミノ配位子を有することができる有機金属化学前駆体を利用した気相堆積プロセスを行う前に、前処理プロセス中に、アルキルアミン化合物(例えば、ジメチルアミン)にさらされる。
多くの例では、処理ガスは、HLの化学式を有する水素化配位子化合物を含んでおり、式中、Lは、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、またはこれらの誘導体などの配位子である。この化学的前駆体ガスは、ML’の化学式を有する化学的前駆体を含んでおり、式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Nb、Ta、Mo、W、Ru、Co、Ni、Pd、Pt、Cu、Al、Ga、In、Si、Ge、Sn、P、As、またはSbなどの元素であり、L’は、それぞれ独立に、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、水素、ハロゲン、これらの誘導体、またはこれらの組合せなどの配位子である。
いくつかの実施形態では、前記方法は、気相堆積プロセスがALDプロセスであること、基板が、ALDプロセス中に、アルキルアミノ金属前駆体ガスおよび別の化学的前駆体ガスに順次さらされることを提供する。他の実施形態では、気相堆積プロセスはCVDプロセスであり、基板は、CVDプロセス中に、アルキルアミノ金属前駆体ガスおよび別の化学的前駆体ガスに同時にさらされる。一例では、この方法は、前処理プロセス中に、アルキルアミン化合物を含む処理ガスに、プロセッシングチャンバの内面および前記プロセッシングチャンバ内の基板をさらすことと、ALDプロセス中に、アルキルアミノ金属前駆体ガスおよび少なくとも1種の追加の化学的前駆体ガスに前記基板を順次さらして、基板上に材料を堆積させることと、を提供する。一例では、この追加の化学的前駆体ガスはアンモニアなどの窒素前駆体を含んでおり、これは、窒化タンタルなどの金属窒化物材料を堆積させるために使用される。
いくつかの例では、処理ガスは、HNRまたはHNR’R”の化学式を有するアルキルアミン化合物を含んでおり、式中、R、R’、およびR”は、それぞれ独立に、メチル、エチル、プロピル、ブチル、アミル、フェニル、アリール、これらの異性体、これらの誘導体、またはこれらの組合せである。アルキルアミン化合物は、メチルアミン、ジメチルアミン、エチルアミン、ジエチルアミン、メチルエチルアミン、プロピルアミン、ジプロピルアミン、ブチルアミン、ジブチルアミン、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。処理ガスは、さらに、アンモニア、水素、窒素、アルゴン、ヘリウム、またはこれらの組合せなどの少なくとも1種のキャリアガスを含むことができる。一例では、処理ガスは、ジメチルアミン、アンモニア、およびアルゴンなどの別のキャリアガスを含む。
いくつかの実施形態では、アルキルアミノ金属前駆体ガスは、ML’の化学式を有するアルキルアミノ金属前駆体を含んでおり、式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Ta、Mo、W、またはSiなどの、金属または他の元素とすることができ、配位子L’は、それぞれ独立に、アルキルアミノ配位子などの配位子であり、これには、N(CH、N(C、N(C、N(C、N(CH)(C)、これらの異性体、これらの誘導体、またはこれらの組合せが含まれる。いくつかの例では、前記金属または元素Mは、Si、Ti、Zr、またはHfとすることができ、xは通常4である。他の例では、アルキルアミノ金属前駆体はタンタル前駆体であり、金属MはTaであり、xは通常4または5である。タンタル前駆体の例としては、ペンタキス(ジメチルアミノ)タンタル、ペンタキス(ジエチルアミノ)タンタル、ペンタキス(エチルメチルアミノ)タンタル、tert−ブチルイミノトリス(ジメチルアミノ)タンタル、tert−ブチルイミノトリス(ジエチルアミノ)タンタル、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル、tert−アミルイミノ−トリス(ジメチルアミノ)タンタル、tert−アミルイミノ−トリス(ジエチルアミノ)タンタル、tert−アミルイミノ−トリス(エチルメチルアミノ)タンタル、またはこれらの誘導体が挙げられる。一例では、タンタル前駆体はPDMATであり、アルキルアミン化合物ガスはメチルアミンまたはジメチルアミンを含んでいる。
他の例では、処理ガス中の水素化配位子化合物はROHの化学式を有するアルコール化合物とすることができ、式中、Rは、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体である。アルコール化合物は、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。水素化配位子化合物がアルコールである例では、化学的前駆体は、OCH、OC、OC、OC、これらの異性体、またはこれらの誘導体などのアルコキシ配位子を有することができる。他の例では、水素化配位子化合物の配位子Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができ、また、化学的前駆体の配位子L’は、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができる。
プロセッシングチャンバは、一般に、リッドアセンブリおよびチャンバボディを有しており、これらを、前処理プロセス中に、独立に、約30℃から約100℃まで、好ましくは約40℃から約80℃までの範囲内の温度に加熱することができる。リッドアセンブリとチャンバボディの内面を、前処理プロセス中に、アルキルアミン化合物ガスにさらすことができる。前処理プロセスは、約5秒から約60秒まで、好ましくは約15秒から約40秒まで、より好ましくは約10秒から約30秒までの範囲内の時間続けることができる。
一例では、チャンバを処理し、基板表面上に材料を堆積させる以下の方法が提供される。この方法は、プロセッシングチャンバの内面および前記プロセッシングチャンバ内に配置された基板を、連続フローを有するキャリアガスにさらすことと、前処理プロセス中に、メチルアミンまたはジメチルアミンを含む処理ガスを連続的に流れるキャリアガスに導入して、前記プロセッシングチャンバの内面および前記基板を前記処理ガスにさらすことと、を含む。この方法は、ALDプロセス中に、連続的に流れるキャリアガスへタンタル前駆体ガスおよび窒素前駆体ガスを交互にまたは順次パルス的に送ってタンタル前駆体ガスおよび窒素前駆体ガスに基板を順次さらし、基板上に窒化タンタル材料を堆積させることをさらに提供する。一例では、タンタル前駆体ガスはPDMATを含んでおり、窒素前駆体ガスはアンモニアを含んでいる。
図1は、本明細書に記載された一実施形態に従って窒化タンタル材料を堆積させるALDプロセス100のシーケンスのフローチャートを示す。ALDプロセス100は、プロセッシングチャンバ内へ投与され、プロセッシングチャンバ内の基板に当たる一定の流量のキャリアガスを提供する。ステップ102では、プロセッシングチャンバを、所定の温度および圧力に加熱および加圧することができる。さらに、ステップ102中に、プロセッシングチャンバおよび/または基板を前処理プロセスにさらすことができる。前処理プロセスは、プロセッシングチャンバの内面および基板を、水素化配位子化合物、好ましくはメチルアミン、ジメチルアミン、またはこれらの誘導体などのアルキルアミン化合物、を含む処理ガスにさらすことを提供する。
水素化配位子化合物を含む処理ガスを、キャリアガスとともにまたはキャリアガスなしで、プロセッシングチャンバの内面または基板に当てることができる。多くの例では、処理ガスは、水素化配位子化合物に加えて少なくとも1種のキャリアガスも含む。処理ガスのキャリアガスは、アンモニア、アルゴン、窒素、水素、ヘリウム、またはこれらの混合物とすることができる。他の実施形態、例えば酸化物または他の材料を形成するための実施形態では、処理ガスのキャリアガスとしては、酸素、亜酸化窒素、または空気を挙げることができる。
プロセッシングチャンバおよび基板を、ステップ102中に、約0.5slmから約20slmまで、好ましくは約1slmから約16slmまで、より好ましくは約2slmから約8slmまでの範囲内、例えば約4slmのガス流量を有する処理ガスにさらすことができる。処理ガスは、水素化配位子化合物を含むアンプルまたはバブラー中にキャリアガスを流すことにより形成することができる。あるいは、処理ガスは、水素化配位子化合物とキャリアガスを同時に流すことにより形成することもできる。水素化配位子化合物は、約5sccmから約1,000sccmまで、好ましくは約25sccmから約500sccmまで、より好ましくは約50sccmから約150sccmまでの範囲内、例えば約100sccmのガス流量を有することができる。一例では、処理ガスは、メチルアミン、ジメチルアミン、またはこれらの誘導体などのアルキルアミン化合物、ならびに少なくとも1種のキャリアガスを含んでいる。一例では、処理ガスは、約100sccmの流量を有するジメチルアミンおよび約4slmの流量を有するアルゴンを含むことができる。別の例では、処理ガスは、約20sccmの流量を有するジメチルアミン、約1slmの流量を有するアンモニア、および約8slmの流量を有するアルゴンを含むことができる。プロセッシングチャンバおよび/または基板は、約2秒から約120秒まで、好ましくは約5秒から約60秒までの範囲内の時間、例えば約20秒または約40秒間、水素化配位子または他の試薬を含む処理ガスにさらすことができる。
前処理プロセスおよび堆積プロセス中、プロセッシングチャンバおよび基板は、PDMATなどの選択された化学的前駆体の略熱分解温度未満に保持することができる。前処理プロセスおよび堆積プロセス中のプロセッシングチャンバ、基板、および/または基板台座の例示的な温度は、約100℃から約500℃まで、好ましくは約200℃から約400℃まで、より好ましくは約250℃から約300℃までの範囲内とすることができる。プロセッシングチャンバは、チャンバボディおよびチャンバリッドを有することができ、これらは、それぞれ独立に、約25℃から約300℃まで、好ましくは約30℃から約100℃まで、より好ましくは約40℃から約80℃までの範囲内の温度に加熱することができる。プロセッシングチャンバは、約1ミリトルから約100トルまで、好ましくは約1トルから約50トルまで、より好ましくは約5トルから約20トルまでの範囲内、例えば約10トルの内部圧力を有することができる。
窒化タンタル層の形成は、ステップ104で、プロセッシングチャンバの中へ基板全体にわたってキャリアガスを流すことから始まると言える。ステップ106で、タンタル前駆体のパルスをプロセッシングチャンバへ投与する。タンタル前駆体は、キャリアガスの流れへパルス的に送られる。タンタル前駆体の単分子層が基板上に吸着される。残ったタンタル前駆体は、パージガスのフローおよび/または真空システムの吸引によって除去することができる。ステップ108中に、キャリアガスを基板に連続的に当て、窒素前駆体のパルスをキャリアガスへ加える。アンモニアなどの窒素前駆体は、吸着したタンタル前駆体と反応して基板上に窒化タンタル層を形成する。残った窒素前駆体および任意の副生成物(例えば、有機化合物)は、パージガスのフローおよび/または真空システムの吸引によって除去することができる。ステップ110で、所望の窒化タンタル層厚みが得られた場合は、堆積プロセスはステップ112で終了する。しかし、一般には、ステップ104〜110のサイクルを複数回繰り返した後、所望の窒化タンタル層厚みが得られる。一例では、約20Åの厚みを有する膜を堆積させるために、PDMATおよびアンモニアが40サイクル順次パルス的に送られる。
プロセス100の別法としては、窒化タンタル層の形成は、基板上に窒素前駆体の単分子層を吸着させ、その後タンタル前駆体の単分子層を吸着させることから始めることができる。さらに、他の例では、反応物ガスの混合を防ぐために、反応物ガスおよび/またはパージガスのパルス間でポンプ排気を単独で使用することができる。
PDMAT前駆体は、ALDプロセッシングチャンバに流す前に、アンプル、気化器、バブラー、または同様の容器内で加熱することができる。PDMATは、少なくとも30℃、好ましくは約45℃から約90℃まで、より好ましくは約50℃から約80℃までの範囲内の温度に、例えば約73℃に加熱することができる。あらかじめ加熱されたPDMAT前駆体は、PDMAT前駆体が室温(約20℃)にある場合より、キャリアガス中により完全に保持される。PDMAT前駆体を所望の温度に加熱するためには、アンプル、送達ライン、ならびにアンプルおよび/または送達ライン上のバルブは、それぞれ独立に、約25℃から約300℃まで、好ましくは約50℃から約150℃まで、より好ましくは約70℃から約120℃までの範囲内の温度に加熱することができる。一例では、アンプルの側壁部は約85℃に加熱することができ、送達ラインは約100℃に加熱することができ、かつ、バルブは約95℃に加熱することができる。
説明を明瞭かつ容易にするために、ALDプロセスを使用した窒化タンタルバリア層の堆積に関して、本方法を詳細に説明することとする。PDMATなどのタンタル前駆体またはタンタル含有化合物のパルスを、プロセッシングチャンバへ導入することができる。タンタル前駆体はキャリアガスまたはパージガスの支援を受けて供給することができる。キャリアガスまたはパージガスとしては、それだけに限らないが、ヘリウム、アルゴン、窒素、水素、成膜ガス、またはこれらの混合物が挙げられる。アンモニアなどの窒素前駆体または窒素含有化合物のパルスも、プロセッシングチャンバへ導入される。キャリアガスを使用して窒素前駆体を送達することができる。一態様では、ガス源(例えば、タンクまたはインハウス)からパージガスのフローを連続的に供給することができ、これは、タンタル前駆体のパルス間と窒素前駆体のパルス間のパージガスとしての役割を果たし、かつ、タンタル前駆体と窒素前駆体のパルス中のキャリアガスとしての役割を果たす。他の態様では、タンタル前駆体の各パルスと窒素前駆体の各パルスを送った後、パージガスのパルスを供給することができる。さらに、一定のパージまたはキャリアガスを、各々の堆積ステップまたは半反応中にプロセッシングチャンバを通して流すこともできる。
一例では、基板を約250℃から約300℃までの範囲内の温度に加熱することができ、チャンバの内圧を約5トルから約15トルの範囲内とすることができる。基板を、約1,000sccmから約3,000sccmまでの範囲内、好ましくは約1,500sccmの流量を有するアルゴンキャリアガスにさらすことができる。タンタル前駆体ガスは、あらかじめ加熱したPDMATのアンプルを通して、約200sccmから約2,000sccmまで、好ましくは約500sccmの速度でアルゴンキャリアガスを流すことにより形成される。PDMATは約73℃に保持される。PDMATを含むプロセスガスは、約0.1秒から約3.0秒まで、好ましくは約0.5秒から約1.5秒までの範囲内の時間、例えば約1秒間、基板表面に投与される。
基板をPDMATのパルスにさらした後、アルゴンキャリアガスのフローは、約0.2秒から約5.0秒まで、好ましくは約0.25秒から約1.5秒までの範囲内の時間、例えば約0.5秒間、パージし続けることができる。真空システムは、このパージステップ中にすべての残ったPDMATを除去する。続いて、アンモニアを含む窒素含有プロセスガスのパルスを基板表面に投与する。プロセスガスは、キャリアガス中に窒素前駆体を含めることができ、あるいは窒素前駆体単独とすることができる。一例では、プロセスガスはアンモニアおよび窒素を含有している。アンモニアを含有するプロセスガスは、約1,000sccmから約3,000sccmまで、好ましくは約1,500sccmの速度で送達され、約0.1秒から約3.0秒まで、好ましくは約0.5秒から約1.5秒までの範囲内の時間、例えば1秒間、基板表面に投与される。アンモニアを含有するプロセスガスのパルスの後、アルゴンキャリアガスのフローは、約0.2秒から約5.0秒まで、好ましくは約0.25秒から約1.5秒までの範囲内の時間、例えば約0.5秒間、続けることができる。真空システムは、すべての残った窒素前駆体および/または反応中に生成したすべての副生成物を除去する。
ALDサイクルは、バリア層用の窒化タンタルなどの堆積材料の所定の厚み、例えば、約5Åから約200Åまで、好ましくは約10Åから約30Åまでの範囲内、例えば約20Åの厚みが得られるまで繰り返される。前処理プロセス中のプロセッシングチャンバの内部面の処理は、基板表面全体にわたる堆積材料の均一性を高める(または不均一性を低下させる)のに役立つ−この状態は前処理プロセスを行わない状態とは対照的である。基板表面全体にわたる堆積材料の不均一性は、約12%以下、好ましくは約10%以下、より好ましくは約8%以下、例えば約6%以下とすることができる。
図2は、本明細書に記載された一実施形態に従って窒化タンタル材料を堆積させるALDプロセス200のシーケンスのフローチャートを示す。ALDプロセス200は、プロセッシングチャンバ内へ投与され、プロセッシングチャンバ内の基板に当たる一定の流量のキャリアガスを提供する。ステップ202では、プロセッシングチャンバを、所定の温度および圧力に加熱および加圧することができる。ステップ202中に、プロセッシングチャンバおよび/または基板を前処理プロセスにさらすことができる。前処理プロセスは、プロセッシングチャンバの内面および基板を、水素化配位子化合物、好ましくはメチルアミン、ジメチルアミン、またはこれらの誘導体などのアルキルアミン化合物、を含む処理ガスにさらすことを提供する。
水素化配位子化合物を含む処理ガスに、キャリアガスとともにまたはキャリアガスなしで、プロセッシングチャンバの内面または基板をさらすことができる。多くの例では、処理ガスは、水素化配位子化合物に加えて少なくとも1種のキャリアガスも含む。処理ガスのキャリアガスは、アンモニア、アルゴン、窒素、水素、ヘリウム、またはこれらの混合物とすることができる。他の実施形態、例えば酸化物または他の材料を形成するための実施形態では、処理ガスのキャリアガスとしては、酸素、亜酸化窒素、または空気を挙げることができる。
プロセッシングチャンバおよび基板を、ステップ202中に、約0.5slmから約20slmまで、好ましくは約1slmから約16slmまで、より好ましくは約2slmから約8slmまでの範囲内、例えば約4slmのガス流量を有する処理ガスにさらすことができる。処理ガスは、水素化配位子化合物を含むアンプルまたはバブラー中にキャリアガスを流すことにより形成することができる。あるいは、処理ガスは、水素化配位子化合物とキャリアガスを同時に流すことにより形成することができる。水素化配位子化合物は、約5sccmから約1,000sccmまで、好ましくは約25sccmから約500sccmまで、より好ましくは約50sccmから約150sccmまでの範囲内、例えば約100sccmのガス流量を有することができる。一例では、処理ガスは、メチルアミン、ジメチルアミン、またはこれらの誘導体などのアルキルアミン化合物、ならびに少なくとも1種のキャリアガスを含んでいる。一例では、処理ガスは、約100sccmの流量を有するジメチルアミンおよび約4slmの流量を有するアルゴンを含むことができる。別の例では、処理ガスは、約20sccmの流量を有するジメチルアミン、約1slmの流量を有するアンモニア、および約8slmの流量を有するアルゴンを含むことができる。プロセッシングチャンバおよび/または基板を、約2秒から約120秒まで、好ましくは約5秒から約60秒までの範囲内、例えば約20秒間または約40秒間、水素化配位子または他の試薬を含む処理ガスにさらすことができる。
一実施形態では、ステップ204中に、パージガスの第1パルスがプロセッシングチャンバ内へ基板全体にわたって投与される。ステップ204および208中に、真空システムがプロセッシングチャンバからガスを除去する。ステップ206中に、基板はタンタル前駆体のパルスにさらされる。PDMATは基板に吸着して単分子層を形成する。ステップ208中に、パージガスの第2パルスが、過剰のPDMATとすべてのガス状汚染物を除去する。ステップ210中に、窒素前駆体が、チャンバ内へ基板全体にわたってパルス的に送られる。窒素前駆体は、吸着されたPDMATと反応して、窒化タンタルなどのタンタル含有材料を形成する。ステップ212で所望の窒化タンタル層厚みが得られた場合は、堆積プロセスはステップ214で終了する。しかし、一般には、ステップ204〜212のサイクルを複数回繰り返した後、所望の窒化タンタル層厚みが得られる。一例では、約10Åの厚みを有する膜を堆積させるために、PDMATおよびアンモニアが20サイクル順次パルス的に送られる。
前処理プロセスおよび堆積プロセス中、プロセッシングチャンバおよび基板は、PDMATなどの選択された化学的前駆体の略熱分解温度未満に保持することができる。前処理プロセスおよび堆積プロセス中のプロセッシングチャンバ、基板、および/または基板台座の例示的な温度は、約100℃から約500℃まで、好ましくは約200℃から約400℃まで、より好ましくは約250℃から約300℃までの範囲内とすることができる。プロセッシングチャンバは、チャンバボディおよびチャンバリッドを有することができ、これらは、それぞれ独立に、約25℃から約300℃まで、好ましくは約30℃から約100℃まで、より好ましくは約40℃から約80℃までの範囲内の温度に加熱することができる。プロセッシングチャンバは、約1ミリトルから約100トルまで、好ましくは約1トルから約50トルまで、より好ましくは約5トルから約20トルまでの範囲内、例えば約10トルの内部圧力を有することができる。
タンタル前駆体ガスは、上記のように、あらかじめ加熱されたPDMATのアンプルを通してアルゴンキャリアガスを流すことにより形成することができる。基板を、約0.1秒から約3.0秒まで、好ましくは約0.5秒から約1.5秒までの範囲内の時間、より好ましくは約1秒間、PDMATを含むタンタル前駆体ガスにさらすことができる。タンタル前駆体ガスのパルスの後、パージガスのパルスをプロセッシングチャンバ内に注入することができ、真空システムは、約0.2秒から約5.0秒まで、好ましくは約0.25秒から約1.5秒までの範囲内の時間、より好ましくは約0.5秒間、プロセッシングチャンバの内部から様々なガスを除去する。続いて、基板は、窒素前駆体ガスまたはアンモニアを含む窒素含有プロセスガスのパルスにさらされる。窒素前駆体ガスは、キャリアガス中に窒素前駆体を含めることができ、あるいは窒素前駆体単独とすることができる。アンモニアを含む窒素前駆体ガスを、約1,000sccmから約3,000sccmまで、好ましくは約1,500sccmの速度で送達することができ、約0.1秒から約3.0秒まで、好ましくは約0.5秒から約1.5秒までの範囲内の時間、より好ましくは1秒間、基板に当てることができる。窒素前駆体ガスのパルスの後、パージガスの別のパルスをプロセッシングチャンバ内に注入することができ、真空システムは、約0.2秒から約5.0秒まで、好ましくは約0.25秒から約1.5秒までの範囲内の時間、より好ましくは約0.5秒間、プロセッシングチャンバの内部から様々なガスを除去する。ALDサイクルは、窒化タンタルなどのタンタル含有層の所定の厚みが得られるまで、例えば、約5Åから約200Åまで、好ましくは約10Åから約30Åまでの範囲内、例えば約20Åの厚みが得られるまで繰り返される。
タンタル含有ガスのパルス、窒素含有ガスのパルス、およびこれら反応物のパルス間のパージガスのそれぞれのパルスの持続時間は変更可能であり、使用される堆積チャンバならびにこれに結合された真空システムの容積容量によって決まる。例えば、(1)ガスのより低いチャンバ圧力は、より長いパルス時間を必要とする;(2)より少ないガス流量は、チャンバ圧力が上昇し安定するのにより長い時間を必要とし、より長いパルス時間を必要とする;かつ(3)大容積チャンバは、満たすのにより長くかかり、チャンバ圧力が安定するのにより長くかかり、したがってより長いパルス時間を必要とする。同様に、それぞれのパルスの間の時間も変更可能であり、プロセッシングチャンバの容積容量ならびにこれに結合された真空システムによって決まる。一般に、タンタル含有ガスまたは窒素含有ガスのパルスの持続時間は、化合物の単分子層を吸着または反応させるのに十分に長いことが望ましい。一態様では、窒素含有ガスのパルスが入るとき、タンタル含有ガスのパルスは引き続きチャンバ内にあってもよい。一般に、パージガスおよび/またはポンプ排気の持続時間は、タンタル含有ガスと窒素含有ガスのパルスが反応ゾーンで混合するのを防ぐように十分に長いことが望ましい。
別の実施形態では、基板上に他の材料を形成する前に、前処理プロセス中に、プロセッシングチャンバを処理ガスにさらすことができる。一例では、水素化配位子化合物は、メチルアミンまたはジメチルアミンなどのアルキルアミン化合物とすることができ、一方、PDMATをタンタル前駆体として使用して、他のタンタル含有材料、例えば、酸化タンタル、窒化シリコンタンタル、窒化ホウ素タンタル、窒化リンタンタル、酸窒化タンタル、またはケイ酸タンタルなどを形成することができる。三元または四元系元素のタンタル含有材料を形成するプロセスのより詳細な説明が、本発明の譲受人に譲渡された米国特許第7,081,271号に記載されている。この特許の全体を参照により本明細書に組み込む。
プロセス100および200は、三元系タンタル含有材料を得るために修正することができる。例えば、タンタル前駆体ガスおよび窒素前駆体のパルスを含むALDサイクルの追加のステップとして、基板をシリコン前駆体のパルスにさらすと、窒化シリコンタンタル材料を形成することができる。同様に、タンタル前駆体ガスおよび窒素前駆体のパルスを含むALDサイクルの追加のステップとして、基板を酸素前駆体のパルスにさらすと、酸窒化タンタル材料を形成することができる。別の例では、ALDサイクル中に、基板を、タンタル前駆体ガスのパルス、シリコン前駆体のパルス、および酸素前駆体のパルスにさらすと、ケイ酸タンタル材料を形成することができる。別の例では、ALDサイクル中に、基板を、タンタル前駆体ガスのパルスおよび酸素前駆体のパルスにさらすと、酸化タンタル材料を形成することができる。別の例では、ALDサイクル中に、基板を、タンタル前駆体ガスのパルス、窒素前駆体のパルス、およびリン前駆体(例えば、ホスフィン)のパルスにさらすと、窒化リンタンタル材料を形成することができる。別の例では、ALDサイクル中に、基板を、タンタル前駆体ガスのパルス、窒素前駆体のパルス、およびホウ素前駆体(例えば、ジボラン)のパルスにさらすと、窒化ホウ素タンタル材料を形成することができる。
一実施形態では、化学式TaNを有する窒化タンタル材料を形成または堆積させることができる。式中、xは約0.4から約2.0までの範囲内にある。いくつかの例では、TaN、Ta、TaN、またはTa2.57の実験式を有する窒化タンタル材料を形成することができる。窒化タンタル材料は、非晶質または結晶材料として堆積させることができる。ALDプロセスは、窒化タンタル材料を堆積させる際の化学量論的な制御を提供する。化学量論は、例えば、Taを熱アニールしてTaNを形成するなどに際し、堆積プロセス後に様々な手順によって変えることができる。堆積中の前駆体の比を変えて窒化タンタル材料の化学量論を制御することができる。
上記の例では、窒化タンタルなどの様々なタンタル材料を、タンタル前駆体PDMATおよび水素化配位子化合物ジメチルアミンを利用したALDプロセスによって形成することができる。しかし、他の化学的前駆体および水素化配位子化合物も本発明の実施形態の範囲内である。
気相堆積プロセスで使用される化学的前駆体の重要な特性は、好都合な蒸気圧を有することである。化学的前駆体は、周囲温度および/または圧力で、気体状態、液体状態、または固体状態を有することができる。しかし、気相堆積系内では、前駆体は揮発してガスになってALDまたはCVDプロセッシングチャンバに送達される。化学的前駆体は、通常プロセッシングチャンバへ送達される前に加熱される。
タンタル前駆体は、アルキルアミノ、アルキルイミノ、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、アルキル、アルケン、アルキン、アルコキシル、これらの異性体、これらの誘導体、またはこれらの組合せなどの配位子を含むことができる。タンタル前駆体として使用されるアルキルアミノタンタル化合物は(RR’N)Taを含んでおり、式中、RまたはR’は、それぞれ独立に、水素、メチル、エチル、プロピル、またはブチルである。タンタル前駆体として使用されるアルキルイミノタンタル化合物は(RN)(R’R”N)Taを含んでおり、式中、R、R’、またはR”は、それぞれ独立に、水素、メチル、エチル、プロピル、ブチル、またはペンチル(アミル)である。
例示的なタンタル前駆体としては、ペンタキス(ジメチルアミノ)タンタル(PDMAT、(MeN)Ta)、ペンタキス(ジエチルアミノ)タンタル(PDEAT、(EtN)Ta)、ペンタキス(エチルメチルアミノ)タンタル(PEMAT、(EtMeN)Ta)、tert−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT、(BuN)Ta(NMe)、tert−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET、(BuN)Ta(NEt、tert−ブチルイミノトリス(エチルメチルアミノ)タンタル(TBTEMT、(BuN)Ta(NMeEt))、tert−アミルイミノ−トリス(ジメチルアミノ)タンタル(TAIMATA、(AmylN)Ta(NMe)、tert−アミルイミノ−トリス(ジエチルアミノ)タンタル((AmylN)Ta(NEt)、tert−アミルイミノ−トリス(エチルメチルアミノ)タンタル((AmylN)Ta(NEtMe))、ビス(シクロペンタジエニル)タンタル三水素化物(CpTaH)、ビス(メチルシクロペンタジエニル)タンタル三水素化物((MeCp)TaH)、ビス(ペンタメチルシクロペンタジエニル)タンタル三水素化物((MeCp)TaH)、タンタルメトキシド((MeO)Ta)、タンタルエトキシド((EtO)Ta)、タンタルプロポキシド((PrO)Ta)、タンタルブトキシド((BuO)Ta)、これらの異性体、またはこれらの誘導体が挙げられる。
本明細書では、化学式(AmylN)Ta(NMeを有するターシャリアミルイミノ−トリス(ジメチルアミノ)タンタルを説明するために、「TAIMATA」を使用する。式中、Amylは、ターシャリアミル(tert−アミル)基(C11−またはCHCHC(CH−)である。一実施形態では、タンタル含有ガスは、気化器、バブラーまたはアンプル内の液状TAIMATA前駆体を、少なくとも30℃の温度、好ましくは約50℃から約80℃までの範囲内の温度に加熱することにより形成することができる。加熱したTAIMATAの全体にわたってキャリアガスを流すまたはバブリングさせることによりタンタル含有ガスを形成することができる。
本明細書の実施形態に記載するように、タンタル前駆体に加えて、他の化学的前駆体も気相堆積プロセスの中で使用することができる。気相堆積(例えば、ALDまたはCVD)プロセスの中でさらに使用することができる例示的な化学的前駆体としては、チタン前駆体、タングステン前駆体、ハフニウム前駆体、ジルコニウム前駆体、アルミニウム前駆体、コバルト前駆体、ルテニウム前駆体、銅前駆体、シリコン前駆体、窒素前駆体、酸素前駆体、ならびに他の化学的前駆体が挙げられる。形成または堆積させることができる材料としては、様々な金属、窒化物、酸化物、ケイ化物が挙げられる。これらには、金属タンタル、窒化タンタル、酸化タンタル、酸窒化タンタル、ケイ化タンタル、ケイ窒化タンタル、金属チタン、窒化チタン、酸化チタン、酸窒化チタン、ケイ化チタン、ケイ窒化チタン、金属タングステン、窒化タングステン、酸化タングステン、ホウ窒化タングステン、ケイ化タングステン、ケイ窒化タングステン、ホウ化タングステン、金属ハフニウム、窒化ハフニウム、酸化ハフニウム、酸窒化ハフニウム、ケイ化ハフニウム、窒化シリコンハフニウム、ケイ酸ハフニウム、酸窒化シリコンハフニウム、金属ジルコニウム、窒化ジルコニウム、酸化ジルコニウム、酸窒化ジルコニウム、ケイ化ジルコニウム、窒化シリコンジルコニウム、ケイ酸ジルコニウム、酸窒化シリコンジルコニウム、金属アルミニウム、窒化アルミニウム、酸化アルミニウム、酸窒化アルミニウム、ケイ化アルミニウム、窒化シリコンアルミニウム、ケイ酸アルミニウム、酸窒化シリコンアルミニウム、金属コバルト、ケイ化コバルト、金属ルテニウム、金属銅、銅合金、これらの誘導体、これらの合金、またはこれらの組合せが含まれる。
別の実施形態では、処理ガスは、HLの化学式を有する水素化配位子化合物を含んでいる。式中、Lは、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、またはこれらの誘導体などの配位子である。金属前駆体がアルキルアミノ金属前駆体である場合など、いくつかの例では、処理ガスは水素化配位子化合物を含み、この化合物は、HNRまたはHNR’R”の化学式を有するアルキルアミン化合物とすることができる。式中、R、R’、およびR”は、それぞれ独立に、メチル、エチル、プロピル、ブチル、アミル、フェニル、アリール、これらの異性体、これらの誘導体、またはこれらの組合せである。アルキルアミン化合物は、メチルアミン、ジメチルアミン、エチルアミン、ジエチルアミン、メチルエチルアミン、プロピルアミン、ジプロピルアミン、ブチルアミン、ジブチルアミン、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。いくつかの例では、処理ガスは、さらに、アンモニア、水素、窒素、アルゴン、ヘリウムまたはこれらの組合せなどの少なくとも1種のキャリアガスを含む。一例では、処理ガスは、ジメチルアミン、アンモニア、およびアルゴンなどの別のキャリアガスを含む。
他の例では、処理ガスは水素化配位子化合物を含む。この化合物は、ROHの化学式を有するアルコール化合物とすることができ、式中、Rは、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体である。アルコール化合物は、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。他の例では、化学的前駆体は、OCH、OC、OC、OC、これらの異性体、またはこれらの誘導体などのアルコキシ配位子を含む。
他の実施形態では、前駆体ガスは、ML’の化学式を有する化学的前駆体を含んでおり、式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Nb、Ta、Mo、W、Ru、Co、Ni、Pd、Pt、Cu、Al、Ga、In、Si、Ge、Sn、P、As、またはSbなどの元素であり、L’は、それぞれ独立に、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、水素、ハロゲン、これらの誘導体、またはこれらの組合せなどの配位子である。
一実施形態では、水素化配位子化合物の配位子Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができ、また、化学的前駆体の配位子L’は、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができる。
いくつかの例では、前駆体ガスは、アルキルアミノ金属前駆体ガスを含んでおり、このアルキルアミノ金属前駆体ガスは、ML’の化学式を有するアルキルアミノ金属前駆体を含んでいる。式中、xは、1、2、3、4、5、6、またはこれより大きく、Mは、Ti、Zr、Hf、Ta、Mo、W、またはSiなどの、金属または他の元素とすることができ、配位子L’は、それぞれ独立に、アルキルアミノ配位子などの配位子であり、これには、N(CH、N(C、N(C、N(C、N(CH)(C)、これらの異性体、これらの誘導体、またはこれらの組合せが含まれる。いくつかの例では、金属/元素Mは、Si、Ti、Zr、またはHfとすることができ、xは通常4である。他の例では、アルキルアミノ金属前駆体はタンタル前駆体であり、金属MはTaであり、xは通常4または5である。
他の例では、水素化配位子化合物はROHの化学式を有するアルコール化合物とすることができ、式中、Rは、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体である。アルコール化合物は、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、これらの異性体、これらの誘導体、またはこれらの組合せとすることができる。他の例では、第1前駆体は、OCH、OC、OC、OC、これらの異性体、またはこれらの誘導体などのアルコキシ配位子を有する。他の例では、水素化配位子化合物の配位子Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができ、また、第1前駆体の配位子L’は、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、またはこれらの誘導体とすることができる。
本明細書に記載された材料を堆積させるのに有用なチタン前駆体としては、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、またはこれらの誘導体が挙げられる。
本明細書に記載された材料を堆積させるのに有用なタングステン前駆体としては、ビス(tert−ブチルイミノ)−ビス(ジメチルアミノ)タングステン((BuN)W(NMe)、ビス(tert−ブチルイミノ)−ビス(ジエチルアミノ)タングステン((BuN)W(NEt)、ビス(tert−ブチルイミノ)−ビス(エチルメチルアミノ)タングステン((BuN)W(NEtMe))、またはこれらの誘導体が挙げられる。
ハフニウム前駆体として有用なハフニウムアルキルアミノ化合物としては(RR’N)Hfが挙げられ、式中、RおよびR’は、それぞれ独立に、水素、メチル、エチル、プロピル、ブチル、アミル、またはこれらの異性体である。本明細書に記載された材料を堆積させるのに有用なハフニウム前駆体としては、テトラキス(ジエチルアミノ)ハフニウム((EtN)Hf、TDEAH)、テトラキス(ジメチルアミノ)ハフニウム((MeN)Hf、TDMAH)、テトラキス(エチルメチルアミノ)ハフニウム((EtMeN)Hf、TEMAH)、ハフニウムテトラメトキシド(MeO)Hf)、ハフニウムテトラエトキシド((EtO)Hf)、ハフニウムテトラプロポキシド((PrO)Hf)、ハフニウムテトラブトキシド((BuO)Hf)、これらの異性体、またはこれらの誘導体が挙げられる。他のハフニウム前駆体としては、塩化ハフニウム(HfCl)、ヨウ化ハフニウム(HfI)、(BuCHfCl、(CHfCl、(EtCHfCl、(MeHfCl、(Me)HfCl、(PrCHfCl、(PrC)HfCl、(BuCHfMe、(acac)Hf、(hfac)Hf、(tfac)Hf、(thd)Hf、(NOHf、またはこれらの誘導体が挙げられる。
ジルコニウム前駆体として有用なジルコニウムアルキルアミノ化合物としては(RR’N)Zrが挙げられ、式中、RおよびR’は、それぞれ独立に、水素、メチル、エチル、プロピル、ブチル、アミル、またはこれらの異性体である。本明細書に記載された材料を堆積させるのに有用なジルコニウム前駆体としては、テトラキス(ジエチルアミノ)ジルコニウム((EtN)Zr)、テトラキス(ジメチルアミノ)ジルコニウム((MeN)Zr)、テトラキス(エチルメチルアミノ)ジルコニウム((EtMeN)Zr)、ジルコニウムテトラメトキシド((MeO)Zr)、ジルコニウムテトラエトキシド((EtO)Zr)、ジルコニウムテトラプロポキシド((PrO)Zr)、ジルコニウムテトラブトキシド((BuO)Zr)、これらの異性体、またはこれらの誘導体が挙げられる。他のジルコニウム前駆体としては、塩化ジルコニウム(ZrCl)、ヨウ化ジルコニウム(ZrI)、(BuCZrCl、(CZrCl、(EtCZrCl、(MeZrCl、(Me)ZrCl、(PrCZrCl、(PrC)ZrCl、(BuCZrMe、(acac)Zr、(Zrac)Zr、(tfac)Zr、(thd)Zr、(NOZr、またはこれらの誘導体が挙げられる。
本明細書に記載された材料を堆積させるのに有用なアルミニウム前駆体としては、アルミニウムメトキシド((MeO)Al)、アルミニウムエトキシド((EtO)Al)、アルミニウムプロポキシド((PrO)Al)、アルミニウムブトキシド((BuO)Al)、またはこれらの誘導体が挙げられる。
本明細書に記載された材料を堆積させるのに有用なシリコン前駆体としては、シラン化合物、アルキルアミノシラン化合物、シラノール、またはアルコキシシラン化合物、ならびに他のシリコン含有化合物が挙げられる。シリコン前駆体として有用なアルキルアミノシラン化合物としては(RR’N)4−nSiHが挙げられ、式中、RまたはR’は、独立に、水素、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体であり、nは、0、1、2、または3である。アルコキシシラン化合物は一般化学式(RO)4−nSiLによって記載することができ、式中、Rは、メチル、エチル、プロピル、ブチル、アミル、これらの異性体、またはこれらの誘導体であり、Lは、H、OH、F、Cl、Br、I、メチル、エチル、プロピル、ブチル、またはこれらの混合物であり、nは、0、1、2、または3である。シリコン前駆体としては、テトラキス(ジメチルアミノ)シラン((MeN)Si、DMAS)、トリス(ジメチルアミノ)シラン((MeN)SiH、トリス−DMAS)、ビス(ジメチルアミノ)シラン((MeN)SiH)、ジメチルアミノシラン((MeN)SiH)、テトラキス(ジエチルアミノ)シラン((EtN)Si)、トリス(ジエチルアミノ)シラン((EtN)SiH)、テトラキス(メチルエチルアミノ)シラン((MeEtN)Si)、トリス(メチルエチルアミノ)シラン((MeEtN)SiH)、テトラメトキシシラン((MeO)Si)、テトラエトキシシラン((EtO)Si)、これらの異性体、これらの誘導体、またはこれらの組合せを挙げることができる。本明細書に記載された気相堆積プロセスの中で使用することができる他のシリコン前駆体としては、シラン(SiH)、ジシラン(Si)、テトラクロロシラン(SiCl)、ヘキサクロロジシラン(SiCl)、テトライソシアナートシラン(Si(NCO))、トリイソシアナートメチルシラン(MeSi(NCO))、またはこれらの誘導体が挙げられる。
別の実施形態では、本明細書に記載された堆積プロセス中にルテニウム材料を形成するのに有用なルテニウム前駆体のファミリーとしては、ピロリルルテニウム前駆体が挙げられる。プロセッシングチャンバおよび/または基板の前処理プロセス中、処理ガス中の水素化配位子化合物を、水素化ピロリル配位子、ピリジン、またはこれらの誘導体とすることができる。一例では、ピロリルルテニウム前駆体は、ルテニウムと、少なくとも1種のピロリル配位子または少なくとも1種のピロリル誘導体配位子とを含んでいる。ピロリルルテニウム前駆体は、例えば、下記のピロリル配位子を有することができる。
Figure 2012502179

式中、R、R、R、R、およびRは、それぞれ独立に、存在しない、水素、アルキル基(例えば、メチル、エチル、プロピル、ブチル、アミル、またはより高級のもの)、アミン基、アルコキシ基、アルコール基、アリール基、別のピロリル基(例えば、2、2’−ビピロリル)、ピラゾール基、これらの誘導体、またはこれらの組合せである。ピロリル配位子は、R、R、R、R、およびRの任意の2個以上が化学基によって互いに接続されていてもよい。例えば、RおよびRは、インドリル基またはその誘導体などの環構造の一部分であってもよい。本明細書で使用されるピロリルルテニウム前駆体とは、ルテニウムと、少なくとも1種のピロリル配位子または少なくとも1種のピロリル配位子の誘導体とを含む任意の化合物のことである。いくつかの例では、ピロリルルテニウム前駆体としては、ビス(テトラメチルピロリル)ルテニウム、ビス(2,5−ジメチルピロリル)ルテニウム、ビス(2,5−ジエチルピロリル)ルテニウム、ビス(テトラエチルピロリル)ルテニウム、ペンタジエニルテトラメチルピロリルルテニウム、ペンタジエニル2,5−ジメチルピロリルルテニウム、ペンタジエニルテトラエチルピロリルルテニウム、ペンタジエニル2,5−ジエチルピロリルルテニウム、1,3−ジメチルペンタジエニルピロリルルテニウム、1,3−ジエチルペンタジエニルピロリルルテニウム、メチルシクロペンタジエニルピロリルルテニウム、エチルシクロペンタジエニルピロリルルテニウム、2−メチルピロリルピロリルルテニウム、2−エチルピロリルピロリルルテニウム、またはこれらの誘導体を挙げることができる。
ピロリル配位子は、本明細書で使用する場合、「py」と略記することができ、ピロリル誘導体配位子は「R−py」と略記することができる。本明細書に記載された堆積プロセス中にルテニウム材料を形成するのに有用な例示的なピロリルルテニウム前駆体としては、アルキルピロリルルテニウム前駆体(例えば、(R−py)Ru)、ビス(ピロリル)ルテニウム前駆体(例えば、(py)Ru)およびジエニルピロリルルテニウム前駆体(例えば、(Cp)(py)Ru)が挙げられる。アルキルピロリルルテニウム前駆体の例としては、メチルピロリルルテニウム、エチルピロリルルテニウム、プロピルピロリルルテニウム、ジメチルピロリルルテニウム、ジエチルピロリルルテニウム、ジプロピルピロリルルテニウム、トリメチルピロリルルテニウム、トリエチルピロリルルテニウム、テトラメチルピロリルルテニウム、テトラエチルピロリルルテニウム、またはこれらの誘導体が挙げられる。ビス(ピロリル)ルテニウム前駆体の例としては、ビス(ピロリル)ルテニウム、ビス(メチルピロリル)ルテニウム、ビス(エチルピロリル)ルテニウム、ビス(プロピルピロリル)ルテニウム、ビス(ジメチルピロリル)ルテニウム、ビス(ジエチルピロリル)ルテニウム、ビス(ジプロピルピロリル)ルテニウム、ビス(トリメチルピロリル)ルテニウム、ビス(トリエチルピロリル)ルテニウム、ビス(テトラメチルピロリル)ルテニウム、ビス(テトラエチルピロリル)ルテニウム、メチルピロリルピロリルルテニウム、エチルピロリルピロリルルテニウム、プロピルピロリルピロリルルテニウム、ジメチルピロリルピロリルルテニウム、ジエチルピロリルピロリルルテニウム、ジプロピルピロリルピロリルルテニウム、トリメチルピロリルピロリルルテニウム、トリエチルピロリルピロリルルテニウム、テトラメチルピロリルピロリルルテニウム、テトラエチルピロリルピロリルルテニウム、またはこれらの誘導体が挙げられる。
ジエニルピロリルルテニウム前駆体は、少なくとも1種のジエニル配位子および少なくとも1種のピロリル配位子を含んでいる。ジエニル配位子は、少なくとも4個の炭素原子または多くとも約10個の炭素原子、好ましくは約5個または6個の炭素原子を有する炭素主鎖を含むことができる。ジエニル配位子は、環構造(例えば、シクロペンタジエニル)を有してもよく、または開アルキル鎖(例えば、ペンタジエニル)であってもよい。さらに、ジエニル配位子は、アルキル基を含んでいなくても、1つのアルキル基を含んでいても、あるいは多くのアルキル基を含んでいてもよい。
一実施形態では、ジエニルピロリルルテニウム前駆体は、ペンタジエニル配位子またはアルキルペンタジエニル配位子を含んでいる。ペンタジエニルピロリルルテニウム前駆体の例としては、ペンタジエニルピロリルルテニウム、ペンタジエニルメチルピロリルルテニウム、ペンタジエニルエチルピロリルルテニウム、ペンタジエニルプロピルピロリルルテニウム、ペンタジエニルジメチルピロリルルテニウム、ペンタジエニルジエチルピロリルルテニウム、ペンタジエニルジプロピルピロリルルテニウム、ペンタジエニルトリメチルピロリルルテニウム、ペンタジエニルトリエチルピロリルルテニウム、ペンタジエニルテトラメチルピロリルルテニウム、ペンタジエニルテトラエチルピロリルルテニウム、またはこれらの誘導体が挙げられる。アルキルペンタジエニルピロリルルテニウム前駆体の例としては、アルキルペンタジエニルピロリルルテニウム、アルキルペンタジエニルメチルピロリルルテニウム、アルキルペンタジエニルエチルピロリルルテニウム、アルキルペンタジエニルプロピルピロリルルテニウム、アルキルペンタジエニルジメチルピロリルルテニウム、アルキルペンタジエニルジエチルピロリルルテニウム、アルキルペンタジエニルジプロピルピロリルルテニウム、アルキルペンタジエニルトリメチルピロリルルテニウム、アルキルペンタジエニルトリエチルピロリルルテニウム、アルキルペンタジエニルテトラメチルピロリルルテニウム、アルキルペンタジエニルテトラエチルピロリルルテニウム、またはこれらの誘導体が挙げられる。
別の実施形態では、ジエニルピロリルルテニウム前駆体は、シクロペンタジエニル配位子またはアルキルシクロペンタジエニル配位子を含んでいる。シクロペンタジエニルピロリルルテニウム前駆体の例としては、シクロペンタジエニルピロリルルテニウム、シクロペンタジエニルメチルピロリルルテニウム、シクロペンタジエニルエチルピロリルルテニウム、シクロペンタジエニルプロピルピロリルルテニウム、シクロペンタジエニルジメチルピロリルルテニウム、シクロペンタジエニルジエチルピロリルルテニウム、シクロペンタジエニルジプロピルピロリルルテニウム、シクロペンタジエニルトリメチルピロリルルテニウム、シクロペンタジエニルトリエチルピロリルルテニウム、シクロペンタジエニルテトラメチルピロリルルテニウム、シクロペンタジエニルテトラエチルピロリルルテニウム、またはこれらの誘導体が挙げられる。アルキルシクロペンタジエニルピロリルルテニウム前駆体の例としては、アルキルシクロペンタジエニルピロリルルテニウム、アルキルシクロペンタジエニルメチルピロリルルテニウム、アルキルシクロペンタジエニルエチルピロリルルテニウム、アルキルシクロペンタジエニルプロピルピロリルルテニウム、アルキルシクロペンタジエニルジメチルピロリルルテニウム、アルキルシクロペンタジエニルジエチルピロリルルテニウム、アルキルシクロペンタジエニルジプロピルピロリルルテニウム、アルキルシクロペンタジエニルトリメチルピロリルルテニウム、アルキルシクロペンタジエニルトリエチルピロリルルテニウム、アルキルシクロペンタジエニルテトラメチルピロリルルテニウム、アルキルシクロペンタジエニルテトラエチルピロリルルテニウム、またはこれらの誘導体が挙げられる。
別の実施形態では、ルテニウム前駆体は、ピロリル配位子またはピロリル誘導体配位子を含まなくてもよいが、代わりに、少なくとも1個の開鎖ジエニル配位子、例えばCHCRCHCRCHを含んでいる。式中、Rは、独立に、アルキル基または水素である。ルテニウム前駆体は、ペンタジエニルまたはヘプタジエニルなどの2個の開鎖ジエニル配位子を有することができる。ビス(ペンタジエニル)ルテニウム化合物は、一般化学式(CHCRCHCRCHRuを有する。式中、Rは、独立に、アルキル基または水素である。通常、Rは、独立に、水素、メチル、エチル、プロピルまたはブチルである。したがって、ルテニウム前駆体としては、ビス(ジアルキルペンタジエニル)ルテニウム化合物、ビス(アルキルペンタジエニル)ルテニウム化合物、ビス(ペンタジエニル)ルテニウム化合物、またはこれらの組合せを挙げることができる。ルテニウム前駆体の例としては、ビス(2,4−ジメチルペンタジエニル)ルテニウム、ビス(2,4−ジエチルペンタジエニル)ルテニウム、ビス(2,4−ジイソプロピルペンタジエニル)ルテニウム、ビス(2,4−ジターシャリブチルペンタジエニル)ルテニウム、ビス(メチルペンタジエニル)ルテニウム、ビス(エチルペンタジエニル)ルテニウム、ビス(イソプロピルペンタジエニル)ルテニウム、ビス(ターシャリブチルペンタジエニル)ルテニウム、これらの誘導体、またはこれらの組合せが挙げられる。いくつかの実施形態では、他のルテニウム前駆体としては、トリス(2、2、6、6−テトラメチル−3、5−ヘプタンジオナト)ルテニウム、ジカルボニルペンタジエニルルテニウム、ルテニウムアセチルアセトナート、2,4−ジメチルペンタジエニルシクロペンタジエニルルテニウム、ビス(2、2、6、6−テトラメチル−3、5−ヘプタンジオナト)(1,5−シクロオクタジエン)ルテニウム、2,4−ジメチルペンタジエニルメチルシクロペンタジエニルルテニウム、1,5−シクロオクタジエンシクロペンタジエニルルテニウム、1,5−シクロオクタジエンメチルシクロペンタジエニルルテニウム、1,5−シクロオクタジエンエチルシクロペンタジエニルルテニウム、2,4−ジメチルペンタジエニルエチルシクロペンタジエニルルテニウム、2,4−ジメチルペンタジエニルイソプロピルシクロペンタジエニルルテニウム、ビス(N,N−ジメチル−1,3−テトラメチルジイミナト)−1,5−シクロオクタジエンルテニウム、ビス(N,N−ジメチル−1,3−ジメチルジイミナト)−1,5−シクロオクタジエンルテニウム、ビス(アリル)−1,5−シクロオクタジエンルテニウム、η−C−1,3−シクロヘキサジエンルテニウム、ビス(1,1−ジメチル−2−アミノエトキシラト)−1、5−シクロオクタジエンルテニウム、ビス(1,1−ジメチル−2−アミノエチルアミナト)−1、5−シクロオクタジエンルテニウム、ビス(シクロペンタジエニル)ルテニウム、ビス(メチルシクロペンタジエニル)ルテニウム、ビス(エチルシクロペンタジエニル)ルテニウム、およびビス(ペンタメチルシクロペンタジエニル)ルテニウム、またはこれらの誘導体が挙げられる。
本明細書に記載された材料を堆積させるのに有用なコバルト前駆体としては、コバルトカルボニル錯体、コバルトアミジナート化合物、コバルトセン化合物、コバルトジエニル錯体、コバルトニトロシル錯体、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが挙げられる。いくつかの実施形態では、コバルト材料は、本発明の譲受人に譲渡された米国特許第7,1164,846号および第7,404,985号に詳細に記載されたCVDおよびALDプロセスによって堆積させることができる。これらの特許を参照により本明細書に組み込む。
いくつかの実施形態では、コバルトカルボニル化合物または錯体をコバルト前駆体として利用することができる。コバルトカルボニル化合物または錯体は、一般化学式(CO)Coを有する。式中、Xは、1、2、3、4、5、6、7、8、9、10、11、または12とすることができ、Yは、1、2、3、4、または5とすることができ、Zは、1、2、3、4、5、6、7、または8とすることができる。基Lは、存在しない、1個の配位子または複数個の配位子であり、これらは同じ配位子であっても異なる配位子であってもよく、これには、シクロペンタジエニル、アルキルシクロペンタジエニル(例えば、メチルシクロペンタジエニルまたはペンタメチルシクロペンタジエニル)、ペンタジエニル、アルキルペンタジエニル、シクロブタジエニル、ブタジエニル、エチレン、アリル(またはプロピレン)、アルケン、ジアルケン、アルキン、アセチレン、ブチルアセチレン、ニトロシル、アンモニア、あるいはこれらの誘導体が含まれる。
一実施形態では、堆積プロセス中に、ジコバルトヘキサカルボニルアセチル化合物を使用してコバルト材料(例えば、コバルト層220)を形成することができる。ジコバルトヘキサカルボニルアセチル化合物は(CO)Co(RC≡CR’)の化学式を有することができる。式中、RおよびR’は、独立に、水素、メチル、エチル、プロピル、イソプロピル、ブチル、ターシャリブチル、ペンタ、ベンジル、アリール、これらの異性体、これらの誘導体、またはこれらの組合せである。一例では、ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)Co(HC≡CBu))がコバルト前駆体である。ジコバルトヘキサカルボニルアセチル化合物の他の例としては、ジコバルトヘキサカルボニルメチルブチルアセチレン((CO)Co(MeC≡CBu))、ジコバルトヘキサカルボニルフェニルアセチレン((CO)Co(HC≡CPh))、ヘキサカルボニルメチルフェニルアセチレン((CO)Co(MeC≡CPh))、ジコバルトヘキサカルボニルメチルアセチレン((CO)Co(HC≡CMe))、ジコバルトヘキサカルボニルジメチルアセチレン((CO)Co(MeC≡CMe))、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが挙げられる。他の例示的なコバルトカルボニル錯体としては、シクロペンタジエニルコバルトビス(カルボニル)(CpCo(CO))、トリカルボニルアリルコバルト((CO)Co(CHCH=CH))、またはこれらの誘導体が挙げられる。
別の実施形態では、コバルトアミジナートまたはコバルトアミノ錯体をコバルト前駆体として利用することができる。コバルトアミノ錯体には一般化学式(RR’N)Coを有する。式中、Xは、1、2、または3とすることができ、RおよびR’は、独立に、水素、メチル、エチル、プロピル、ブチル、アルキル、シリル、アルキルシリル、これらの誘導体、またはこれらの組合せである。いくつかの例示的なコバルトアミノ錯体としては、ビス(ジ(ブチルジメチルシリル)アミノ)コバルト(((BuMeSi)N)Co)、ビス(ジ(エチルジメチルシリル)アミノ)コバルト(((EtMeSi)N)Co)、ビス(ジ(プロピルジメチルシリル)アミノ)コバルト(((PrMeSi)N)Co)、ビス(ジ(トリメチルシリル)アミノ)コバルト(((MeSi)N)Co)、トリス(ジ(トリメチルシリル)アミノ)コバルト(((MeSi)N)Co)、またはこれらの誘導体が挙げられる。
いくつかの例示的なコバルト前駆体としては、メチルシクロペンタジエニルコバルトビス(カルボニル)(MeCpCo(CO))、エチルシクロペンタジエニルコバルトビス(カルボニル)(EtCpCo(CO))、ペンタメチルシクロペンタジエニルコバルトビス(カルボニル)(MeCpCo(CO))、ジコバルトオクタ(カルボニル)(Co(CO))、ニトロシルコバルトトリス(カルボニル)((ON)Co(CO))、ビス(シクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(シクロヘキサジエニル)、シクロペンタジエニルコバルト(1,3−ヘキサジエニル)、(シクロブタジエニル)コバルト(シクロペンタジエニル)、ビス(メチルシクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(5−メチルシクロペンタジエニル)、ビス(エチレン)コバルト(ペンタメチルシクロペンタジエニル)、ヨウ化コバルトテトラカルボニル、コバルトテトラカルボニルトリクロロシラン、塩化カルボニルトリス(トリメチルホスフィン)コバルト、コバルトトリカルボニル−ヒドロトリブチルホスフィン、アセチレンジコバルトヘキサカルボニル、アセチレンジコバルトペンタカルボニルトリエチルホスフィン、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが挙げられる。
窒素前駆体を使用して窒化物または窒素含有材料を堆積させることができる。本明細書に記載された材料を堆積させるのに有用な窒素前駆体としては、アンモニア(NH)、ヒドラジン(N)、メチルヒドラジン((CH)HN)、ジメチルヒドラジン((CH)、t−ブチルヒドラジン(C)、フェニルヒドラジン(C)、他のヒドラジン誘導体、アミン、窒素プラズマ源(例えば、N、原子状N、N/H、NH、またはNプラズマ)、2,2’−アゾターシャリブタン((CH)、メチルアジド(CH)、エチルアジド(C)、トリメチルシリルアジド(MeSiN)などの有機またはアルキルアジド、無機アジド(例えば、NaNまたはCpCoN)および他の好適な窒素源が挙げられる。N、N、N、NH、またはNHなどのラジカル窒素化合物は、熱、ホットワイヤ、インサイチュプラズマ、または遠隔プラズマによって生成することができる。一例では、窒素前駆体はアンモニアである。別の例では、窒素前駆体は、インサイチュまたは遠隔プラズマシステムによって形成された窒素プラズマを含んでいる。
窒化タンタル、タンタル含有材料を含めて、様々な材料を堆積させるために使用することができる他の反応ガスとしては酸素源および還元剤が挙げられる。ケイ酸タンタル、酸化タンタル、または酸窒化タンタルなどのタンタル含有材料は、気相堆積(例えば、ALDまたはCVD)プロセスへ酸素源を添加することにより形成することができる。酸素源または酸素前駆体としては、原子状O、O、O、HO、H、有機過酸化物、これらの誘導体、またはこれらの組合せが挙げられる。還元性化合物を気相堆積プロセスに入れて、金属タンタル、窒化ホウ素タンタルまたは窒化リンタンタルなどのタンタル前駆体を形成することができる。還元性化合物としては、ボラン(BH)、ジボラン(B)、アルキルボラン(例えば、EtB)、ホスフィン(PH)、水素(H)、これらの誘導体、またはこれらの組合せが挙げられる。
ALDチャンバなどのプロセッシングチャンバの詳細な説明は、本発明の譲受人に譲渡された米国特許第6,916,398号、ならびに、2002年10月25日に出願され、米国特許出願公開第2003−0121608号として公開された、米国特許出願第10/281,079号に記載されている。これらの特許の全体を参照により本明細書に組み込む。一実施形態では、プラズマ助長型ALD(PE−ALD)プロセスを使用してタンタル材料を堆積させる。PE−ALDを行うチャンバおよびプロセスは、本発明の譲受人に譲渡された米国特許第6,998,014号に詳細に記載されている。この特許の全体を参照により本明細書に組み込む。PDMATまたはTAIMATAなどの前駆体を予熱するための気化器またはアンプルの詳細な説明は、本発明の譲受人に譲渡された米国特許第6,915,592号および第7,186,385号に記載されている。これらの特許の全体を参照により本明細書に組み込む。PDMATまたはTAIMATAなどの前駆体をプロセッシングチャンバに送達するためのシステムの詳細な説明は、本発明の譲受人に譲渡された米国特許第6,955,211号、ならびに、2003年11月3日に出願され、米国特許出願公開第2005−0095859号として公開された、米国特許出願第10/700,328号に記載されている。これらの特許の全体を参照により本明細書に組み込む。
カバレージ−発散リッドアセンブリ
図3A〜3Cは、本明細書の実施形態に記載されている、ALDプロセス用に作られたガス送達システム1130を含むプロセッシングチャンバ1100の概略図である。プロセッシングチャンバ1100は、側壁部1104および底部1106を有するチャンバボディ1102を備えている。プロセッシングチャンバ1100のスリットバルブ1108は、ロボット(図示せず)が、200mmまたは300mmの半導体ウェーハまたはガラス基板などの基板1110を、プロセッシングチャンバ1100へ送達しそこから取り出すためのアクセスを提供する。本明細書に記載された前処理プロセスおよび堆積プロセスで使用することができるプロセッシングチャンバの詳細な開示は、本発明の譲受人に譲渡された米国特許第6,916,398号および第7,204,886号、ならびに、2007年10月24日に出願され、米国特許出願公開第2008−0102208号として公開された、米国特許出願第11/923,583号に見出すことができる。これらの特許の全体を参照により本明細書に組み込む。
基板支持部1112が、プロセッシングチャンバ1100の基板受け面1111上に基板1110を支持する。基板支持部1112は、基板支持部1112およびその上に配置された基板1110を上下させるためのリフトモーター1114に取り付けられている。リフトモーター1118に接続されたリフトプレート1116がプロセッシングチャンバ1100に取り付けられ、基板支持部1112を通して移動可能に配置されたリフトピン1120を上下させる。リフトピン1120は、基板支持部1112の表面を覆う基板1110を上下させる。基板支持部1112は、堆積プロセス中に基板支持部1112へ基板1110を固定するための真空チャック(図示せず)、静電チャック(図示せず)またはクランプリング(図示せず)を備えることができる。
基板支持部1112の温度を調節して、その上に配置された基板1110の温度を制御することができる。例えば、基板支持部1112は、抵抗ヒーター(図示せず)などの埋込み型発熱体を使用して加熱することもできるし、あるいは、基板支持部1112上方に配置された加熱ランプ(図示せず)などの放射熱を使用して加熱することもできる。パージリング1122を基板支持部1112上に配置してパージチャネル1124を画定することができる。パージチャネル1124は、基板1110の周辺部分にパージガスを供給してその上の堆積を防止する。
ガス送達システム1130をチャンバボディ1102の上部に配置して、プロセスガスおよび/またはパージガスなどのガスをプロセッシングチャンバ1100へ供給する。図3A〜3Cは、少なくとも2種のガス源または化学的前駆体に基板1110をさらすように構成されたガス送達システム1130を示す。他の例では、ガス送達システム1130は、単一のガス源、あるいは3種以上のガス源または化学的前駆体に基板1110をさらすように再構成することができる。真空システム1178が、ポンピングチャネル1179と連通しており、プロセッシングチャンバ1100からすべての所望のガスを排出するとともに、プロセッシングチャンバ1100のポンピングゾーン1166内を所望の圧力または所望の圧力範囲に保持するのに役立っている。
一実施形態では、ガス送達システム1130はチャンバリッドアセンブリ1132を含み、このチャンバリッドアセンブリ1132は、その中央部分を通して延在するガス分散チャネル1128を有する。ガス分散チャネル1128は、基板受け面1111に垂直に延在するとともに、ガス分散チャネル1128の中心軸1133に沿ってリッドプレート1170の中を下面1160まで延在している。集束チャネル1134aはガス分散チャネル1128の一部分であり、ガス分散チャネル1128の上部1137内で中心軸1133に向かってテーパが付けられている。発散チャネル1134bはガス分散チャネル1128の一部分であり、ガス分散チャネル1128の下部1135内で中心軸1133から離れるようにテーパが付けられている。スロットル1131は、集束チャネル1134aと発散チャネル1134bを分離する狭い通路である。ガス分散チャネル1128は、下面1160を通って反応ゾーン1164内へさらに延在している。下面1160は、発散チャネル1134からチョーク1162まで延在している。下面1160は、基板支持部1112の基板受け面1111上に配置された基板1110を実質的に覆うようなサイズと形状を有する。
プロセスガスは、円形ガスフロー1174として、スロットル1131を通り抜けるときに、スロットル1131を持たない同様に構成されたプロセッシングチャンバよりも、ガス分散チャネル1128の中心軸1133のまわりにより多くの回転を作ることになる。円形ガスフロー1174としては、ボルテックスパターン、ヘリックスパターン、スパイラルパターン、回転パターン、ツイストパターン、コイルパターン、渦巻きパターン、またはこれらの派生形などのフローパターンを挙げることができる。円形ガスフロー1174は、ガス分散チャネル1128の中心軸1133のまわりに、少なくとも約1回転、好ましくは少なくとも約1.5回転、より好ましくは少なくとも約2回転、より好ましくは少なくとも約3回転、より好ましくは約4回転以上延在することができる。
ガス分散チャネル1128は、ガス導入口1136a、1136bを有し、同様の二対のバルブ1142a/1152a、1142b/1152bからガスフローを供給する。これらは一緒におよび/または別々に供給することができる。一構成では、バルブ1142aおよびバルブ1142bは、別々の反応物ガス源に結合されているが、同一のパージガス源に結合されていることが好ましい。例えば、バルブ1142aは反応物ガス源1138に結合され、バルブ1142bは反応物ガス源1139に結合され、両バルブ1142a、1142bともパージガス源1140に結合されている。それぞれのバルブ1142a、1142bは、バルブシートアセンブリ1144a、1144bを有する送達ライン1143a、1143bを含んでおり、それぞれのバルブ1152a、1152bは、バルブシートアセンブリ1146a、1146bを有するパージライン1145a、1145bを含んでいる。送達ライン1143a、1143bは、反応物ガス源1138、1143と流体連通しており、かつ、ガス分散チャネル1128のガス導入口1136a、1136bと流体連通している。送達ライン1143a、1143bのバルブシートアセンブリ1144a、1144bは、反応物ガス源1138、1143からガス分散チャネル1128への反応物ガスのフローを制御している。パージライン1145a、1145bは、パージガス源1140と流体連通しており、送達ライン1143a、1143bのバルブシートアセンブリ1144a、1144bの下流で送達ライン1143a、1143bと交差している。パージライン1145a、1145bのバルブシートアセンブリ1146a、1146bは、パージガス源1140からガス分散チャネル1128へのパージガスのフローを制御している。キャリアガスを使用して反応物ガス源1138、1143から反応物ガスを送達する場合、キャリアガスおよびパージガスとして同一のガスを使用することができる(例えば、キャリアガスおよびパージガスとしてアルゴンを使用する)。
それぞれのバルブシートアセンブリ1144a、1144b、1146a、1146bは、ダイアフラム(図示せず)およびバルブシート(図示せず)を有することができる。ダイアフラムは、それぞれ、付勢により開いたり閉じたりすることもでき、作動させて閉じたり開いたりすることもできる。ダイアフラムは、空気的に作動させてもよく、または電気的に作動させてもよい。空気作動バルブとしては、Fujikin、Inc.およびVeriflo Division、Parker Hannifin、Corp.から市販されている空気作動バルブが挙げられる。電気作動バルブとしては、Fujikin、Inc.から市販されている電気作動バルブが挙げられる。例えば、使用することができるALDバルブは、Fujikin型番FPR−UDDFAT−21−6.35−PI−ASNまたはFujikin型番FPR−NHDT−21−6.35−PA−AYTである。プログラマブルロジックコントローラ1148a、1148bをバルブ1142a、1142bに結合して、バルブ1142a、1142bのバルブシートアセンブリ1144a、1144b、1146a、1146bのダイアフラムの作動を制御することができる。空気作動バルブは、約0.020秒もの短時間のガスのパルスを供給することができる。電気作動バルブは、約0.005秒もの短時間のガスのパルスを供給することができる。電気作動バルブには、通常、バルブとプログラマブルロジックコントローラの間に結合されたドライバーの使用が必要である。
それぞれのバルブ1142a、1142bをゼロデッドボリュームバルブとすることにより、バルブシートアセンブリ1144a、1144bを閉じた場合、送達ライン1143a、1143bから反応物ガスのフラッシングを可能にすることができる。例えば、パージライン1145a、1145bは、送達ライン1143a、1143bのバルブシートアセンブリ1144a、1144bに隣接して配置することができる。バルブシートアセンブリ1144a、1144bを閉じた場合、パージライン1145a、1145bがパージガスを供給して送達ライン1143a、1143bをフラッシングすることができる。一実施形態では、バルブシートアセンブリ1144a、1144bが開いている場合にパージガスが直接この中に送達されないように、パージライン1145a、1145bは、送達ライン1143a、1143bのバルブシートアセンブリ1144a、1144bからわずかに間隔を置いて配置されている。本明細書で使用されるゼロデッドボリュームバルブとは、デッドボリュームが無視できる(例えば、必ずしもゼロデッドボリュームではない)バルブとして定義される。
それぞれのバルブ対1142a/1152a、1142b/1152bは、反応物ガスとパージガスを組み合わせたガスフローの供給、ならびに/またはこれらのガス個別のガスフローを供給するように作ることができる。バルブ対1142a/1152aに関して、反応物ガスとパージガスを組み合わせたガスフローの一例としては、パージガス源1140からパージライン1145aを通るパージガスの連続フローと、反応物ガス源1138から送達ライン1143aを通る反応物ガスのパルスとが挙げられる。パージガスの連続フローは、パージライン1145aのバルブシートアセンブリ1146aのダイアフラムを開にしておくことにより供給することができる。反応物ガス源1138からの反応物ガスのパルスは、送達ライン1143aのバルブシートアセンブリ1144aのダイアフラムを開閉することによって供給することができる。バルブ対1142a/1152aに関して、反応物ガスとパージガスの個別のガスフローの一例としては、パージガス源1140からパージライン1145aを通るパージガスのパルスと、反応物ガス源1138から送達ライン1143aを通る反応物ガスのパルスとが挙げられる。パージガスのパルスは、パージライン1145aのバルブシートアセンブリ1146aのダイアフラムを開閉することによって供給することができる。反応物ガス源1138からの反応物ガスのパルスは、送達ライン1143aのバルブシートアセンブリ1144aのダイアフラムを開閉することによって供給することができる。
バルブ1142a、1142bの送達ライン1143a、1143bは、ガス導管1150a、1150bを通ってガス導入口1136a、1136bに結合させることができる。ガス導管1150a、1150bは、バルブ1142a、1142bと一体化してもよく、あるいは、これらから分離することもできる。一態様では、バルブ1142a、1142bは、バルブ1142a、1142bとガス導入口1136a、1136bの間の、送達ライン1143a、1143bおよびガス導管1150a、1150bのすべての不要な容積を減少させるために、ガス分散チャネル1128のすぐ近くに結合されている。
図3Cは、それぞれのガス導管1150aおよび1150bならびにガス導入口1136aおよび1136bを示しており、これらは、ガス分散チャネル1128の中心軸1133に対して様々な角度で配置されている。それぞれのガス導管1150a、1150bおよびガス導入口1136a、1136bは、中心軸1133に対して垂直(+β、−β=90°)に配置するか、あるいは、中心軸1133に対して、ガス導管1150a、1150bの中心線1176aおよび1176bから角度+βまたは角度−β(0°<+β<90°または0°<−β<90°)に配置することが好ましい。したがって、ガス導管1150a、1150bを、中心軸1133に垂直に、水平に配置することにより、および、角度+βで下方へ傾けることにより、または角度−βで上方へ傾けることにより、ガスフローを基板1110に向かって直接下方へ供給するのではなく、ガス分散チャネル1128の壁部に向かって供給することができる。このことは、基板1110の表面上に吸着された反応物を吹き飛ばす可能性を低下させるのに役立つ。さらに、ガス導管1150a、1150bの直径を、バルブ1142a、1142bの送達ライン1143a、1143bからガス導入口1136a、1136bへと大きくして、ガス分散チャネル1128内へガスフローが入る前にその速度を低下させるのに役立てることができる。例えば、ガス導管1150a、1150bは、内径が徐々に大きくなるものであってもよく、あるいは、内径が大きくなる複数の導管が接続されていてもよい。
図3Cは、中心軸1133に沿って上部1137からスロットル1131まで、集束チャネル1134a内で内径が小さくなるガス分散チャネル1128を示す。さらに、ガス分散チャネル1128の内径は、中心軸1133に沿ってスロットル1131からチャンバリッドアセンブリ1132の下面1160に隣接する下部1135まで、発散チャネル1134b内で大きくなる。一例では、直径100mmの基板を処理するように作られたプロセッシングチャンバ1100は、以下の直径を有することができる。ガス分散チャネル1128の上部1137の直径は、約0.5インチから約2インチまで、好ましくは約0.75インチから約1.5インチまで、より好ましくは0.8インチから約1.2インチまでの範囲内、例えば約1インチとすることができる。ガス分散チャネル1128のスロットル1131の直径は、約0.1インチから約1.5インチまで、好ましくは約0.3インチから約0.9インチまで、より好ましくは0.5インチから約0.8インチまでの範囲内、例えば約0.66インチとすることができる。ガス分散チャネル1128の下部1135の直径は、約0.5インチから約2インチまで、好ましくは約0.75インチから約1.5インチまで、より好ましくは0.8インチから約1.2インチまでの範囲内、例えば約1インチとすることができる。
一般に、上記の寸法は、約500sccmから約20slmまでの範囲内のトータルガス流量を供給するように作られたガス分散チャネル1128に適用される。他の特定の実施形態では、これらの寸法は、ガス分散チャネルを通って流れる一定のガスフローに対応するように変えることができる。一般に、より大きなガスフローは、より大きな直径のガス分散チャネル1128を必要とすることになる。
理論に縛られることを望むものではないが、ガス分散チャネル1128の上部1137からスロットル1131まで徐々に小さくなり、スロットル1131からガス分散チャネル1128の下部1135まで大きくなっているガス分散チャネル1128の直径が、ガス分散チャネル1128内のガスの断熱膨張を少なくすることができ、これが、円形ガスフロー1174に含まれているプロセスガスの温度を制御するのに役立つと考えられる。例えば、ガス導入口1136a、1136bを通してガス分散チャネル1128内に送達されたガスの突然の断熱膨張はガス温度の低下をもたらす可能性があり、これが、ガスの結露および液滴の形成をもたらす恐れがある。一方、徐々にテーパを付けたガス分散チャネル1128は、ガスの断熱膨張が少ないと考えられる。したがって、より多くの熱がガスに、またはガスから移動することができ、したがって、ガスの温度は、ガスを取り囲む温度を制御する(例えば、チャンバリッドアセンブリ1132の温度を制御する)ことにより、より容易に制御することができる。ガス分散チャネル1128は、徐々にテーパを付けることができ、1つまたは複数のテーパを付けた内面、例えばテーパを付けたストレート面、凹面、凸面、またはこれらの組合せなどを有することができ、あるいは、1つまたは複数のテーパを付けた内面の区画(例えば、一部にテーパを付けてあり、一部にはテーパを付けていない)を有することもできる。
一実施形態では、ガス導入口1136a、1136bは、ガス分散チャネル1128の上部1137に隣接して設置されている。他の実施形態では、1つまたは複数のガス導入口1136a、1136bを、上部1137と下部1135の間のガス分散チャネル1128の全長に沿って設置することができる。
それぞれのガス導管1150aおよび1150bを、ガス導管1150aおよび1150bの中心線1176aおよび1176bとガス分散チャネル1128の中心からの半径線とのなす角度αに配置することができるが、これは、それぞれのガス導管1150aおよび1150bについて図3Cに示すように、それぞれのガス導管1150a、1150bは、ガス導管1150a、1150bの中心線とガス分散チャネル1128の半径線とのなす角度αに配置することができるのと同様である。好ましくは角度α(例えば、α>0°の場合)に配置したガス導管1150a、1150bを通してガスを入れると、このガスは、円形ガスフロー1174で表される円形方向(図3B〜3C)に流れる。膨張チャネルの壁部に対して直接、直線的に供給する場合(例えば、α=0°の場合)とは異なり、角度αでガスを供給することは、ガス分散チャネル1128を通して乱流ではなく、より層流を供給するのに役立つ。ガス分散チャネル1128内の層流は、ガス分散チャネル1128の内面およびチャンバリッドアセンブリ1132の他の表面のパージングを向上させると考えられる。それに比べて、乱流は、ガス分散チャネル1128の内面および他の表面の全体にわたって一様に流れない可能性があり、ガスフローがないデッドスポットまたは停滞スポットができる恐れがある。一態様では、ガス導管1150a、1150bおよび対応するガス導入口1136a、1136bは、互いに間隔を置いて配置され、フローを同一の円形方向(例えば、時計回りまたは反時計回り)に導く。
理論に縛られることを望むものではないが、図3Cは、チャンバリッドアセンブリ1132のガス分散チャネル1128の断面図であり、これを通って流れるガスフローの概略図を示す。ガス分散チャネル1128内の正確なフローパターンは知られていないが、円形ガスフロー1174(図3B〜3C)は、ボルテックスフロー、ヘリックスフロー、スパイラルフロー、スワールフロー、回転フロー、ツイストフロー、コイルフロー、らせん状フロー、カールフロー、渦巻きフロー、これらの派生形、またはこれらの組合せなどの円形フローパターンでガス分散チャネル1128内を移動する可能性があると考えられる。図3C示すように、円形フローは、基板1110から離れた区画ではなく、「プロセッシング領域」に供給することができる。一態様では、円形ガスフロー1174は、ガス分散チャネル1128の内面全体にわたるボルテックスフローパターンのスイーピング作用により、ガス分散チャネル1128のより効率的なパージを行うのに役立てることができる。
一実施形態では、図3Cは、ガス導入口1136a、1136bと基板1110の間の距離1175が十分に長く、円形ガスフロー1174が消えて下向きのフローになることを示しているが、これは、基板1110の表面全体にわたってスパイラルフローが流れることは望ましくない場合があるからである。円形ガスフロー1174は層流のかたちで進みチャンバリッドアセンブリ1132および基板1110の表面を効率的にパージすると考えられる。1つの特定の実施形態では、ガス分散チャネル1128の上部1137と基板1110の間の距離1175の長さは、約3インチから約8インチまで、好ましくは約3.5インチから約7インチまで、より好ましくは約4インチから約6インチまでの範囲内、例えば約5インチとすることができる。
距離1177aは、リッドキャップ1172内のガス分散チャネル1128の上部1137とスロットル1131の間の中心軸1133に沿った集束チャネル1134aの長さであり、距離1177bは、リッドキャップ1172内のスロットル1131とリッドキャップ1172の下面1173の間の中心軸1133に沿った発散チャネル1134bの長さである。一例では、距離1177aは、約1インチから約4インチまで、好ましくは約1.25インチから約3インチまで、より好ましくは約1.5インチから約2.5インチまでの範囲内、例えば約2インチの長さを有することができ、距離1177bは、約0.5インチから約4インチまで、好ましくは約1インチから約3インチまで、より好ましくは約1.25インチから約1.75インチまでの範囲内、例えば約1.5インチの長さを有することができる。
図3Aは、チャンバリッドアセンブリ1132の下面1160の少なくとも一部分に、ガス分散チャネル1128からチャンバリッドアセンブリ1132の周辺部分までテーパを付けて、ガス分散チャネル1128から基板1110の表面全体にわたって(例えば、基板の中心から基板の縁部まで)のガスフローの速度プロフィルを向上させるのに役立つことができることを示している。下面1160は、ストレート面、凹面、凸面、またはこれらの組合せなどの、1つまたは複数のテーパ面を有することができる。一実施形態では、下面1160は漏斗の形状にテーパが付けられている。
一例では、下面1160は、チャンバリッドアセンブリ1132の下面1160と基板1110の間を移動するプロセスガスの速度の変動を低下させるのに役立つように下方へ傾斜して、基板1110の表面が反応物ガスに均一にさらされるように支援している。一実施形態では、チャンバリッドアセンブリ1132の下方へ傾斜する下面1160と基板1110の表面との間の、フロー断面の最大面積とフロー断面の最小面積との比は、約2未満、好ましくは約1.5未満、より好ましくは約1.3未満、より好ましくは約1である。
理論に縛られることを望むものではないが、基板1110の表面全体にわたってより均一な速度で移動するガスフローは、基板1110上にガスのより均一な堆積をもたらすのに役立つと考えられる。ガスの速度はガスの濃度に正比例し、このガス濃度は基板1110表面上のガスの堆積速度に正比例すると考えられる。したがって、基板1110の表面の第1領域におけるガスの速度が基板1110の表面の第2領域の速度と比べて高いと、第1領域上のガスの堆積が増加すると考えられる。下方へ傾斜する下面1160を有するチャンバリッドアセンブリ1132は、基板1110の表面全体にわたってガスのより均一な堆積をもたらすと考えられる。何故ならば、下面1160は、基板1110の表面全体にわたってより均一な速度をもたらし、したがってより均一なガス濃度をもたらすからである。
図3Aは、チャンバリッドアセンブリ1132の周辺部分に、基板1110の周囲に隣接して設置されたチョーク1162を示している。チョーク1162は、チャンバリッドアセンブリ1132を組み立てて基板1110のまわりにプロセッシングゾーンを形成するときに、基板1110の周囲に隣接する領域においてこれを通るガスのフローを制限する任意の部材を含む。
1つの特定の実施形態では、チョーク1162と基板支持部1112の間の間隔は、約0.04インチから約2.0インチまで、好ましくは約0.04インチから約0.2インチまでの範囲内とすることができる。この間隔は、堆積中に送達されるガスおよびプロセス条件に応じて異なってもよい。チョーク1162は、ポンピングゾーン1166(図3A)の不均一な圧力分布から反応ゾーン1164を分離することにより、チャンバリッドアセンブリ1132と基板1110の間に画定される容積または反応ゾーン1164内の圧力分布をより均一にするのに役立つ。
図3Aを参照すると、一態様では、反応ゾーン1164はポンピングゾーン1166から分離されているので、反応物ガスまたはパージガスに基板1110を確実に十分にさらすには、反応物ガスまたはパージガスが反応ゾーン1164を十分に満たすだけでよい。従来のCVDでは、先行技術のチャンバには、反応物の共反応が基板1110の表面全体にわたって確実に均一に起こるように、基板の全表面に反応物を組み合わせたフローを同時に均一に供給することが必要とされる。ALDでは、プロセッシングチャンバ1100は、基板1110の表面に反応物を順次導入して、基板1110の表面上に反応物の薄層を交互に吸収させる。結果として、ALDは、基板1110の表面に同時に到達する反応物のフローを必要としない。代わりに、基板1110の表面上に反応物の薄層を吸着させるのに十分な量の反応物のフローを与える必要がある。
反応ゾーン1164の容積は従来のCVDチャンバの内部容積と比較するとより小さい可能性があるので、本明細書の一実施形態に記載されているように、ALDシーケンスの特定のプロセス用の反応ゾーン1164を満たすのに必要なガスの量はより少量でよい。例えば、反応ゾーン1164の容積は、直径200mmの基板を処理するように作られたチャンバについて、約1,000cm以下、好ましくは約500cm以下、より好ましくは約200cm以下とすることができる。別の例では、反応ゾーン1164の容積は、直径100mmの基板を処理するように作られたチャンバについて、約3,000cm以下、好ましくは約1,500cm以下、より好ましくは約600cm以下とすることができる。別の実施形態では、基板支持部1112を上げ下げして、堆積のために反応ゾーン1164の容積を調節することができる。反応ゾーン1164の容積がより小さいので、プロセッシングチャンバ1100へ流すガスは、堆積ガスまたはパージガスのいずれも少ない量でよい。したがって、プロセッシングチャンバ1100の処理能力がより大きく、かつ、ガスの使用量がより少ないことにより廃棄物を最小限にできるので、運転費用を低下させることができる。
図3A〜3Cに示すように、チャンバリッドアセンブリ1132はリッドキャップ1172とリッドプレート1170とを有しており、リッドキャップ1172とリッドプレート1170とがガス分散チャネル1128を形成している。リッドプレート1170とリッドキャップ1172の間に、任意選択で追加のプレートを配置することができる。他の実施形態では、ガス分散チャネル1128は、単一の材料から一体的に作ることができる。
チャンバリッドアセンブリ1132は、その中に送達される特定のガスに応じて、冷却要素および/または加熱要素を含むことができる。チャンバリッドアセンブリ1132の温度を制御して、チャンバリッドアセンブリ1132上のガスの分解、堆積、または結露を防ぐことができる。例えば、水チャネル(図10Aの冷却材チャネル1090など)をチャンバリッドアセンブリ1132に形成して、チャンバリッドアセンブリ1132を冷却することができる。別の例では、加熱要素(図示せず)を埋め込むか、加熱要素がチャンバリッドアセンブリ1132の構成要素を取り囲んで、チャンバリッドアセンブリ1132を加熱することができる。一実施形態では、チャンバリッドアセンブリ1132の構成要素を個々に加熱または冷却することができる。例えば、図3Aを参照すると、チャンバリッドアセンブリ1132はリッドプレート1170とリッドキャップ1172とを有することができ、リッドプレート1170とリッドキャップ1172とがガス分散チャネル1128を形成している。リッドキャップ1172を1つの温度範囲に保持することができ、かつ、リッドプレート1170を別の温度範囲に保持することができる。例えば、リッドキャップ1172を、ヒーターテープで包んでまたは別の加熱装置を使用することにより加熱して反応物ガスの結露を防ぐことができ、かつ、リッドプレート1170を周囲温度で保持することができる。別の例では、リッドキャップ1172を加熱することができ、かつ、リッドプレート1170をその中に形成された水チャネルで冷却して、リッドプレート1170上での反応物ガスの熱分解を防ぐことができる。
チャンバリッドアセンブリ1132の構成要素および部品は、ステンレス鋼、アルミニウム、ニッケルめっきアルミニウム、ニッケル、これらの合金、または他の好適な材料などの材料から構成することができる。一実施形態では、リッドキャップ1172およびリッドプレート1170は、独立に、アルミニウム、アルミニウム合金、鋼、ステンレス鋼、これらの合金、またはこれらの組合せなどの金属から、組立て、機械加工、鍛造、または他の方法により作ることができる。
一実施形態では、ガス分散チャネル1128の内面(リッドプレート1170およびリッドキャップ1172の両内面を含む)ならびにチャンバリッドアセンブリ1132の下面1160は、チャンバリッドアセンブリ1132のガス分散チャネル1128および下面1160に沿ってガスの層流を作るのに役立つように鏡面研磨面を有することができる。別の実施形態では、ガス導管1150a、1150bの内面は、そこを通るガスの層流を作るのに役立つように電解研磨することができる。
他の実施形態では、ガス分散チャネル1128の内面(リッドプレート1170およびリッドキャップ1172の両内面を含む)ならびにチャンバリッドアセンブリ1132の下面1160は、表面全体にわたってより大きい表面積を作るように粗面または機械加工面を有することができる。粗面は、リッドプレート1170およびリッドキャップ1172の内面ならびに下面1160上に蓄積される望ましくない材料の付着を改善する。この望ましくない膜は、通常、気相堆積プロセスを行なった結果として形成され、下面1160およびガス分散チャネル1128の内面から剥離し、あるいは薄片となってはがれ落ちて基板1110を汚染する恐れがある。一例では、下面1160および/またはガス分散チャネル1128の内面の平均粗さ(Ra)は、少なくとも約10μインチ、例えば、約10μインチ(約0.254μm)から約200μインチ(約5.08μm)まで、好ましくは約20μインチ(約0.508μm)から約100μインチ(約2.54μm)まで、より好ましくは約30μインチ(約0.762μm)から約80μインチ(約2.032μm)までの範囲内とすることができる。別の例では、下面1160および/またはガス分散チャネル1128の内面の平均粗さは、少なくとも約100μインチ(約2.54μm)、好ましくは約200μインチ(約5.08μm)から約500μインチ(約12.7μm)までの範囲内とすることができる。
図3Aは、プログラムドパーソナルコンピュータ、ワークステーションコンピュータなどの制御装置1180を示す。この装置は、プロセッシング条件を制御するためにプロセッシングチャンバ1100に結合されている。例えば、制御装置1180は、基板プロセスシーケンスの様々な段階において、ガス源1138、1143、および1140からバルブ1142aおよび1142bを介して流れる様々なプロセスガスおよびパージガスのフローを制御するように構成することができる。例示されているように、制御装置1180は、中央プロセッシング装置(CPU)1182、サポート回路1184、および関連する制御ソフトウェア1183を有するメモリ1186を備えている。
制御装置1180は、様々なチャンバおよびサブプロセッサを制御するための工業的設定に使用することができる、任意の形の汎用コンピュータプロセッサの1つとすることができる。CPU1182は、任意の適切なメモリ1186、例えば、ランダムアクセスメモリ、読み出し専用メモリ、フロッピーディスクドライブ、ハードディスク、またはローカルまたはリモートの任意の他の形のデジタル記憶装置を使用することができる。プロセッシングチャンバ1100をサポートするために、様々なサポート回路をCPU1182に結合することができる。制御装置1180は、個々のチャンバ構成要素に隣接して設けられた別のコントローラ、例えば、バルブ1142a、1142bのプログラマブルロジックコントローラ1148a、1148bに結合させることができる。制御装置1180とプロセッシングチャンバ1100の様々な他の構成要素の間の双方向コミュニケーションは、一括して信号バス1188と呼ばれる多数の信号ケーブルを介して扱われる。これらのうちのいくつかを図3Aに示す。ガス源1138、1143、1140からのプロセスガスおよびパージガスの制御、ならびにバルブ1142a、1142bのプログラマブルロジックコントローラ1148a、1148bの制御に加えて、制御装置1180は、ウェーハプロセッシングにおいて用いられるその他の動作(これらの中でも、ウェーハの移送、温度制御、チャンバの排気など)の自動制御にも責任を負うように構成することができる。これらの他の動作のいくつかは本明細書に別記されている。
図3A〜3Cを参照すると、運転時は、ロボット(図示せず)によって、スリットバルブ1108を通して基板1110をプロセッシングチャンバ1100に送達する。基板1110は、リフトピン1120とロボットの協働により基板支持部1112に配置される。基板支持部1112は、チャンバリッドアセンブリ1132の下面1160に接近して対向するように基板1110を持ち上げる。バルブ1142bによりプロセッシングチャンバ1100に注入される第2ガスフローと一緒にまたはこれとは別に、第1ガスフローを、バルブ1142aによりプロセッシングチャンバ1100のガス分散チャネル1128に注入することができる。第1ガスフローは、パージガス源1140からのパージガスの連続フローと、反応物ガス源1138からの反応物ガスのパルスとを含むことができ、あるいは、反応物ガス源1138からの反応物ガスのパルスと、パージガス源1140からのパージガスのパルスとを含むことができる。第2ガスフローは、パージガス源1140からのパージガスの連続フローと、反応物ガス源1139からの反応物ガスのパルスとを含むことができ、あるいは、反応物ガス源1139からの反応物ガスのパルスと、パージガス源1140からのパージガスのパルスとを含むことができる。円形ガスフロー1174は、ガス分散チャネル1128の内面全体にわたってスイーピング作用をもたらすボルテックスフローとして、ガス分散チャネル1128内を移動する。円形ガスフロー1174は消滅し基板1110の表面に向かって下向きのフローになる。ガスフローの速度は、ガスがガス分散チャネル1128内を移動するにつれて低下する。次いで、ガスフローは、基板1110の表面全体にわたって、かつチャンバリッドアセンブリ1132の下面1160全体にわたって移動する。チャンバリッドアセンブリ1132の下面1160は下方へ傾斜しており、基板1110の表面全体にわたるガスフローの速度の変動を低下させるのに役立つ。次いで、ガスフローは、チョーク1162を経由してプロセッシングチャンバ1100のポンピングゾーン1166へ移動する。過剰のガス、副生成物などは、ポンピングチャネル1179に流れ込み、次いで真空システム1178によってプロセッシングチャンバ1100から排出される。一態様では、ガスフローは、ガス分散チャネル1128内ならびに基板1110の表面とチャンバリッドアセンブリ1132の下面1160の間を層流のかたちで進む。この層流のかたちは、基板1110の表面を反応物ガスに均一にさらし、かつチャンバリッドアセンブリ1132の内面を効率的にパージする効果がある。
図3A〜3Cに示すように、プロセッシングチャンバ1100は、いくつかの特徴の組合せを有するものとして本明細書に記述されている。一態様では、プロセッシングチャンバ1100は、従来のCVDチャンバと比較して、小さな容積を有する反応ゾーン1164を提供する。プロセッシングチャンバ1100では、特定のプロセスのために反応ゾーン1164を満たすのに必要な反応物ガスまたはパージガスをなどのガスの量はより少量でよい。別の態様では、プロセッシングチャンバ1100は、下方へ傾斜するまたは漏斗形の下面1160を有するチャンバリッドアセンブリ1132を提供して、チャンバリッドアセンブリ1132の底面と基板1110の間を移動するガスフローの速度プロフィルの変動を低下させる。さらに別の態様では、プロセッシングチャンバ1100は、ガス分散チャネル1128を提供し、これを通って導入されるガスフローの速度を低下させる。さらに別の態様では、プロセッシングチャンバ1100は、ガス分散チャネル1128の中心から角度αのガス導管を提供する。プロセッシングチャンバ1100は、本明細書に別記されるような他の特徴を提供する。ALD用に作ったチャンバの他の実施形態は、1つまたは複数のこれらの特徴を取り入れている。
本発明の実施形態は、ALDプロセスなどの気相堆積プロセス中に材料を堆積させるために使用することができるチャンバ前処理および堆積プロセスを提供する。これらのプロセスは、膨張チャネルリッドアセンブリ、集束−発散リッドアセンブリ、複数注入リッドアセンブリ、または拡張キャップリッドアセンブリを有する、様々な気相堆積プロセッシングチャンバおよびガス送達システム内で使用することができる。他の実施形態は、ALDプロセス中にこれらのガス送達システムを使用して、材料を堆積させる方法を提供する。
図3A〜3Cに示すように、プロセッシングチャンバ1100は、実施形態により本明細書に記載されたALDまたはCVDプロセスを実行するのに好都合に使用することができる。明瞭にするために、ALDによる層の堆積を、プロセッシングチャンバ1100を利用した窒化タンタル層のALDに関してより詳細に説明する。一態様では、窒化タンタルバリア層のALDは、プロセッシングチャンバ1100にタンタル前駆体のパルスおよび窒素前駆体のパルスを順次供給することを含む。このとき、それぞれのパルスがパージガスのフローおよび/またはチャンバ排気によって分離され、すべての過剰反応物を除去して窒素前駆体とタンタル前駆体の気相反応を防ぎ、かつすべての反応副生成物を除去する。タンタル前駆体および窒素前駆体を順次供給することにより、タンタル前駆体の単分子層と窒素前駆体の単分子層の交互の吸収がもたらされ、パルスのサイクル毎に基板構造上に窒化タンタルの単分子層が形成され得る。基板構造という用語を、基板ならびにその上に形成された他の材料の層、例えば誘電体層を指すために使用する。
タンタル前駆体および窒素前駆体などの反応物の単分子層を吸着させるために使用される吸着プロセスは、基板構造の表面の反応物を吸着させる部位の数が有限なので、所与のパルス中に1つの単分子層だけしか基板構造の表面上に吸着させることができないという点で自己制限的であると考えられる。有限数の部位が一旦タンタル前駆体または窒素前駆体などの反応物によって占められると、反応物のさらなる吸収は阻止されることになる。このサイクルは、所望厚さの窒化タンタル層まで繰り返すことができる。
本発明の実施形態は、処理ガス中の水素化配位子化合物にプロセッシングチャンバ1100の内面をさらす前処理プロセスを提供する。一実施形態では、処理ガスは、ガス源1138、1139、および/または140のいずれか1つからプロセッシングチャンバ1100へ送達することができる。あるいは、別の実施形態では、処理ガスは、別のガス源(図示せず)からプロセッシングチャンバ1100へ送達することができる。別の実施形態では、水素化配位子化合物を含む処理ガスは、リッドキャップ1172(図示せず)の導入口を通ってプロセッシングチャンバ1100に入ることができる。プロセッシングチャンバ1100に入ると、処理ガスは、ガス分散チャネル1128の下方へ、集束チャネル1134aから、発散チャネル1134bを通って、下面1160に沿って、反応ゾーン1164へ移動することができる。集束チャネル1134a、発散チャネル1134b、下面1160、および反応ゾーン1164の表面を含めて、プロセッシングチャンバ1100の内面は、処理ガスからの水素化配位子化合物または他の試薬でコーティングすることができる。
別の実施形態では、水素化配位子化合物または他の試薬を含む処理ガスは、図3Cに示すように、プロセッシングチャンバ1100の内面をコーティングしながら、円形ガスフロー1174として、ガス分散チャネル1128の中心軸1133のまわりを回転して流れる。円形ガスフロー1174は、ボルテックスパターン、ヘリックスパターン、スパイラルパターン、回転パターン、ツイストパターン、コイルパターン、渦巻きパターン、またはこれらの派生形などのフローパターンを含むことができる。円形ガスフロー1174は、ガス分散チャネル1128の中心軸1133のまわりに、少なくとも約1回転、好ましくは少なくとも約1.5回転、より好ましくは少なくとも約2回転、より好ましくは少なくとも約3回転、より好ましくは約4回転以上延在することができる。
PDMATなどのタンタル前駆体のパルスは、バルブ1142aを通ってガス源1138から導入することができる。タンタル前駆体は、キャリアガスの支援により供給することができる。キャリアガスとしては、それだけに限らないが、ヘリウム、アルゴン、窒素(N2)、水素(H2)、またはこれらの混合物が挙げられる。アンモニアなどの窒素前駆体のパルスは、バルブ1142aを通ってガス源1139から導入することができる。窒素前駆体の送達に役立つように、キャリアガスを使用することもできる。アルゴンなどのパージガスは、バルブ1142aを通っておよび/またはバルブ1142bを通ってガス源1140から導入することができる。一態様では、パージガスのフローは、タンタル前駆体のパルスと窒素前駆体のパルスの間のパージガスとしての役割を果たし、かつタンタル前駆体と窒素前駆体のパルス中のキャリアガスとしての役割を果たすように、バルブ1142a、1142bを通ってガス源1140から連続的に供給することができる。一態様では、2つのガス導管1150a、1150bを通してパージガスを送達することにより、ガス導管の一方1150aまたは1150bを通って供給されるパージガスよりむしろ、反応ゾーン1164のより完全なパージが達成される。一態様では、反応物ガスは、ガス導管の一方1150aまたは1150bを通して送達することができる。何故なら、タンタル前駆体または窒素前駆体などの反応物ガスのフローの均一性は、基板構造の表面上の反応物の自己制限的な吸収プロセスにより、パージガスの均一性ほど重要ではないからである。他の実施形態では、パージガスは、パルスで供給することができる。他の実施形態では、パージガスは、3つ以上のガスフローまたは1つのガスフローとして供給することができる。他の実施形態では、タンタル前駆体ガスは、単一のガスフローを超えるガスフロー(例えば、2つ以上のガスフロー)として供給することができる。他の実施形態では、窒素前駆体ガスは、単一のガスフローを超えるガスフロー(例えば、2つ以上のガスフロー)として供給することができる。
窒化タンタル層の形成は、基板上にタンタル前駆体の単分子層を吸収させ、その後窒素前駆体の単分子層を吸収させることから始まると言える。あるいは、窒化タンタル層の形成は、基板上に窒素前駆体の単分子層を吸収させ、その後タンタル前駆体の単分子層が吸収させることから始めてもよい。さらに、他の実施形態では、反応物ガスの混合を防ぐために、反応物ガスのパルス間にポンプ排気のみを使用することもできる。
タンタル前駆体の各パルスの持続時間、窒素前駆体の各パルスの持続時間、および反応物のパルス間のパージガスフローの持続時間は変更可能であり、これらは使用される堆積チャンバの容積容量ならびにこれに結合された真空システムによって決まる。例えば、(1)ガスのより低いチャンバ圧力は、より長いパルス時間を必要とする;(2)より少ないガス流量は、チャンバ圧力が上昇し安定するのにより長い時間を必要とし、より長いパルス時間を必要とする;かつ(3)大容積チャンバは、満たすのにより長くかかり、チャンバ圧力が安定するのにより長くかかり、したがってより長いパルス時間を必要とする。同様に、それぞれのパルス間の時間も変更可能であり、プロセッシングチャンバの容積容量ならびにこれに結合された真空システムによって決まる。一般に、タンタル前駆体または窒素前駆体のパルスの持続時間は、化合物の単分子層を吸収させるのに十分に長いことが望ましい。一態様では、窒素前駆体のパルスが入るとき、タンタル前駆体のパルスは引き続きチャンバ内にあってもよい。一般に、パージガスおよび/またはポンプ排気の持続時間は、タンタル前駆体と窒素前駆体のパルスが反応ゾーンで混合するのを防ぐように十分に長いことが望ましい。
一般に、タンタル前駆体については約1.0秒以下のパルス時間、および窒素前駆体については約1.0秒以下のパルス時間が、通常、基板構造上に交互に単分子層を吸着させるのに十分である。タンタル前駆体のパルスと窒素前駆体のパルスの間を約1.0秒以下の時間にすれば、連続的なパージガスであってもパージガスのパルスであっても、通常、パージガスが、タンタル前駆体と窒素前駆体のパルスが反応ゾーンにおいて混合するのを防ぐには十分である。もちろん、タンタル前駆体および窒素前駆体を確実に吸収させるために、反応物のパルス時間をより長くすることができ、また、反応副生成物を確実に除去するために、反応物のパルス間の時間をより長くすることができる。
一例では、プロセッシングチャンバ、基板、または基板支持部は、ALDプロセス中、選択されたタンタル前駆体の略熱分解温度未満に保持することができる。チャンバ圧力約100トル未満、好ましくは50トル未満において、本明細書で特定されるタンタル前駆体に用いる例示的なヒーター温度範囲は、約20℃から約500℃程度である。タンタル前駆体がPDMATである場合は、ヒーター温度は、好ましくは約150℃から約350℃まで、より好ましくは約250℃から300℃までの範囲内にあり、プロセッシングチャンバの内圧は、約5トルから約20トルまでの範囲内とすることができる。他の実施形態では、他の温度および圧力を使用することができることを理解されたい。例えば、熱分解温度を超える温度を使用することができる。しかし、この温度は、堆積作用の50パーセント超が吸収プロセスによるものであるように選択することが望ましい。別の例では、熱分解温度を超える温度を使用することができるが、その温度は、それぞれの前駆体堆積中の分解量が限定されており、その成長モードがALD成長モードに近いような温度である。
一例では、プロセッシングチャンバ1100は、前処理プロセスにさらされ、続いて、ALDプロセスにさらされ得る。このプロセスは、ガス源1138から、バルブ1142aを通して、約100sccmから約1,000sccmまで、好ましくは約300sccmから約700sccmまでの範囲内の流量で、タンタル前駆体ガス(例えば、アルゴン中のPDMAT)のパルスを供給することができ、これらのパルスは、パルス時間が約1秒以下である。このプロセスは、ガス源1139から、バルブ1142bを通して、約20sccmから約1,000sccmまで、好ましくは約100sccmから約300sccmまでの範囲内の流量で、窒素前駆体ガス(例えば、アンモニア)のパルスをさらに供給することができ、これらのパルスは、パルス時間は約1秒以下である。アルゴンパージガスは、約1slmから約12slm sccmまで、好ましくは約2slmから約8slmまでの範囲内の流量を有することができ、ガス源1140からバルブ1142a、1142bを通って、さらにプロセッシングチャンバ1100の他の導入口を通って連続的に供給することができる。タンタル前駆体のパルスと窒素前駆体のパルスの間の時間は、約0.5秒以下とすることができる。
一実施形態では、窒化タンタル層を、バイアまたは同様の開口部の側壁部に、約50Å以下、好ましくは約20Å以下、より好ましくは約10Å以下の厚みで堆積させることができる。約10Å以下の厚みを有する窒化タンタル層は、銅拡散を防ぐためのバリア層としての用途において十分な厚みであると考えられる。他の実施形態では、窒化タンタル層は、50Åを超える厚みを有することができる。一態様では、本明細書に記載したプロセスで堆積させた窒化タンタルを含む薄いバリア層は、高アスペクト比(例えば、5対1を超える)を有するサブミクロン(例えば、0.15μm未満)以下のフィーチャを満たすのに使用することができる。
前処理プロセス中のプロセッシングチャンバの内部面の処理は、基板表面全体にわたる窒化タンタル層または他の堆積材料の均一性を高める(または不均一性を低下させる)のに役立つ−この状態は前処理プロセスを行わない状態とは対照的である。基板表面全体にわたる堆積材料の不均一性は、約12%以下、好ましくは約10%以下、より好ましくは約8%以下、例えば約6%以下とすることができる。
「原子層堆積」(ALD)とは、本明細書で使用した場合、2種以上の反応性化合物を順次導入して、基板表面上に材料の層を堆積させることを指す。2種、3種、またはより多くの反応性化合物を、プロセッシングチャンバの反応ゾーンまたはプロセス領域へ二者択一的に導入することができる。反応性化合物は、ガス、プラズマ、蒸気、流体の状態、または気相堆積プロセスに有用な他の物質状態とすることができる。通常、それぞれの反応性化合物は時間遅れによって分離され、それぞれの化合物が基板表面に付着すること、および/または基板表面で反応することを可能にする。一態様では、第1前駆体または化合物Aが反応ゾーンへパルス的に送られた後、初回の時間遅れが続く。次に、第2前駆体または化合物Bが反応ゾーンへパルス的に送られた後、第2の遅れが続く。化合物Aと化合物Bが反応して堆積材料を形成する。それぞれの時間遅れ中には、パージガスをプロセッシングチャンバへ導入して反応ゾーンをパージするか、または他の方法で、すべての残留反応性化合物または副生成物を反応ゾーンから除去する。あるいは、パージガスだけが反応性化合物のパルス間の時間遅れ中に流れるように、パージガスを堆積プロセスの始めから終わりまで連続的に流すことができる。反応性化合物は、堆積材料の所望の膜厚が基板表面上に形成されるまで、二者択一的にパルス的に送られる。どちらのシナリオでも、化合物A、パージガスをパルス的に送る、化合物Bおよびパージガスをパルス的に送るというALDプロセスが1サイクルである。1サイクルは、化合物Aまたは化合物Bのいずれかで始めることができ、所望の厚みを有する膜を得るまでサイクルのそれぞれの順序を継続することができる。他の実施形態では、化合物Aを含む第1前駆体、化合物Bを含む第2前駆体、および化合物Cを含む第3前駆体が、それぞれ別々にプロセッシングチャンバへパルス的に送られる。あるいは、第1前駆体のパルスは第2前駆体のパルスと時間的に一部重なることができるが、一方、第3前駆体のパルスは第1および第2前駆体のどちらのパルスとも時間的に一部重なることはない。本明細書で使用される「プロセスガス」とは、単一のガス、複数のガス、プラズマを含むガス、単一もしくは複数のガスおよび/または単一もしくは複数のプラズマの組合せを指す。プロセスガスは、気相堆積プロセスのための少なくとも1種の反応性化合物を含んでもよい。反応性化合物は、ガス、プラズマ、蒸気、流体の状態、または気相堆積プロセスに有用な他の物質状態とすることができる。さらに、プロセスガスは、パージガスまたはキャリアガスを含んでもよいが、反応性化合物を含んではならない。
「基板」または「基板表面」とは、本明細書で使用される場合、膜プロセッシングが行われる基板上に形成された任意の基板または材料表面を指す。例えば、その上でプロセッシングを行うことができる基板表面としては、用途に応じて、シリコン、シリコン酸化物、歪みシリコン、シリコンオンインシュレータ(SOI)、炭素ドープシリコン酸化物、シリコン窒化物、ドープシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、石英などの材料、ならびに、金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料が挙げられる。基板表面上のバリア層、金属または金属窒化物としては、チタン、窒化チタン、ケイ窒化チタン、タングステン、窒化タングステン、ケイ窒化タングステン、タンタル、窒化タンタル、またはケイ窒化タンタルを挙げることができる。基板は、直径200mmまたは300mmのウェーハ、ならびに長方形または正方形のペインなどの様々な寸法を有することができる。基板としては、半導体基板、ディスプレイ基板(例えば、LCD)、ソーラーパネル基板、および他の種類の基板が挙げられる。特に断らない限り、本明細書に記載された実施形態および例は、好ましくは直径200mmまたは直径300mm、より好ましくは直径300mmの基板上で行われる。本発明の実施形態が有用でありうる基板としては、それだけに限らないが、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化シリコン、ガラス、石英、歪みシリコン、シリコンゲルマニウム、ドープまたはアンドープポリシリコン、ドープまたはアンドープシリコンウェーハ、パターン化または非パターン化ウェーハなどの半導体ウェーハが挙げられる。基板を前処理プロセスにさらして、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール化、および/または加熱することができる。
本発明は特定の実施形態によって説明されているが、当業者は、反応条件、例えば、温度、圧力、膜厚などへの様々な変化を行うことができ、これらが本明細書に包含されることを意味することを理解するであろう。さらに、堆積させるガスのシーケンスについても同様である。例えば、順次堆積プロセスの最初のシーケンスが変わってもよい。最初のシーケンスは、タンタル含有ガスがプロセッシングチャンバへ導入される前に、窒素含有ガスに基板をさらすことを含んでもよい。さらに、窒化タンタル層は、接触用拡散バリアとして機能することに加えて、回路の他の特徴にも使用することができる。したがって、本発明の範囲は前述の説明に基づくべきではない。もっと正確に言えば、本発明の範囲は、その均等物の全範囲を含めて、本明細書に列挙された特許請求の範囲に基づいて決められるべきである。
前述は本発明の実施形態を対象とするものであるが、他のさらなる本発明の実施形態を、その基本的な範囲から逸脱することなく考案することができ、その範囲は以下の特許請求の範囲によって決められる。

Claims (15)

  1. チャンバを処理し、基板表面上に材料を堆積させる方法であって、
    前処理プロセス中に、アルキルアミン化合物を含む処理ガスに、プロセッシングチャンバの内面および前記プロセッシングチャンバ内の基板をさらすことと、
    原子層堆積プロセス中に、アルキルアミノ金属前駆体ガスおよび少なくとも第2前駆体ガスに前記基板を順次さらして、前記基板上に材料を堆積させることと、
    を含む方法。
  2. 前記アルキルアミン化合物が、HNRまたはHNR’R”の化学式を有し、式中、R、R’、およびR”が、それぞれ独立に、メチル、エチル、プロピル、ブチル、アミル、フェニル、アリール、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項1に記載の方法。
  3. 前記プロセッシングチャンバの前記内面がリッドアセンブリ内面およびチャンバボディ内面を含み、これらの内面が、前記前処理プロセス中、約30℃から約100℃までの範囲内の温度に加熱され、かつ約5秒から約60秒までの範囲内の時間、前記処理ガスにさらされる、請求項1に記載の方法。
  4. チャンバを処理し、基板表面上に材料を堆積させる方法であって、
    前処理プロセス中に、プロセッシングチャンバの内面および前記プロセッシングチャンバ内に配置された基板を、水素化配位子化合物を含む処理ガスにさらすことであって、前記水素化配位子化合物がHLの化学式を有し、式中、Lが、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、およびこれらの誘導体からなる群から選択される配位子であることと、
    気相堆積プロセス中に、前記基板を第1前駆体ガスにさらして前記基板上に材料を堆積させることであって、前記第1前駆体ガスが、ML’の化学式を有する第1前駆体を含み、式中、xが1、2、3、4、5、6、またはこれより大きく、Mが、Ti、Zr、Hf、Nb、Ta、Mo、W、Ru、Co、Ni、Pd、Pt、Cu、Al、Ga、In、Si、Ge、Sn、P、As、およびSbからなる群から選択される元素であり、L’が、それぞれ独立に、アルキルアミノ、アルキルイミノ、アルコキシ、アルキル、アルケン、アルキン、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、水素、ハロゲン、これらの誘導体、およびこれらの組合せからなる群から選択される配位子であることと、
    を含む方法。
  5. 前記水素化配位子化合物が、HNRまたはHNR’R”の化学式を有するアルキルアミン化合物であり、式中、R、R’、およびR”は、それぞれ独立に、メチル、エチル、プロピル、ブチル、アミル、フェニル、アリール、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項4に記載の方法。
  6. 前記アルキルアミン化合物が、メチルアミン、ジメチルアミン、エチルアミン、ジエチルアミン、メチルエチルアミン、プロピルアミン、ジプロピルアミン、ブチルアミン、ジブチルアミン、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項5に記載の方法。
  7. 前記第1前駆体が、N(CH、N(C、N(C、N(C、N(CH)(C)、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択されるアルキルアミノ配位子を含む、請求項4に記載の方法。
  8. 前記元素MがTaであり、xが4または5である、請求項7に記載の方法。
  9. 前記第1前駆体がペンタキス(ジメチルアミノ)タンタルであり、前記アルキルアミン化合物ガスがメチルアミンまたはジメチルアミンを含む、請求項8に記載の方法。
  10. 前記元素MがSi、Ti、Zr、またはHfであり、xが4である、請求項7に記載の方法。
  11. 前記水素化配位子化合物がROHの化学式を有するアルコール化合物であり、式中、Rがメチル、エチル、プロピル、ブチル、アミル、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項4に記載の方法。
  12. 前記アルコール化合物が、メタノール、エタノール、プロパノール、ブタノール、ペンタノール、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項11に記載の方法。
  13. 前記第1前駆体が、OCH、OC、OC、OC、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択されるアルコキシ配位子を含む、請求項11に記載の方法。
  14. 前記水素化配位子化合物の前記配位子Lが、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択され、前記第1前駆体の前記配位子L’が、シクロペンタジエニル、アルキルシクロペンタジエニル、ペンタジエニル、ピロリル、これらの異性体、これらの誘導体、およびこれらの組合せからなる群から選択される、請求項4に記載の方法。
  15. チャンバを処理し、基板表面上に材料を堆積させる方法であって、
    プロセッシングチャンバの内面および前記プロセッシングチャンバ内に配置された基板を、連続フローを有するキャリアガスにさらすことと、
    前処理プロセス中に、ジメチルアミンを含む処理ガスを前記キャリアガスに導入して、前記プロセッシングチャンバの前記内面および前記基板を前記処理ガスにさらすことと、
    原子層堆積プロセス中に、前記基板をタンタル前駆体ガスおよび窒素前駆体ガスに順次さらして、前記基板上に窒化タンタル材料を堆積させることであって、前記タンタル前駆体ガスがペンタキス(ジメチルアミノ)タンタルを含み、前記原子層堆積プロセスが、前記連続フローを有する前記キャリアガス中へ前記タンタル前駆体ガスおよび前記窒素前駆体ガスを順次パルス的に送って、前記窒化タンタル材料を堆積させることを含むことと、
    を含む方法。
JP2011526095A 2008-09-08 2009-08-19 インサイチュチャンバ処理および堆積プロセス Pending JP2012502179A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/206,705 US8491967B2 (en) 2008-09-08 2008-09-08 In-situ chamber treatment and deposition process
US12/206,705 2008-09-08
PCT/US2009/054321 WO2010027669A2 (en) 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015026462A Division JP6189350B2 (ja) 2008-09-08 2015-02-13 インサイチュチャンバ処理および堆積プロセス

Publications (1)

Publication Number Publication Date
JP2012502179A true JP2012502179A (ja) 2012-01-26

Family

ID=41797756

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2011526095A Pending JP2012502179A (ja) 2008-09-08 2009-08-19 インサイチュチャンバ処理および堆積プロセス
JP2015026462A Active JP6189350B2 (ja) 2008-09-08 2015-02-13 インサイチュチャンバ処理および堆積プロセス
JP2017149543A Active JP6412987B2 (ja) 2008-09-08 2017-08-02 インサイチュチャンバ処理および堆積プロセス

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015026462A Active JP6189350B2 (ja) 2008-09-08 2015-02-13 インサイチュチャンバ処理および堆積プロセス
JP2017149543A Active JP6412987B2 (ja) 2008-09-08 2017-08-02 インサイチュチャンバ処理および堆積プロセス

Country Status (6)

Country Link
US (1) US8491967B2 (ja)
JP (3) JP2012502179A (ja)
KR (2) KR101772635B1 (ja)
CN (1) CN102144281B (ja)
TW (1) TWI449803B (ja)
WO (1) WO2010027669A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015021175A (ja) * 2013-07-19 2015-02-02 大陽日酸株式会社 金属薄膜の製膜方法
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
JP2016184684A (ja) * 2015-03-26 2016-10-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
JP2017505983A (ja) * 2013-11-13 2017-02-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
KR101811680B1 (ko) 2013-07-12 2017-12-22 퀄컴 인코포레이티드 Otdoa prs 보조 데이터를 활용하기 위한 모바일 스테이션, 방법, 및 컴퓨터-판독가능 저장 매체

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
KR101605643B1 (ko) 2011-09-29 2016-03-22 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층을 포함하는 장치 및 그 제조방법
US8841703B2 (en) * 2011-10-31 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
TWI504774B (zh) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd 高純度pdmat前驅物蒸氣之製作方法
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
CN106062245B (zh) * 2014-03-03 2020-04-07 皮考逊公司 用ald涂层保护气体容器的内部
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR101840293B1 (ko) * 2016-07-29 2018-03-20 주식회사 유진테크 머티리얼즈 박막 증착 방법
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
TWI627773B (zh) * 2017-05-04 2018-06-21 旺宏電子股份有限公司 半導體結構及其形成方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11598000B2 (en) 2017-09-26 2023-03-07 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
CN109594059B (zh) * 2018-10-31 2020-12-08 青岛大学 一种用于三乙胺检测的异质敏感薄膜的原子层沉积制备方法
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
KR102402116B1 (ko) * 2019-02-25 2022-05-25 가부시키가이샤 알박 플라즈마 cvd 장치 및 플라즈마 cvd 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11643751B2 (en) 2020-03-10 2023-05-09 Matrix Sensors, Inc. Apparatus and method for producing a crystalline film on a substrate surface
CN111501016A (zh) * 2020-04-09 2020-08-07 中国科学院微电子研究所 一种高均一性的原子层沉积方法及其应用
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220043028A (ko) * 2020-09-28 2022-04-05 가부시키가이샤 코쿠사이 엘렉트릭 기화 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법
JP7258970B2 (ja) * 2020-09-28 2023-04-17 株式会社Kokusai Electric 気化システム、基板処理装置および半導体装置の製造方法
WO2023141550A1 (en) * 2022-01-21 2023-07-27 X-Energy, Llc Deposition of ceramic layers using liquid organometallic precursors

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007038050A2 (en) * 2005-09-21 2007-04-05 Applied Materials, Inc. Treatment processes for a batch ald reactor

Family Cites Families (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP4308867B2 (ja) * 1992-06-08 2009-08-05 東京エレクトロン株式会社 高融点金属窒化膜の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
WO1995021458A1 (en) * 1994-02-03 1995-08-10 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW200746302A (en) 1997-03-05 2007-12-16 Hitachi Ltd Method of making semiconductor IC device
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP2000188400A (ja) 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1186030B1 (de) 1999-05-12 2011-05-04 Qimonda AG Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
JP2001108199A (ja) * 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100375102B1 (ko) * 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
JP3622055B2 (ja) 2002-03-26 2005-02-23 松下電器産業株式会社 半導体装置及びその製造方法
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
WO2003089682A1 (en) * 2002-04-19 2003-10-30 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
DE60321271D1 (de) 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
JP2005530341A (ja) 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド 基板を処理するためのプラズマ方法及び装置
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
CN1795290B (zh) 2003-05-27 2010-06-16 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的前体的方法和设备
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7736697B2 (en) * 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
EP2154183A1 (en) 2005-10-05 2010-02-17 Dow Corning Corporation Coated substrates and methods for their preparation
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
WO2009036045A1 (en) * 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007038050A2 (en) * 2005-09-21 2007-04-05 Applied Materials, Inc. Treatment processes for a batch ald reactor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101811680B1 (ko) 2013-07-12 2017-12-22 퀄컴 인코포레이티드 Otdoa prs 보조 데이터를 활용하기 위한 모바일 스테이션, 방법, 및 컴퓨터-판독가능 저장 매체
JP2015021175A (ja) * 2013-07-19 2015-02-02 大陽日酸株式会社 金属薄膜の製膜方法
JP2017505983A (ja) * 2013-11-13 2017-02-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
JP2016184684A (ja) * 2015-03-26 2016-10-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル

Also Published As

Publication number Publication date
JP6412987B2 (ja) 2018-10-24
KR20110056540A (ko) 2011-05-30
US20100062614A1 (en) 2010-03-11
CN102144281B (zh) 2014-06-25
WO2010027669A3 (en) 2010-05-14
US8491967B2 (en) 2013-07-23
TW201016880A (en) 2010-05-01
TWI449803B (zh) 2014-08-21
WO2010027669A2 (en) 2010-03-11
KR20160009706A (ko) 2016-01-26
CN102144281A (zh) 2011-08-03
KR101772635B1 (ko) 2017-08-29
JP6189350B2 (ja) 2017-08-30
KR101585166B1 (ko) 2016-01-13
JP2018048394A (ja) 2018-03-29
JP2015134966A (ja) 2015-07-27

Similar Documents

Publication Publication Date Title
JP6412987B2 (ja) インサイチュチャンバ処理および堆積プロセス
US9418890B2 (en) Method for tuning a deposition rate during an atomic layer deposition process
US6924223B2 (en) Method of forming a metal layer using an intermittent precursor gas flow process
JP5965955B2 (ja) 原子層堆積装置
US9032906B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
US20120003833A1 (en) Methods for forming tungsten-containing layers
JP4965260B2 (ja) シーケンシャル流量堆積を使用して金属層を堆積させる方法。

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131015

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140916

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150114

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150414