TWI521084B - 於原子層沉積製程中調節沉積速率的方法 - Google Patents

於原子層沉積製程中調節沉積速率的方法 Download PDF

Info

Publication number
TWI521084B
TWI521084B TW103112729A TW103112729A TWI521084B TW I521084 B TWI521084 B TW I521084B TW 103112729 A TW103112729 A TW 103112729A TW 103112729 A TW103112729 A TW 103112729A TW I521084 B TWI521084 B TW I521084B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
precursor
ruthenium
deposition rate
Prior art date
Application number
TW103112729A
Other languages
English (en)
Other versions
TW201428128A (zh
Inventor
馬伯方
阿布考恩喬瑟夫F
呂疆
張鎂
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201428128A publication Critical patent/TW201428128A/zh
Application granted granted Critical
Publication of TWI521084B publication Critical patent/TWI521084B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

於原子層沉積製程中調節沉積速率的方法
本發明之實施例大體上是關於半導體和其他電子裝置處理,且特別是有關在氣相沉積製程期間沉積材料至基材上的改良方法。
電子裝置產業和半導體產業不斷致力達到高生產率,同時提高大表面積基材上的沉積層均勻性。同樣因素結合新材料亦提供基材每單位面積有較多電路整合。為得更多電路整合,期有更快的沉積速率和層特性相關的處理控制。
諸如鉭、氮化鉭和氮化矽鉭之含鉭層常用於多層次積體電路,並且對處理控制造成許多挑戰,尤其是在觸點形成方面。濺射鉭或反應濺射氮化鉭形成之阻障層已證實有適合用以控制銅擴散的性質。示例性質包括高導電率、高熱穩定性和對外來原子擴散的阻抗性。
物理氣相沈積(PVD)和原子層沈積(ALD)製程均用來沉積含鉭層於小尺寸(如約90奈米(nm)寬)與高深寬比約5:1的特徵結構。然咸信PVD製程在此尺寸和深寬比下已達極限,ALD製程則面臨其他問題。ALD製程期間遭遇的共同 問題包括缺乏沉積速率穩定性及對各沉積層厚度的最小控制。
ALD製程一般含有多重循環,如此在每一ALD循環期間,基材表面相繼暴露二或多種試劑或前驅物,同時形成沉積層。沉積材料的厚度為進行ALD循環次數與各沉積層厚度的乘積。沉積速率可用來調整沉積材料的厚度。各ALD循環的沉積速率通常受控於特定製程的化性。故藉由控制特定製程條件,例如氣態試劑或前驅物的輸送速率、修改ALD循環期間的暴露時間、或調整製程或前驅物的溫度,可調整沉積速率。然改變製程條件以調整沉積速率時,沉積材料通常不均勻地形成在基材表面。
因此,氣相沉積製程期間需提高沉積層的沉積速率穩定性,同時控制層厚度。
本發明之實施例提出在如原子層沉積(ALD)製程之氣相沉積製程期間沉積材料至處理腔室內之基材上的方法。通常,在氣相沉積製程之前及/或期間,基材持續或定期暴露含試劑之處理氣體。藉由改變暴露基材的處理氣體量,可控制沉積材料的沉積速率。故沉積速率可調整成如約0.05埃(Å)/循環(埃/每一ALD循環)至約1.0Å/循環之範圍內,例如約0.5Å/循環。在一實例中,於ALD製程期間,基材暴露處理氣體,以降低材料的沉積速率約95%或以下。
在一實施例中,提出沉積材料至基材表面的方法,其包括於ALD製程期間,使基材相繼暴露烷胺基金屬前驅物 氣體和第二前驅物氣體,同時沉積材料至基材上、以及在ALD製程之前及/或期間,使基材暴露含烷胺化合物的處理氣體。在一實例中,沉積材料含有氮化鉭,烷胺基金屬前驅物氣體含有鉭前驅物,例如五(二甲胺基)鉭(PDMAT),第二前驅物氣體含有氮前驅物,例如氨氣,處理氣體含有甲胺或二甲胺(DMA)。
在另一實施例中,提出沉積材料至基材表面的方法,其包括於處理腔室內進行ALD製程期間,使基材相繼暴露烷胺基金屬前驅物氣體和第二前驅物氣體,同時以第一沉積速率沉積材料至基材上、使基材暴露含烷胺化合物的處理氣體、以及於ALD製程期間,以第二沉積速率沉積材料至基材上,其中第二沉積速率小於第一沉積速率。
材料可於ALD製程期間在缺少處理氣體的情況下以第一沉積速率沉積、並於ALD製程期間在存有處理氣體的情況下以第二沉積速率沉積至基材上。第二沉積速率為第一沉積速率的約95%或以下。在其他實例中,第二沉積速率為第一沉積速率的約90%或以下、約80%或以下、約70%或以下、或約50%或以下。在另一實例中,第二沉積速率為約0.05Å/循環至約1.0Å/循環,例如約0.5Å/循環。
在又一實施例中,提出沉積材料至基材表面的方法,其包括使置於處理腔室內的基材暴露連續流動之載氣、以及於ALD製程期間,使基材相繼暴露鉭前驅物氣體和氮前驅物氣體,同時沉積氮化鉭材料至基材上,其中鉭前驅物氣體含有PDMAT。ALD製程更包括相繼脈衝供應鉭前驅物氣體 和氮前驅物氣體至連續流動之載氣中,以沉積氮化鉭材料、以及引進含二甲胺之處理氣體至載氣中,以在ALD製程之前及/或期間,使基材暴露處理氣體。
在一些實施例中,提出沉積材料至基材表面的方法,其包括於氣相沉積製程期間,使基材相繼或同時暴露第一前驅物氣體和至少一第二前驅物氣體,同時沉積材料至基材上、以及在氣相沉積製程之前及/或期間,使基材持續或定期暴露含試劑之處理氣體。
實施例提出基材可於氣相沉積製程期間相繼或同時暴露第一前驅物和至少一第二前驅物氣體,例如ALD製程或CVD製程。實施例尚提出基材可於氣相沉積製程之前及/或期間持續或定期暴露處理氣體。在一實施例中,氣相沉積製程更包括於ALD製程期間,使基材相繼暴露第一前驅物氣體和第二前驅物氣體。在一實例中,基材於ALD製程期間持續暴露處理氣體。在另一實例中,基材於ALD製程期間定期暴露處理氣體。在又一實例中,基材於ALD製程之前暴露處理氣體。在另一實施例中,氣相沉積製程更包括於CVD製程期間,使基材同時暴露第一前驅物氣體和第二前驅物氣體。在一實例中,基材於CVD製程期間持續暴露處理氣體。在另一實例中,基材於CVD製程期間定期暴露處理氣體。在又一實例中,基材於CVD製程之前暴露處理氣體。
另一實施例中,提出沉積材料至基材表面的方法,其包括於氣相沉積製程期間,使基材暴露第一前驅物氣體,並以第一沉積速率沉積材料至基材上,其中第一前驅物氣體 含有化學式為ML’x的第一前驅物,其中x為1、2、3、4、5、6或以上,M為選自由鈦(Ti)、鋯(Zr)、鉿(Hf)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、鋁(Al)、鎵(Ga)、銦(In)、矽(Si)、鍺(Ge)、錫(Sn)、磷(P)、砷(As)和銻(Sb)組成群組之元素,L’各自為選自由烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合物組成群組之配位基;使基材暴露含氫化配位基化合物之處理氣體,其中氫化配位基化合物的化學式為HL,其中L為選自由烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物組成群組之配位基;以及於氣相沉積製程期間,以第二沉積速率沉積材料至基材上,其中第二沉積速率小於第一沉積速率。氣相沉積製程更包括於ALD製程期間,使基材相繼暴露第一前驅物氣體和第二前驅物氣體。
在許多實例中,處理氣體之氫化配位基化合物的化學式為HL,其中L為配位基,例如烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。第一前驅物氣體含有化學式為ML’x的第一前驅物,其中x為1、2、3、4、5、6或以上,M為元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、鋁(Al)、鎵(Ga)、銦(In)、矽(Si)、鍺(Ge)、錫(Sn)、磷(P)、砷(As)或銻(Sb),L’各自為配位基,例如烷胺基、烷亞胺基、烷 氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合物。
在一些實例中,處理氣體含有氫化配位基化合物,例如化學式為H2NR或HNR’R”的烷胺化合物,其中R、R’和R”各自為甲基、乙基、丙基、丁基、戊基、苯基、芳基、其同分異構物、其衍生物或其組合物。烷胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其同分異構物、其衍生物或其組合物。處理氣體更包含至少一載氣,例如氨氣、氫氣、氮氣、氬氣、氦氣或其組合物。在一實例中,處理氣體含有二甲胺、氨氣和另一載氣,例如氬氣。
在一些實施例中,烷胺基金屬前驅物氣體含有化學式為ML’x的烷胺基金屬前驅物,其中x為1、2、3、4、5、6或以上,M為金屬或其他元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鉬(Mo)、鎢(W)或矽(Si),L’各自為配位基,例如烷胺基配位基,其包括N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其同分異構物、其衍生物或其組合物。在一些實例中,金屬或元素M為Si、Ti、Zr或Hf,且x通常為4。在其他實例中,烷胺基金屬前驅物為鉭前驅物,其中金屬M為Ta且x通常為4或5。鉭前驅物的實例包括五(二甲胺基)鉭(PDMAT)、五(二乙胺基)鉭、五(乙基甲胺基)鉭、三級丁亞胺基三(二甲胺基)鉭、三級丁亞胺基三(二乙胺基)鉭、三級丁亞胺基三(乙基甲胺基)鉭、三級戊亞胺基三(二甲胺基)鉭、三級戊亞胺基三(二乙胺基)鉭、三級戊亞胺基三(乙基甲胺基)鉭 或其衍生物。在一實例中,鉭前驅物為PDMAT,烷胺化合物氣體含有甲胺或二甲胺。
在其他實例中,處理氣體之氫化配位基化合物為化學式為ROH的醇化合物,其中R為甲基、乙基、丙基、丁基、戊基、其同分異構物或其衍生物。醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其同分異構物、其衍生物或其組合物。在氫化配位基化合物為醇的實例中,第一前驅物含有烷氧基配位基,例如OCH3、OC2H5、OC3H7、OC4H9、其同分異構物或其衍生物。在其他實例中,氫化配位基化合物的配位基L為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物,第一前驅物的配位基L’為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物。
在一實例中,提出沉積材料至基材表面的方法,其包括於處理製程期間,使置於處理腔室內的基材暴露連續流動之載氣、引進含甲胺或二甲胺之處理氣體至連續流動之載氣中,使基材暴露處理氣體。方法更包括於ALD製程期間,交替或相繼脈衝供應鉭前驅物氣體和氮前驅物氣體至連續流動之載氣中,使基材相繼暴露鉭和氮前驅物氣體,同時沉積氮化鉭材料至基材上。在一實例中,鉭前驅物氣體含有PDMAT,氮前驅物氣體含有氨氣。
100‧‧‧製程
102、104、106、108、110、112、114‧‧‧步驟
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附 圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖為根據所述實施例之沉積氮化鉭材料的方法流程圖。
本發明之實施例提出在氣相沉積製程期間,例如原子層沉積(ALD)製程或化學氣相沉積(CVD)製程,沉積材料至處理腔室內之基材上的方法。通常,在氣相沉積製程之前及/或期間,基材持續或定期暴露含試劑之處理氣體。在氣相沉積製程之前及/或期間,處理氣體可引入處理腔室,使基材暴露處理氣體。藉由改變暴露基材的處理氣體量,可控制沉積材料的沉積速率。故沉積速率可調整成如約0.05埃(Å)/循環(埃/每一ALD循環)至約1.0Å/循環之範圍內,例如約0.5Å/循環。
在一實例中,方法包括於ALD製程期間,使基材暴露處理氣體,以降低材料的沉積速率約95%或以下。材料可於ALD製程期間在缺少處理氣體的情況下以第一沉積速率沉積、並於ALD製程期間在存有處理氣體的情況下以第二沉積速率沉積至基材上。第二沉積速率為第一沉積速率的約95%或以下。在其他實例中,第二沉積速率為第一沉積速率的約90%或以下、約80%或以下、約70%或以下、或約50%或以下。在另一實例中,第二沉積速率相對第一沉積速率下降約0.05Å/循環至約1.0Å/循環,例如約0.5Å/循環。
在一實施例中,於處理製程期間及/或氣相沉積製程期間,基材持續或定期暴露含試劑之處理氣體,例如氫化配位基化合物。氫化配位基化合物可與後續氣相沉積製程中金屬有機前驅物所形成的自由配位基相同。自由配位基通常是由沉積製程的氫化或熱解作用形成。在一實例中,在使用金屬有機化學前驅物的氣相沉積製程之前及/或期間,例如五(二甲胺基)鉭(PDMAT;(CH3)2N)5Ta),基材於處理製程期間暴露烷胺化合物,例如二甲胺(DMA)。
在許多實例中,處理氣體含有化學式為HL的氫化配位基化合物,其中L為配位基,例如烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。化學前驅物氣體含有化學式為ML’x的化學前驅物,其中x為1、2、3、4、5、6或以上,M為元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、鋁(Al)、鎵(Ga)、銦(In)、矽(Si)、鍺(Ge)、錫(Sn)、磷(P)、砷(As)或銻(Sb),L’各自為配位基,例如烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合物。
在一些實施例中,方法提出氣相沉積製程為ALD製程,且基材於ALD製程期間相繼暴露烷胺基金屬前驅物氣體和另一化學前驅物氣體。在其他實施例中,氣相沉積製程為CVD製程,且基材於CVD製程期間同時暴露烷胺基金屬前驅物氣體和另一化學前驅物氣體。在一實例中,方法提出於ALD 製程之前及/或期間,使處理腔室內的基材暴露含烷胺化合物之處理氣體、以及於ALD製程期間,使基材相繼暴露烷胺基金屬前驅物氣體和至少一附加化學前驅物氣體,同時沉積材料至基材上。在另一實例中,方法提出使基材持續或定期暴露含烷胺化合物之處理氣體,同時亦於ALD製程期間,使基材相繼暴露烷胺基金屬前驅物氣體和附加化學前驅物氣體,並沉積材料至基材上。附加化學前驅物氣體含有氮前驅物,例如氨氣,其用來沉積金屬氮化物材料,例如氮化鉭。
在一些實例中,處理氣體含有化學式為H2NR或HNR’R”的烷胺化合物,其中R、R’和R”各自為甲基、乙基、丙基、丁基、戊基、苯基、芳基、其同分異構物、其衍生物或其組合物。烷胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其同分異構物、其衍生物或其組合物。處理氣體更包含至少一載氣,例如氨氣、氫氣、氮氣、氬氣、氦氣或其組合物。在一實例中,處理氣體含有二甲胺、氨氣和另一載氣,例如氬氣。
在一些實施例中,烷胺基金屬前驅物氣體含有化學式為ML’x的烷胺基金屬前驅物,其中x為1、2、3、4、5、6或以上,M為金屬或其他元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鉬(Mo)、鎢(W)或矽(Si),L’各自為配位基,例如烷胺基配位基,其包括N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其同分異構物、其衍生物或其組合物。在一些實例中,金屬或元素M為Si、Ti、Zr或Hf,且x通常為4。在其他實例中,烷胺基金屬前驅物為鉭前驅物,其中金屬M 為Ta且x通常為4或5。鉭前驅物的實例包括五(二甲胺基)鉭(PDMAT)、五(二乙胺基)鉭、五(乙基甲胺基)鉭、三級丁亞胺基三(二甲胺基)鉭、三級丁亞胺基三(二乙胺基)鉭、三級丁亞胺基三(乙基甲胺基)鉭、三級戊亞胺基三(二甲胺基)鉭、三級戊亞胺基三(二乙胺基)鉭、三級戊亞胺基三(乙基甲胺基)鉭或其衍生物。在一實例中,鉭前驅物為PDMAT,烷胺化合物氣體含有甲胺或二甲胺。
在其他實例中,處理氣體之氫化配位基化合物為化學式為ROH的醇化合物,其中R為甲基、乙基、丙基、丁基、戊基、其同分異構物或其衍生物。醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其同分異構物、其衍生物或其組合物。在氫化配位基化合物為醇的實例中,化學前驅物含有烷氧基配位基,例如OCH3、OC2H5、OC3H7、OC4H9、其同分異構物或其衍生物。在其他實例中,氫化配位基化合物的配位基L為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物,化學前驅物的配位基L’為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物。
在一實例中,提出沉積材料至基材表面的方法,其包括於處理製程期間,使置於處理腔室內的基材暴露連續流動之載氣、引進含甲胺或二甲胺之處理氣體至連續流動之載氣中,使基材暴露處理氣體。方法更包括於ALD製程期間,交替或相繼脈衝供應鉭前驅物氣體和氮前驅物氣體至連續流動之載氣中,使基材相繼暴露鉭和氮前驅物氣體,同時沉積 氮化鉭材料至基材上。在一實例中,鉭前驅物氣體含有PDMAT,氮前驅物氣體含有氨氣。
第1圖為根據所述一些實施例,用以沉積材料至基材上之ALD製程100的流程圖。ALD製程100提供連續流動之載氣至處理腔室中並暴露其內之基材(步驟104)。基材選擇性暴露含試劑之處理氣體(步驟106)。ALD製程100包括使基材相繼暴露第一前驅物氣體(步驟108)和第二前驅物氣體(步驟110)。在一實施例中,基材例如於步驟106中、步驟108、110之ALD循環前暴露處理氣體。在另一實施例中,基材於ALD循環期間持續暴露處理氣體。在又一實施例中,基材於ALD循環期間定期暴露處理氣體。在一實例中,氮化鉭材料沉積在基材上。
在步驟102中,加熱及加壓處理腔室達預定溫度和壓力。基材和基材基座亦經加熱達預定溫度。處理製程和沉積製程時,處理腔室、基材及/或基材基座的示例溫度為約100℃至約500℃,較佳約200℃至約400℃,更佳約250℃至約300℃。處理腔室包含腔室主體和腔室蓋,其個別經加熱達約25℃至約300℃,較佳約30℃至約100℃,更佳約40℃至約80℃。在一實例中,處理腔室的內部壓力為約1毫托耳至約100托耳,較佳約1托耳至約50托耳,更佳約5托耳至約20托耳,例如約10托耳。
在ALD製程100之步驟104中,使基材暴露引入處理腔室的連續流動載氣。在步驟104中,載氣的氣體流率為約0.5slm(每分鐘標準公升)至約20slm,較佳約1slm至約 16slm,更佳約2slm至約8slm,例如約4slm。
在ALD製程100之步驟106的處理製程中,使基材選擇性暴露處理氣體。在一實施例中,處理氣體引入、輸送或脈衝供應至處理腔室及/或處理腔室內的連續流動載氣中。基材可持續或定期/間斷暴露處理氣體。在一實例中,處理製程包括使基材暴露含氫化配位基化合物的處理氣體,例如烷胺化合物。在一實例中,烷胺化合物為甲胺、二甲胺或其衍生物。
含氫化配位基化合物的處理氣體可在伴隨或無載氣的情況下暴露基材。在許多實例中,處理氣體含有至少一載氣和氫化配位基化合物。處理氣體的載氣可為氨氣、氬氣、氮氣、氫氣、氦氣或其混合物。在如用以形成氧化物或其他材料的替代實施例中,處理氣體的載氣包括氧氣、一氧化二氮或空氣。
在步驟106中,基材暴露處理氣體的氣體流率為約0.5slm至約20slm,較佳約1slm至約16slm,更佳約2slm至約8slm,例如約4slm。處理氣體可藉由讓載氣流過含氫化配位基化合物的安瓿或起泡器而形成。或者,處理氣體可藉由共同流入氫化配位基化合物和載氣而形成。氫化配位基化合物的氣體流率為約5sccm(每分鐘標準立方公分)至約1000sccm,較佳約25sccm至約500sccm,更佳約50sccm至約150sccm,例如約100sccm。在一實例中,處理氣體含有烷胺化合物(如甲胺、二甲胺或其衍生物)和至少一載氣。在一實例中,處理氣體含有流率約100sccm的二甲胺和流率約4sccm 的氬氣。在另一實例中,處理氣體含有流率約20sccm的二甲胺、流率約1sccm的氨氣和流率約8sccm的氬氣。處理腔室及/或基材暴露含有氫化配位基或其他試劑之處理氣體的時間為約2秒至約120秒,較佳約5秒至約60秒,例如約20秒或約40秒。
在步驟108中,第一化學前驅物脈衝引入處理腔室、脈衝供應到載氣流中、並吸附於基材表面。在一實例中,鉭前驅物脈衝供應到載氣流中,且鉭前驅物單層吸附於基材上。藉由持續流入淨化氣體及/或附接真空系統的抽空,可移除任何殘餘的第一化學前驅物。
在步驟110中,基材持續暴露載氣,且第二化學前驅物脈衝加入載氣中。在一實例中,第二化學前驅物為氮前驅物。第二化學前驅物與第一化學前驅物吸附層反應形成沉積材料層至基材上。在一實例中,第二化學前驅物為氮前驅物。氮前驅物(如氨氣)與第一化學前驅物吸附層(如鉭前驅物)反應形成氮化鉭層至基材上。藉由持續流入淨化氣體及/或附接真空系統的抽空,可移除任何殘餘的第二化學前驅物和副產物(如有機化合物)。
在所述實施例中,處理氣體選擇性引入、輸送或脈衝供應至載氣流、鉭前驅物及/或氮前驅物中,同時在步驟108及/或110之前、期間或之後暴露基材。或者,處理氣體可選擇性個別引入、輸送或脈衝供應至處理腔室,以在步驟108及/或110之前、期間或之後暴露基材。故基材可於ALD製程100之任何步驟期間持續或定期暴露處理氣體。在一實例中, 於任一步驟106、108及/或110期間,基材暴露含氫化配位基化合物的處理氣體,較佳含烷胺化合物,例如甲胺、二甲胺或其衍生物。
藉由改變任一步驟106、108及/或110中暴露基材的處理氣體量,可控制沉積材料的沉積速率。故沉積速率可調整成如約0.05Å/循環(埃/每一ALD循環)至約1.0Å/循環之範圍內。在一實例中,沉積材料的沉積速率為約0.5Å/循環。
在其他實例中,藉由在ALD製程100的每一ALD循環之前或期間,使基材暴露處理氣體,可控制或降低基材上之沉積材料的沉積速率。相較於在未使基材暴露處理氣體的情況下以相同ALD製程沉積材料,沉積材料的沉積速率下降約95%或以下。在一實施例中,材料可於ALD製程期間在缺少處理氣體的情況下以第一沉積速率沉積、並於ALD製程100之任一步驟106、108及/或110中在存有處理氣體的情況下以第二沉積速率沉積至基材上。在一實例中,第二沉積速率為第一沉積速率的約95%或以下。在另一實例中,第二沉積速率為第一沉積速率的約90%或以下。在又一實例中,第二沉積速率為第一沉積速率的約80%或以下。在再一實例中,第二沉積速率為第一沉積速率的約70%或以下。在另一實例中,第二沉積速率為第一沉積速率的約60%或以下。在又一實例中,第二沉積速率為第一沉積速率的約50%或以下。在再一實例中,第二沉積速率為約0.05Å/循環至約1.0Å/循環,例如約0.5Å/循環。
在步驟112中,若已達到預定的沉積材料厚度,則 於步驟114結束沉積製程。然在達到預定的沉積材料厚度前,通常會反覆進行步驟106-112之多重ALD循環。在一實例中,PDMAT和氨氣相繼脈衝供應40次循環,且基材持續暴露DMA,同時沉積厚度約20Å之氮化鉭材料。在另一實例中,PDMAT和氨氣相繼脈衝供應40次循環,且基材間斷暴露DMA,同時沉積厚度約20Å之氮化鉭材料。
在替代實施例中,ALD製程100始於吸附第二化學前驅物單層(如氮前驅物)至基材上、接著吸附第一化學前驅物單層(如鉭前驅物)。在另一實施例中,ALD製程100始於吸附處理氣體單層、隨後相繼為鉭和氮前驅物單層至基材上。另外,在其他實例中,脈衝供應反應物氣體及/或淨化氣體之間僅使用幫浦抽空可避免反應物氣體混合。
在一些實例中,流入ALD處理腔室前,PDMAT前驅物在安瓿、蒸發器、起泡器或類似容器內加熱。PDMAT經加熱達至少30℃,較佳約45℃至約90℃,更佳約50℃至約80℃,例如約73℃。預熱之PDMAT前驅物比室溫(約20℃)之PDMAT前驅物還徹底地留在載氣中。為加熱PDMAT前驅物達預定溫度,安瓿、輸送管線和安瓿及/或輸送管線上的閥個別經加熱達約25℃至約300℃,較佳約50℃至約150℃,更佳約70℃至約120℃。在一實例中,安瓿的側壁經加熱達約85℃,輸送管線經加熱達約100℃,閥經加熱達約95℃。
在一些實施例中,處理製程和沉積製程期間,處理腔室和基材維持呈約低於選定化學前驅物的熱分解溫度,例如沉積氮化鉭材料製程使用的鉭前驅物PDMAT。
為清楚及便於說明,方法將進一步以利用ALD製程沉積氮化鉭阻障層為例描述於下。鉭前驅物或含鉭化合物脈衝(如PDMAT)引進處理腔室。鉭前驅物可伴隨載氣或淨化氣體提供,其包括氦氣、氬氣、氮氣、氫氣、形成氣體或其混合物,但不以此為限。氮前驅物或含氮化合物脈衝(如氨氣)也可引進處理腔室。載氣用來輸送氮前驅物。在一態樣中,氣源(如貯槽或內部)持續提供淨化氣流做為鉭前驅物脈衝與氮前驅物脈衝間的淨化氣體,並當作供應鉭前驅物和氮前驅物脈衝時的載氣。在其他態樣中,於鉭前驅物脈衝和氮前驅物脈衝後提供淨化氣體脈衝。又,於各沉積步驟或半反應時,使淨化氣體或載氣持續流過處理腔室。
在一實例中,基材經加熱達約250℃至約300℃,腔室的內部壓力為約5托耳至約15托耳。基材暴露流率為約1000sccm至約3000sccm的氬氣載氣,較佳約1500sccm。
鉭前驅物氣體可藉由使約200sccm至約2000sccm(如約500sccm)的載氣(如氬氣)流經預熱之PDMAT安瓿而形成。PDMAT維持呈約73℃。含PDMAT之鉭前驅物氣體和氬氣供給基材表面的時間為約0.1秒至約3.0秒,較佳約0.5秒至約1.5秒,例如約1秒。
在一些實例中,基材於暴露含PDMAT之鉭前驅物氣體時,持續暴露含DMA之處理氣體。在其他實例中,基材於暴露含PDMAT之鉭前驅物氣體時,定期暴露含DMA之處理氣體。在其他實例中,基材於暴露含PDMAT之鉭前驅物氣體前,暴露含DMA之處理氣體。含DMA之處理氣體和含 PDMAT之鉭前驅物氣體個別流入或共同流入處理腔室,且個別暴露或同時暴露基材。
基材暴露PDMAT脈衝後,繼續流入載氣以進行淨化約0.2秒至約5.0秒,較佳約0.25秒至約1.5秒,例如約0.5秒。附接真空系統移除此淨化步驟期間任何殘餘的PDMAT。
接著,供給含氨氣之氮前驅物氣體脈衝至基材表面。氮前驅物氣體包括存於載氣的氮前驅物、或僅含氮前驅物。在一實例中,氮前驅物氣體含有氨氣和氮氣。含氨氣之氮前驅物氣體的輸送速率為約1000sccm至約3000sccm,較佳約1500sccm,且供給基材表面的時間為約0.1秒至約3.0秒,較佳約0.5秒至約1.5秒,例如約1秒。
在一些實例中,基材於暴露含氨氣之氮前驅物氣體時,持續暴露含DMA之處理氣體。在其他實例中,基材於暴露含氨氣之氮前驅物氣體時,定期暴露含DMA之處理氣體。在其他實例中,基材於暴露含氨氣之氮前驅物氣體前,暴露含DMA之處理氣體。含DMA之處理氣體和含氨氣之氮前驅物氣體個別流入或共同流入處理腔室,且個別暴露或同時暴露基材。
含氨氣之氮前驅物氣體脈衝後,繼續流入載氣約0.2秒至約5.0秒,較佳約0.25秒至約1.5秒,例如約0.5秒。真空系統移除任何殘餘的氮前驅物及/或反應形成的任何副產物。
ALD循環可反覆進行直到達到預定的沉積材料厚度 (如氮化鉭),例如就阻障層而言,厚度約5Å至約200Å,較佳約10Å至約30Å,例如約20Å。
鉭前驅物氣體脈衝、氮前驅物氣體脈衝、和反應物脈衝間之淨化氣體脈衝各自的供應時間是可變的且可視所用沉積腔室的體積容量和與之耦接的真空系統而定。例如,(1)氣體的腔室壓力越小,需要越長的脈衝時間;(2)氣體流率越小,需要更久的時間讓腔室壓力上升及穩定,故需較長的脈衝時間;以及(3)腔室體積越大,需要更久的時間填充、讓腔室壓力穩定,故需較長的脈衝時間。同樣地,各脈衝間的時間是可變的且可視處理腔室的體積容量和與之耦接的真空系統而定。通常,鉭前驅物氣體或氮前驅物氣體脈衝的供應時間應夠長供化合物單層吸附或反應。在一態樣中,當氮前驅物氣體脈衝進入時,鉭前驅物氣體脈衝仍留在處理腔室。處理氣體仍與鉭前驅物氣體脈衝及/或氮前驅物氣體脈衝一起留在處理腔室。通常,淨化氣體及/或幫浦抽空的時間應夠長,以免鉭前驅物氣體和氮前驅物氣體脈衝於反應區混合。
在另一實施例中,沉積材料至基材上之前或期間,基材暴露處理氣體。在一實例中,氫化配位基化合物為烷胺化合物,例如甲胺或二甲胺,PDMAT做為鉭前驅物,以形成其他含鉭材料,例如氧化鉭、氮化矽鉭、氮化硼鉭、氮化磷鉭、氮氧化鉭或矽酸鉭。用以形成三元或四元元素之含鉭材料的製程詳述於共同讓渡之美國專利證書號7,081,271,其一併附上供作參考。
製程100可修改而得其他含鉭材料。例如,在沉積 氮化矽鉭材料之前或期間,使基材暴露處理氣體,若於含鉭前驅物氣體和氮前驅物脈衝之ALD循環的附加步驟時,使基材暴露矽前驅物脈衝,則可形成此。同樣地,在沉積氮氧化鉭材料之前或期間,使基材暴露處理氣體,若於含鉭前驅物氣體和氮前驅物脈衝之ALD循環的附加步驟時,使基材暴露氧前驅物脈衝,則可形成此。在另一實例中,在沉積矽酸鉭材料之前或期間,使基材暴露處理氣體,若於ALD循環時,使基材暴露鉭前驅物氣體脈衝、矽前驅物脈衝和氧前驅物脈衝,則可形成此。在又一實例中,在沉積氧化鉭材料之前或期間,使基材暴露處理氣體,若於ALD循環時,使基材暴露鉭前驅物氣體脈衝和氧前驅物脈衝,則可形成此。在再一實例中,在沉積氮化磷鉭材料之前或期間,使基材暴露處理氣體,若於ALD循環時,使基材暴露鉭前驅物氣體脈衝、氮前驅物脈衝和磷前驅物脈衝(如磷化氫),則可形成此。在另一實例中,在沉積氮化硼鉭材料之前或期間,使基材暴露處理氣體,若於ALD循環時,使基材暴露鉭前驅物氣體脈衝、氮前驅物脈衝和硼前驅物脈衝(如二硼烷),則可形成此。
在一實施例中,在沉積氮化鉭材料之前或期間,使基材暴露處理氣體,其可形成或沉積具TaNx之化學式,其中x為約0.4至約2.0。在一些實例中,氮化鉭材料形成具TaN、Ta3N5、Ta2N或Ta6N2.57之實驗式。氮化鉭材料可沉積成無定形或結晶材料。ALD製程在氮化鉭材料沉積期間提供化學計量控制。藉由在沉積製程後進行各種程序,可改變化學計量,例如熱退火處理Ta3N5而形成TaN。可改變沉積時的前驅物比 率,以控制氮化鉭材料的化學計量。
在上述實例中,各種鉭材料(如氮化鉭)可利用ALD製程形成,其使用鉭前驅物(PDMAT)、氮前驅物(氨氣)和含氫化配位基化合物(如二甲胺)之處理氣體。然其他化學前驅物和氫化配位基化合物亦落在本發明之實施例範圍內。
用於氣相沉積製程之化學前驅物的重要特性為具適合的蒸氣壓。化學前驅物在大氣溫度及/或壓力下可為氣態、液態或固態。但在氣相沉積系統中,前驅物揮發成氣體並輸送到ALD或CVD處理腔室。化學前驅物在輸送到處理腔室前通常會加熱。
鉭前驅物含有配位基,例如烷胺基、烷亞胺基、環戊二烯基、烷基環戊二烯基、戊二烯基、烷基、烯烴、炔烴、烷氧基、其同分異構物、其衍生物或其組合物。可做為鉭前驅物的烷胺基鉭化合物包括(RR’N)5Ta,其中R或R’各自為氫、甲基、乙基、丙基或丁基。可做為鉭前驅物的烷亞胺基鉭化合物包括(RN)(R’R”N)3Ta,其中R、R’或R”各自為氫、甲基、乙基、丙基、丁基或戊基。
示例之鉭前驅物包括五(二甲胺基)鉭(PDMAT;(Me2N)5Ta)、五(二乙胺基)鉭(PDEAT;(Et2N)5Ta)、五(乙基甲胺基)鉭(PEMAT;(EtMeN)5Ta)、三級丁亞胺基三(二甲胺基)鉭(TBTDMT;(tBuN)Ta(NMe2)3)、三級丁亞胺基三(二乙胺基)鉭(TBTDET;(tBuN)Ta(NEt2)3)、三級丁亞胺基三(乙基甲胺基)鉭(TBTEMT;(tBuN)Ta(NMeEt)3)、三級戊亞胺基三(二甲胺基)鉭(TAIMATA;(tAmylN)Ta(NMe2)3)、三級戊亞胺基三(二乙胺 基)鉭((tAmylN)Ta(NEt2)3)、三級戊亞胺基三(乙基甲胺基)鉭((tAmylN)Ta(NEtMe)3)、三水合二環戊二烯基鉭(Cp2TaH3)、三水合雙(甲基環戊二烯基)鉭((MeCp)2TaH3)、三水合雙(五甲基環戊二烯基)鉭((Me5Cp)2TaH3)、甲氧化鉭((MeO)5Ta)、乙氧化鉭((EtO)5Ta)、丙氧化鉭((PrO)5Ta)、丁氧化鉭((BuO)5Ta)、其同分異構物或其衍生物。
「TAIMATA」在此是描述化學式為(tAmylN)Ta(NMe2)3的三級戊亞胺基三(二甲胺基)鉭,其中tAmyl為三級戊基(C5H11-或CH3CH2C(CH3)2-)。在一實施例中,藉由在蒸發器、起泡器或安瓿內加熱液態TAIMATA前驅物達至少30℃(較佳約50℃至約80℃),可形成鉭前驅物氣體。載氣可流過或汩流通過加熱之TAIMATA而形成鉭前驅物氣體。
除了鉭前驅物以外,其他化學前驅物也可用於所述實施例之氣相沉積製程。可用於氣相沉積(如ALD或CVD)製程的示例化學前驅物包括鈦前驅物、鎢前驅物、鉿前驅物、鋯前驅物、鋁前驅物、鈷前驅物、釕前驅物、銅前驅物、矽前驅物、氮前驅物、氧前驅物和其他化學前驅物。可形成或沉積的材料包括各種金屬、氮化物、氧化物、矽化物,包括金屬鉭、氮化鉭、氧化鉭、氮氧化鉭、矽化鉭、氮矽化鉭、金屬鈦、氮化鈦、氧化鈦、氮氧化鈦、矽化鈦、氮矽化鈦、金屬鎢、氮化鎢、氧化鎢、氮硼化鎢、矽化鎢、氮矽化鎢、硼化鎢、金屬鉿、氮化鉿、氧化鉿、氮氧化鉿、矽化鉿、氮化矽鉿、矽酸鉿、氮氧化矽鉿、金屬鋯、氮化鋯、氧化鋯、 氮氧化鋯、矽化鋯、氮化矽鋯、矽酸鋯、氮氧化矽鋯、金屬鋁、氮化鋁、氧化鋁、氮氧化鋁、矽化鋁、氮化矽鋁、矽酸鋁、氮氧化矽鋁、金屬鈷、矽化鈷、金屬釕、金屬銅、銅合金、其衍生物、其合金或其組合物。
在另一實施例中,處理氣體含有化學式為HL的氫化配位基化合物,其中L為配位基,例如烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基或其衍生物。在一些實例中,例如當金屬前驅物為烷胺基金屬前驅物時,處理氣體含有氫化配位基化合物,其可為化學式為H2NR或HNR’R”的烷胺化合物,其中R、R’和R”各自為甲基、乙基、丙基、丁基、戊基、苯基、芳基、其同分異構物、其衍生物或其組合物。烷胺化合物可為甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其同分異構物、其衍生物或其組合物。在一些實例中,處理氣體更包含至少一載氣,例如氨氣、氫氣、氮氣、氬氣、氦氣或其組合物。在一實例中,處理氣體含有二甲胺、氨氣和另一載氣,例如氬氣。
在其他實例中,處理氣體含有氫化配位基化合物,其可為化學式為ROH的醇化合物,其中R為甲基、乙基、丙基、丁基、戊基、其同分異構物或其衍生物。醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其同分異構物、其衍生物或其組合物。在其他實例中,化學前驅物含有烷氧基配位基,例如OCH3、OC2H5、OC3H7、OC4H9、其同分異構物或其衍生物。
在其他實施例中,前驅物氣體含有化學式為ML’x的化學前驅物,其中x為1、2、3、4、5、6或以上,M為元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鈮(Nb)、鉭(Ta)、鉬(Mo)、鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、鋁(Al)、鎵(Ga)、銦(In)、矽(Si)、鍺(Ge)、錫(Sn)、磷(P)、砷(As)或銻(Sb),L’各自為配位基,例如烷胺基、烷亞胺基、烷氧基、烷基、烯烴、炔烴、環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、氫、鹵素、其衍生物或其組合物。
在一實施例中,氫化配位基化合物的配位基L為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物,化學前驅物的配位基L’為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物。
在一些實例中,前驅物氣體包含烷胺基金屬前驅物氣體,其含有化學式為ML’x的烷胺基金屬前驅物,其中x為1、2、3、4、5、6或以上,M為金屬或其他元素,例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鉬(Mo)、鎢(W)或矽(Si),L’各自為配位基,例如烷胺基配位基,其包括N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其同分異構物、其衍生物或其組合物。在一些實例中,金屬/元素M為Si、Ti、Zr或Hf,且x通常為4。在其他實例中,烷胺基金屬前驅物為鉭前驅物,其中金屬M為Ta且x通常為4或5。
在其他實例中,氫化配位基化合物可為化學式為ROH的醇化合物,其中R為甲基、乙基、丙基、丁基、戊基、 其同分異構物或其衍生物。醇化合物可為甲醇、乙醇、丙醇、丁醇、戊醇、其同分異構物、其衍生物或其組合物。在其他實例中,第一前驅物含有烷氧基配位基,例如OCH3、OC2H5、OC3H7、OC4H9、其同分異構物或其衍生物。在其他實例中,氫化配位基化合物的配位基L為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物,第一前驅物的配位基L’為環戊二烯基、烷基環戊二烯基、戊二烯基、吡咯基、其同分異構物或其衍生物。
可用於沉積所述材料的鈦前驅物包括四(二甲胺基)鈦(TDMAT)、四(乙基甲胺基)鈦(TEMAT)、四(二乙胺基)鈦(TDEAT)或其衍生物。
可用於沉積所述材料的鎢前驅物包括雙(三級丁亞胺基)雙(二甲胺基)鎢((tBuN)2W(NMe2)2)、雙(三級丁亞胺基)雙(二乙胺基)鎢((tBuN)2W(NEt2)2)、雙(三級丁亞胺基)雙(乙基甲胺基)鎢((tBuN)2W(NEtMe)2)或其衍生物。
可做為鉿前驅物的烷胺基鉿化合物包括(RR’N)4Hf,其中R和R’各自為氫、甲基、乙基、丙基、丁基、戊基或其同分異構物。可用於沉積所述材料的鉿前驅物包括四(二乙胺基)鉿((Et2N)4Hf;TDEAH)、四(二甲胺基)鉿((Me2N)4Hf;TDMAH)、四(乙基甲胺基)鉿((EtMeN)4Hf;TEMAH)、四甲氧基鉿((MeO)4Hf)、四乙氧基鉿((EtO)4Hf)、四丙氧基鉿((PrO)4Hf)、四丁氧基鉿((BuO)4Hf)、其同分異構物或其衍生物。其他鉿前驅物包括氯化鉿(HfCl4)、碘化鉿(HfI4)、(tBuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、 (Me5C5)2HfCl2、(Me5C5)HfCl3、(iPrC5H4)2HfCl2、(iPrC5H4)HfCl3、(tBuC5H4)2HfMe2、四(乙醯丙酮)鉿((acac)4Hf)、四(六氟戊二酮)鉿((hfac)4Hf)、四(三氟乙醯丙酮)鉿((tfac)4Hf)、四(四甲基庚二酮酸)鉿((thd)4Hf)、硝酸鉿((NO3)4Hf)或其衍生物。
可做為鋯前驅物的烷胺基鋯化合物包括(RR’N)4Zr,其中R和R’各自為氫、甲基、乙基、丙基、丁基、戊基或其同分異構物。可用於沉積所述材料的鋯前驅物包括四(二乙胺基)鋯((Et2N)4Zr)、四(二甲胺基)鋯((Me2N)4Zr)、四(乙基甲胺基)鋯((EtMeN)4Zr)、四甲氧基鋯((MeO)4Zr)、四乙氧基鋯((EtO)4Zr)、四丙氧基鋯((PrO)4Zr)、四丁氧基鋯((BuO)4Zr)、其同分異構物或其衍生物。其他鋯前驅物包括氯化鋯(ZrCl4)、碘化鋯(ZrI4)、(tBuC5H4)2ZrCl2、(C5H5)2ZrCl2、(EtC5H4)2ZrCl2、(Me5C5)2ZrCl2、(Me5C5)ZrCl3、(iPrC5H4)2ZrCl2、(iPrC5H4)ZrCl3、(tBuC5H4)2ZrMe2、(acac)4Zr、(Zrac)4Zr、(tfac)4Zr、(thd)4Zr、硝酸鋯((NO3)4Zr)或其衍生物。
可用於沉積所述材料的鋁前驅物包括三甲氧基鋁((MeO)3Al)、三乙氧基鋁((EtO)3Al)、三丙氧基鋁((PrO)3Al)、三丁氧基鋁或其衍生物。
可用於沉積所述材料的矽前驅物包括矽烷化合物、烷胺基矽烷化合物、矽醇或烷氧基矽烷化合物、和其他含矽化合物。可做為矽前驅物的烷胺基矽烷化合物包括(RR’N)4-nSiHn,其中R或R’各自為氫、甲基、乙基、丙基、丁基、戊基、其同分異構物或其衍生物,n為0、1、2或3。 烷氧基矽烷化合物可以化學通式(RO)4-nSiLn表示,其中R為甲基、乙基、丙基、丁基、戊基、其同分異構物或其衍生物,L為H、OH、F、Cl、Br、I、甲基、乙基、丙基、丁基或其混合物,n為0、1、2或3。矽前驅物包括四(二甲胺基)矽烷((Me2N)4Si;DMAS)、三(二甲胺基)矽烷((Me2N)3SiH;Tris-DMAS)、雙(二甲胺基)矽烷((Me2N)2SiH2)、二甲胺基矽烷((Me2N)SiH3)、四(二乙胺基)矽烷((Et2N)4Si))、三(二乙胺基)矽烷((Et2N)3SiH)、四(甲基乙胺基)矽烷((MeEtN)4Si)、三(甲基乙胺基)矽烷((MeEtN)3SiH)、四甲氧基矽烷((MeO)4Si)、四乙氧基矽烷((EtO)4Si)、其同分異構物、其衍生物或其組合物。可用於所述氣相沉積製程的其他矽前驅物包括甲矽烷(SiH4)、二矽烷(Si2H6)、四氯化矽(SiCl4)、六氯二矽烷(Si2Cl6)、四異氰酸矽(Si(NCO)4)、甲基異氰酸矽(MeSi(NCO)3)或其衍生物。
在另一實施例中,可用於所述沉積製程以形成釕材料的釕前驅物族包括吡咯基釕前驅物。在處理腔室及/或基材之處理製程期間,處理氣體中的氫化配位基化合物可為氫化吡咯基配位基、吡啶或其衍生物。在一實例中,吡咯基釕前驅物含有釕和至少一吡咯基配位基或至少一吡咯基衍生物配位基。吡咯基釕前驅物可具吡咯基配位基,例如: 其中R1、R2、R3、R4和R5各自為不存在、氫、烷基(如甲基、乙基、丙基、丁基、戊基或更高級烷基)、胺基、烷氧基、醇基、芳基、其他吡咯基(如2,2’-二吡咯基)、吡唑基、其衍生物或其組合物。吡咯基配位基可具任二或多個由化學官能基連接在一起的R1、R2、R3、R4和R5。例如,R2和R3可為環結構的一部分,例如吲哚基或其衍生物。吡咯基釕前驅物在此是指任何含釕和至少一吡咯基配位基或至少一吡咯基衍生物配位基的化學化合物。在一些實例中,吡咯基釕前驅物包括雙(四甲基吡咯基)釕、雙(2,5-二甲基吡咯基)釕、雙(2,5-二乙基吡咯基)釕、雙(四乙基吡咯基)釕、戊二烯基四甲基吡咯基釕、戊二烯基-2,5-二甲基吡咯基釕、戊二烯基四乙基吡咯基釕、戊二烯基-2,5-二乙基吡咯基釕、1,3-二甲基戊二烯基吡咯基釕、1,3-二乙基戊二烯基吡咯基釕、甲基環戊二烯基吡咯基釕、乙基環戊二烯基吡咯基釕、2-甲基吡咯基吡咯基釕、2-乙基吡咯基吡咯基釕或其衍生物。
吡咯基配位基在此縮寫成「py」,吡咯基衍生物配位基縮寫成「R-py」。可用於所述沉積製程以形成釕材料的示例吡咯基釕前驅物包括烷基吡咯基釕前驅物(如(Rx-py)Ru)、二吡咯基釕前驅物(如(py)2Ru)和二烯基吡咯基釕前驅物(如(Cp)(py)Ru)。烷基吡咯基釕前驅物的實例包括甲基吡咯基釕、乙基吡咯基釕、丙基吡咯基釕、二甲基吡咯基釕、二乙基吡咯基釕、二丙基吡咯基釕、三甲基吡咯基釕、三乙基吡咯基釕、四甲基吡咯基釕、四乙基吡咯基釕或其衍生物。二吡咯基釕前驅物的實例包括二吡咯基釕、雙(甲基吡咯基) 釕、雙(乙基吡咯基)釕、雙(丙基吡咯基)釕、雙(二甲基吡咯基)釕、雙(二乙基吡咯基)釕、雙(二丙基吡咯基)釕、雙(三甲基吡咯基)釕、雙(三乙基吡咯基)釕、雙(四甲基吡咯基)釕、雙(四乙基吡咯基)釕、甲基吡咯基吡咯基釕、乙基吡咯基吡咯基釕、丙基吡咯基吡咯基釕、二甲基吡咯基吡咯基釕、二乙基吡咯基吡咯基釕、二丙基吡咯基吡咯基釕、三甲基吡咯基吡咯基釕、三乙基吡咯基吡咯基釕、四甲基吡咯基吡咯基釕、四乙基吡咯基吡咯基釕或其衍生物。
二烯基吡咯基釕前驅物含有至少一二烯基配位基和至少一吡咯基配位基。二烯基配位基包含具少至4個碳原子或多至約10個碳原子的碳鏈,較佳約5或6個。二烯基配位基可具環結構(如環戊二烯基)、或為烷基開鏈(如戊二烯基)。又,二烯基配位基可含0個烷基、1個烷基或多個烷基。
在一實施例中,二烯基吡咯基釕前驅物含有戊二烯基配位基或烷基戊二烯基配位基。戊二烯基吡咯基釕前驅物的實例包括戊二烯基吡咯基釕、戊二烯基甲基吡咯基釕、戊二烯基乙基吡咯基釕、戊二烯基丙基吡咯基釕、戊二烯基二甲基吡咯基釕、戊二烯基二乙基吡咯基釕、戊二烯基二丙基吡咯基釕、戊二烯基三甲基吡咯基釕、戊二烯基三乙基吡咯基釕、戊二烯基四甲基吡咯基釕、戊二烯基四乙基吡咯基釕或其衍生物。烷基戊二烯基吡咯基釕前驅物的實例包括烷基戊二烯基吡咯基釕、烷基戊二烯基甲基吡咯基釕、烷基戊二烯基乙基吡咯基釕、烷基戊二烯基丙基吡咯基釕、烷基戊二烯基二甲基吡咯基釕、烷基戊二烯基二乙基吡咯基釕、烷基 戊二烯基二丙基吡咯基釕、烷基戊二烯基三甲基吡咯基釕、烷基戊二烯基三乙基吡咯基釕、烷基戊二烯基四甲基吡咯基釕、烷基戊二烯基四乙基吡咯基釕或其衍生物。
在另一實施例中,二烯基吡咯基釕前驅物含有環戊二烯基配位基或烷基環戊二烯基配位基。環戊二烯基吡咯基釕前驅物的實例包括環戊二烯基吡咯基釕、環戊二烯基甲基吡咯基釕、環戊二烯基乙基吡咯基釕、環戊二烯基丙基吡咯基釕、環戊二烯基二甲基吡咯基釕、環戊二烯基二乙基吡咯基釕、環戊二烯基二丙基吡咯基釕、環戊二烯基三甲基吡咯基釕、環戊二烯基三乙基吡咯基釕、環戊二烯基四甲基吡咯基釕、環戊二烯基四乙基吡咯基釕或其衍生物。烷基環戊二烯基吡咯基釕前驅物的實例包括烷基環戊二烯基吡咯基釕、烷基環戊二烯基甲基吡咯基釕、烷基環戊二烯基乙基吡咯基釕、烷基環戊二烯基丙基吡咯基釕、烷基環戊二烯基二甲基吡咯基釕、烷基環戊二烯基二乙基吡咯基釕、烷基環戊二烯基二丙基吡咯基釕、烷基環戊二烯基三甲基吡咯基釕、烷基環戊二烯基三乙基吡咯基釕、烷基環戊二烯基四甲基吡咯基釕、烷基環戊二烯基四乙基吡咯基釕或其衍生物。
在又一實施例中,釕前驅物不含吡咯基配位基或吡咯基衍生物配位基、而是含有至少一開鏈二烯基配位基,例如CH2CRCHCRCH2,其中R各自為烷基或氫。釕前驅物可具二個開鏈二烯基配位基,例如戊二烯基或庚二烯基。二戊二烯基釕化合物的化學通式為(CH2CRCHCRCH2)2Ru,其中R各自為烷基或氫。通常,R各自為氫、甲基、乙基、丙基或丁 基。故釕前驅物可包括雙(二烷基戊二烯基)釕化合物、雙(烷基戊二烯基)釕化合物、二戊二烯基釕化合物或其組合物。釕前驅物的實例包括雙(2,4-二甲基戊二烯基)釕、雙(2,4-二乙基戊二烯基)釕、雙(2,4-二異丙基戊二烯基)釕、雙(2,4-二三級丁基戊二烯基)釕、雙(甲基戊二烯基)釕、雙(乙基戊二烯基)釕、雙(異丙基戊二烯基)釕、雙(三級丁基戊二烯基)釕、其衍生物或其組合物。在一些實施例中,其他釕前驅物包括三(2,2,6,6-四甲基-3,5-庚二酮酸)釕、二羰基戊二烯基釕、乙醯丙酮釕、2,4-二甲基戊二烯基環戊二烯基釕、雙(2,2,6,6-四甲基-3,5-庚二酮酸)-1,5-環辛二烯基釕、2,4-二甲基戊二烯基甲基環戊二烯基釕、1,5-環辛二烯基環戊二烯基釕、1,5-環辛二烯基甲基環戊二烯基釕、1,5-環辛二烯基乙基環戊二烯基釕、2,4-二甲基戊二烯基乙基環戊二烯基釕、2,4-二甲基戊二烯基異丙基環戊二烯基釕、雙(N,N-二甲基-1,3-四甲基二醯亞胺基)-1,5-環辛二烯基釕、雙(N,N-二甲基-1,3-二甲基二醯亞胺基)-1,5-環辛二烯基釕、二烯丙基-1,5-環辛二烯基釕、h6-苯-1,3-環己二烯基釕、雙(1,1-二甲基-2-胺基乙氧基)-1,5-環辛二烯基釕、雙(1,1-二甲基-2-胺基乙胺基)-1,5-環辛二烯基釕、二環戊二烯基釕、雙(甲基環戊二烯基)釕、雙(乙基環戊二烯基)釕和雙(五甲基環戊二烯基)釕或其衍生物。
可用於沉積所述材料的鈷前驅物包括羰基鈷錯合物、脒基鈷化合物、二茂鈷化合物、二烯基鈷錯合物、亞硝醯基鈷錯合物、其衍生物、其錯合物、其電漿或其組合物。在一些實施例中,鈷材料可以CVD和ALD製程沉積而得, 其更詳述於共同讓渡之美國專利證書號7,1164,846和7,404,985,其一併附上供作參考。
在一些實施例中,鈷前驅物採用羰基鈷化合物或錯合物。羰基鈷化合物或錯合物的化學通式為(CO)xCoyLz,其中x為1、2、3、4、5、6、7、8、9、10、11或12,y為1、2、3、4或5,z為1、2、3、4、5、6、7或8。官能基L可為不存在、單一配基、或為相同或不同的多個配基,且包括環戊二烯基、烷基環戊二烯基(如甲基環戊二烯基或五甲基環戊二烯基)、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、乙烯、烯丙基(或丙烯)、烯烴、二烯烴、炔烴、乙炔、丁基乙炔、亞硝醯基、氨或其衍生物。
在一實施例中,六羰基乙醯基二鈷化合物用於沉積製程以形成鈷材料(如鈷層220)。六羰基乙醯基二鈷化合物的化學式為(CO)6Co2(RC≡CR’),其中R和R’各自為氫、甲基、乙基、丙基、異丙基、丁基、三級丁基、戊基、苯基、芳基、其同分異構物、其衍生物或其組合物。在一實例中,六羰基丁基乙炔二鈷(CCTBA;(CO)6Co2(HC≡CtBu))為鈷前驅物。六羰基乙醯基二鈷化合物的其他實例包括六羰基甲基丁基乙炔二鈷((CO)6Co2(MeC≡CtBu))、六羰基苯基乙炔二鈷((CO)6Co2(HC≡CPh))、六羰基甲基苯基乙炔二鈷((CO)6Co2(MeC≡CPh))、六羰基甲基乙炔二鈷((CO)6Co2(HC≡CMe))、六羰基二甲基乙炔二鈷((CO)6Co2(MeC≡CMe))、其衍生物、其錯合物、其電漿、或其組合物。其他示例羰基鈷錯合物包括二羰基環戊二烯基鈷(CpCo(CO)2)、三羰基烯丙基 鈷((CO)3Co(CH2CH=CH2))或其衍生物。
在另一實施例中,鈷前驅物採用脒基鈷或胺基鈷錯合物。胺基鈷錯合物的化學通式為(RR’N)xCo,其中x為1、2或3,R和R’各自為氫、甲基、乙基、丙基、丁基、烷基、矽基、烷矽基、其衍生物或其組合物。一些示例胺基鈷錯合物包括雙(二(丁基二甲基矽基)胺基)鈷(((BuMe2Si)2N)2Co)、雙(二(乙基二甲基矽基)胺基)鈷(((EtMe2Si)2N)2Co)、雙(二(丙基二甲基矽基)胺基)鈷(((PrMe2Si)2N)2Co)、雙(二(三甲基矽基)胺基)鈷(((Me3Si)2N)2Co)、三(二(三甲基矽基)胺基)鈷(((Me3Si)2N)3Co)或其衍生物。
一些示例鈷前驅物包括二羰基甲基環戊二烯基鈷(MeCpCo(CO)2)、二羰基乙基環戊二烯基鈷(EtCpCo(CO)2)、二羰基五甲基環戊二烯基鈷(Me5CpCo(CO)2)、八羰基二鈷(Co2(CO)8)、三羰基亞硝醯基鈷((ON)Co(CO)3)、二環戊二烯基鈷、環己二烯基環戊二烯基鈷、1,3-己二烯基環戊二烯基鈷、環戊二烯基環丁二烯基鈷、雙(甲基環戊二烯基)鈷、5-甲基環戊二烯基環戊二烯基鈷、五甲基環戊二烯基二乙烯基鈷、四羰基碘化鈷、四羰基三氯矽烷鈷、三(三甲基磷化氫)羰基氯化鈷、三羰基氫三丁基磷化氫鈷、六羰基乙炔二鈷、五羰基三乙基磷化氫乙炔二鈷、其衍生物、其錯合物、其電漿或其組合物。
氮前驅物用來沉積氮化物或含氮材料。可用於沉積所述材料的氮前驅物包括氨氣(NH3)、聯氨(N2H4)、甲基聯氨((CH3)HN2H2)、二甲基聯氨((CH3)2N2H2)、三級丁基聯氨 (C4H9N2H3)、苯基聯氨(C6H5N2H3)、其他聯氨衍生物、胺、氮電漿源(如氮氣(N2)、氮(N)原子、氮氣/氫氣(N2/H2)、NH3或N2H4電漿)、2,2’-偶氮三級丁烷((CH3)6C2N2)、有機或烷基疊氮化物(如甲基疊氮(CH3N3)、乙基疊氮(C2H5N3)、三甲基矽基疊氮(Me3SiN3))、無機疊氮化物(如疊氮化鈉(NaN3)或Cp2CoN3)和其他適合的氮源。諸如N3、N2、N、NH或NH2之自由基氮化合物可由熱、熱線、原位電漿或遠端電漿產生。在一實例中,氮前驅物為氨氣。在另一實例中,氮前驅物包含原位或遠端電漿系統形成的氮電漿。
可用於沉積不同材料的其他反應氣體包括氮化鉭,含鉭材料包括氧源和還原劑。氣相沉積(如ALD或CVD)製程增設氧源可形成含鉭材料,例如矽酸鉭、氧化鉭或氮氧化鉭。氧源或氧前驅物包括氧(O)原子、氧氣(O2)、臭氧(O3)、水(H2O)、過氧化氫(H2O2)、有機過氧化物、其衍生物或其組合物。氣相沉積製程可內含還原化合物,以形成鉭前驅物,例如金屬鉭、氮化硼鉭或氮化磷鉭。還原化合物包括硼烷(BH3)、二硼烷(B2H6)、烷基硼烷(如三乙基硼烷(Et3B))、磷化氫(PH3)、氫氣(H2)、其衍生物或其組合物。
如ALD腔室之處理腔室詳述於共同讓渡之美國專利證書號6,916,398、和美國專利申請案序號10/281,079、西元2002年10月25日申請且公開號為2003-0121608之申請案,其一併附上供作參考。在一實施例中,電漿輔助ALD(PE-ALD)製程用來沉積鉭材料。用以進行PE-ALD的腔室和製程更描述於共同讓渡之美國專利證書號6,998,014,其 一併附上供作參考。用以預熱前驅物(如PDMAT或TAIMATA)的蒸發器或安瓿詳述於共同讓渡之美國專利證書號6,915,592和7,186,385,其一併附上供作參考。用以輸送前驅物(如PDMAT或TAIMATA)至處理腔室的系統詳述於共同讓渡之美國專利證書號6,955,211、和美國專利申請案序號10/700,328、西元2003年11月3日申請且公開號為2005-0095859之申請案,其一併附上供作參考。
本發明之實施例提出沉積製程,其用於如ALD製程之氣相沉積製程來沉積材料。製程可用於各種氣相沉積處理腔室和氣體輸送系統,其包含擴張管道蓋組件、收斂-發散蓋組件、多重注入蓋組件或擴大罩蓋組件。其他實施例提出ALD製程期間利用氣體輸送系統沉積材料的方法。
在一實施例中,將以利用所述製程進行ALD氮化鉭層為例詳細說明ALD沉積層。在一態樣中,ALD氮化鉭阻障層包括相繼提供鉭前驅物脈衝和氮前驅物脈衝至處理腔室,其中各脈衝由淨化氣流及/或腔室抽空隔開,藉以移除任何過量的反應物而防止鉭前驅物脈衝與氮前驅物脈衝產生氣相反應,並移除任何反應副產物。每一脈衝循環相繼提供鉭前驅物和氮前驅物將使鉭前驅物單層和氮前驅物單層交替吸附而形成氮化鉭單層於基材結構上。「基材結構」在此是指基材和形成其上之其他材料層,例如介電層。
咸信因基材結構表面用以吸附反應物的位置數量有限,故用於吸附反應物(如鉭前驅物和氮前驅物)單層的吸附製程乃自限於特定脈衝期間僅一單層可吸附於基材結構表面。 一旦反應物(如鉭前驅物或氮前驅物)佔滿有限位置,將阻止反應物進一步吸附。循環可反覆進行直到達到預定的氮化鉭層厚度。
連續流動或間斷流動之處理氣體(如DMA)可從氣源或安瓿經由另一閥引進處理腔室。處理氣體可伴隨載氣提供,其包括氦氣、氬氣、氮氣(N2)、氫氣(H2)或其氣態混合物,但不以此為限。鉭前驅物脈衝(如PDMAT)可利用氣源或安瓿經由閥引進。鉭前驅物可伴隨載氣提供,其包括氦氣、氬氣、氮氣(N2)、氫氣(H2)或其氣態混合物,但不以此為限。氮前驅物脈衝(如氨氣)可利用氣源經由另一閥引進。載氣亦用於協助輸送氮前驅物。淨化氣體(如氬氣或氮氣)可利用氣源經由與鉭和氮前驅物相同或不同的閥引進。
在一態樣中,氣源經由閥持續提供淨化氣流做為鉭前驅物脈衝與氮前驅物脈衝間的淨化氣體,並當作供應鉭前驅物和氮前驅物脈衝時的載氣。在一態樣中,由二氣體導管輸送淨化氣體、而非由任一氣體導管提供淨化氣體,能更完全地淨化反應區。在一態樣中,因反應物於基材結構表面的自限吸附製程所致,反應物氣流均勻性不像淨化氣體均勻性般關鍵,故反應物氣體可由一氣體導管輸送。在其他實施例中,脈衝供應淨化氣體。在其他實施例中,淨化氣體備於兩種以上或以下之氣流中。在其他實施例中,鉭前驅物氣體備於超過一種單一氣流中(如二或多種氣流)。在其他實施例中,氮前驅物氣體備於超過一種單一氣流中(如二或多種氣流)。
氮化鉭層形成始於吸附鉭前驅物單層至基材上、接 著吸附氮前驅物單層。或者,氮化鉭層形成始於吸附氮前驅物單層至基材上、接著吸附鉭前驅物單層。另外,在其他實施例中,脈衝供應反應物氣體之間僅使用幫浦抽空可避免反應物氣體混合。
鉭前驅物脈衝的供應時間、氮前驅物脈衝的供應時間、和反應物脈衝間之淨化氣流的供應時間是可變的且可視所用沉積腔室的體積容量和與之耦接的真空系統而定。例如,(1)氣體的腔室壓力越小,需要越長的脈衝時間;(2)氣體流率越小,需要更久的時間讓腔室壓力上升及穩定,故需較長的脈衝時間;以及(3)腔室體積越大,需要更久的時間填充、讓腔室壓力穩定,故需較長的脈衝時間。同樣地,各脈衝間的時間是可變的且可視處理腔室的體積容量和與之耦接的真空系統而定。通常,鉭前驅物或氮前驅物脈衝的供應時間應夠長供化合物單層吸附。在一態樣中,當氮前驅物脈衝進入時,鉭前驅物脈衝仍留在腔室。通常,淨化氣體及/或幫浦抽空的時間應夠長,以免鉭前驅物和氮前驅物脈衝於反應區混合。
通常,鉭前驅物的脈衝供應時間約1.0秒或以下、氮前驅物的脈衝供應時間約1.0秒或以下一般足以吸附交替單層於基材表面。對淨化氣體來說,無論是連續淨化氣體或脈衝淨化氣體,鉭前驅物與氮前驅物脈衝間的供應時間約1.0秒或以下一般足以防止鉭前驅物和氮前驅物脈衝於反應區混合。當然,延長反應物的脈衝供應時間可確保鉭前驅物和氮前驅物吸附,延長反應物脈衝間的供應時間可確保移除反應 副產物。
在一實例中,ALD製程期間,處理腔室、基材或基材支撐件維持呈約低於選定鉭前驅物的熱分解溫度。在腔室壓力小於約100托耳、較佳小於50托耳下,配合所述鉭前驅物的示例加熱器溫度範圍為約200℃至約500℃。若鉭前驅物為PDMAT,則加熱器溫度較佳為約150℃至約350℃,更佳約250℃至約300℃,處理腔室的內部壓力為約5托耳至約20托耳。應理解其他實施例可採取其他溫度和壓力。例如,採用高於熱分解溫度的溫度。然溫度應選擇使吸附製程有50%以上的沉積活性。在另一實例中,採用高於熱分解溫度的溫度,其中沉積前驅物時的分解量有限,如此成長模式將類似ALD成長模式。
在一實例中,處理腔室進行處理製程後、進行ALD製程。製程從氣源或安瓿經由脈衝時間約1秒或以下的ALD閥脈衝供應流率約100ssccm至約1000ssccm的鉭前驅物氣體(如備於氬氣的PDMAT),較佳約300ssccm至約700ssccm。製程更從另一氣源經由脈衝時間約1秒或以下的ALD閥脈衝供應流率約20ssccm至約1000ssccm的氮前驅物氣體(如氨氣),較佳約100ssccm至約300ssccm。氬氣淨化氣體的流率為約1slm至約12slm,較佳約2slm至約8slm,且可從氣源經由閥和處理腔室的其他入口持續提供。鉭前驅物與氮前驅物脈衝間的供應時間為約0.5秒或以下。
在一實施例中,氮化鉭層沉積在通孔或類似孔口的側壁,且厚度約50Å或以下,較佳約20Å或以下,更佳約10Å 或以下。厚度約10Å或以下的氮化鉭層咸信厚度已足夠當作防止銅擴散的阻障層。在其他實施例中,氮化鉭層的厚度大於50Å。在一態樣中,含所述製程沉積之氮化鉭的薄阻障層用來填充具高深寬比(如大於5:1)的次微米(如小於0.15微米)和更小特徵結構。
「原子層沉積(ALD)」在此是指相繼引進二或多種反應化合物,以沉積材料層至基材表面。二、三或多種反應化合物交替引進處理腔室的反應區或處理區。反應化合物可呈氣態、電漿、蒸氣、流體或用於氣相沉積製程的其他形態。通常,反應化合物按時間延遲隔開,使各反應化合物在基材表面上黏著及/或反應。在一態樣中,第一前驅物或化合物A脈衝引入反應區、然後經過第一時間延遲。接著,第二前驅物或化合物B脈衝引入反應區、然後經過第二延遲。化合物A與化合物B反應形成沉積材料。各時間延遲期間,淨化氣體引入處理腔室,以淨化反應區、或移除反應區中任何殘餘的反應化合物或副產物。或者,淨化氣體可持續流遍整個沉積製程,如此在脈衝供應反應化合物之間的時間延遲期間只有淨化氣流。交替脈衝供應反應化合物,直到預定膜厚的沉積材料形成於基材表面。在任一情況下,脈衝供應化合物A、淨化氣體、脈衝供應化合物B、淨化氣體的ALD製程為一次循環。循環可始於任一化合物A或化合物B,並繼續各自的循環順序,直到達到預定膜厚。在替代實施例中,含化合物A的第一前驅物、含化合物B的第二前驅物和含化合物C的第三前驅物個別脈衝引入處理腔室。或者,脈衝供應第一前驅 物的時間可與脈衝供應第二前驅物的時間部分重疊,但脈衝供應第三前驅物的時間不與脈衝供應第一和第二前驅物的時間重疊。”處理氣體”在此是指單一氣體、多種氣體、含電漿之氣體、氣體及/或電漿組合物。處理氣體可包含用於氣相沉積製程的至少一反應化合物。反應化合物可呈氣態、電漿、蒸氣、流體或用於氣相沉積製程的其他形態。又,處理氣體可包含淨化氣體或載氣,且不含反應化合物。
「基材」或「基材表面」在此是指進行膜處理的任何基材或基材上的材料表面。例如,進行處理的基材表面包括材料,例如矽、氧化矽、應變矽、絕緣層覆矽(SOI)、碳摻雜之氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、石英、和任何其他材料,例如金屬、金屬氮化物、金屬合金和其他導電材料,此視應用而定。基材表面的阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮矽化鈦、鎢、氮化鎢、氮矽化鎢、鉭、氮化鉭或氮矽化鉭。基材可為各種尺寸,例如直徑200毫米(mm)或300mm之晶圓,且具有矩形或方形窗格。基材包括半導體基材、顯示器基板(如LCD)、太陽能面板基材和其他類型的基材。除非特別註記,否則所述實施例和實例是施行於直徑200mm或300mm的基材。可用於本發明實施例的基材包括半導體晶圓,例如結晶矽(如Si<100>或Si<111>)、氧化矽、玻璃、石英、應變矽、矽鍺、摻雜或未摻雜之多晶矽、摻雜或未摻雜之矽晶圓、和圖案化或未圖案化之晶圓,但不以此為限。基材可經處理製程處理,藉以研磨、蝕刻、還原、氧化、氫氧化、退火、及/或加熱基材表面。
雖然本發明已以特定實施例揭露如上,但熟諳此技藝者將理解諸如溫度、壓力、膜厚等反應條件和氣體沉積順序當可改變、替換並涵蓋之。例如,連續沉積製程可有不同的起始順序。起始順序包括在鉭前驅物氣體引入處理腔室前,使基材暴露氮前驅物氣體。此外,除了當作觸點的擴散阻障層,氮化鉭層還可用於其他電路特徵結構。故本發明之範圍非以上述說明為依據。本發明之範圍反而應以後附之申請專利範圍所界定者為準,包括其均等物涵蓋的整個範圍。
雖然本發明已以實施例揭露如上,然在不脫離本發明之基礎範圍內,當可獲得其他實施例,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧製程
102、104、106、108、110、112、114‧‧‧步驟

Claims (25)

  1. 一種在一基材表面上沉積一材料的方法,該方法包含以下步驟:於一第一原子層沉積製程期間,使一基材相繼暴露至具一烷胺配位基的一烷胺基金屬前驅物氣體和一氮前驅物氣體,同時於一處理腔室內以一第一沉積速率在該基材上沉積包括一金屬氮化物的一材料之一第一層;及,接著在一第二原子層沉積製程期間或在該第二原子層沉積製程之前,使該基材暴露至包含一烷胺化合物之一處理氣體,其中該烷胺化合物流進該處理腔室且於該基材上形成該烷胺化合物之一塗層,且該第二原子層沉積製程包括以下步驟:使該基材相繼暴露至該烷胺基金屬前驅物氣體和該氮前驅物氣體,同時於該處理腔室內以一第二沉積速率在該基材上沉積包括該金屬氮化物的該材料之一第二層,且其中該烷胺化合物具有與該烷胺配位基相同的化學結構。
  2. 如申請專利範圍第1項之方法,其中在該第二原子層沉積製程期間,該基材持續暴露至該處理氣體。
  3. 如申請專利範圍第1項之方法,其中在該第二原子層沉積製程期間,該基材週期性暴露至該處理氣體。
  4. 如申請專利範圍第1項之方法,其中在該第二原子層沉積 製程之前,該基材暴露至該處理氣體。
  5. 如申請專利範圍第1項之方法,其中該第二沉積速率是該第一沉積速率的約95%或更低。
  6. 如申請專利範圍第1項之方法,其中該第二沉積速率為在約0.05埃/循環至約1.0埃/循環的範圍中。
  7. 如申請專利範圍第6項之方法,其中該第二沉積速率為約0.5埃/循環。
  8. 如申請專利範圍第1項之方法,其中該烷胺化合物的化學式為H2NR或HNR’R”,其中R、R’和R”各自為選自由甲基、乙基、丙基、丁基、戊基、苯基、芳基、其同分異構物、其衍生物和其組合物所組成之一群組。
  9. 如申請專利範圍第8項之方法,其中該烷胺化合物選自由甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其同分異構物、其衍生物和其組合物所組成之一群組。
  10. 如申請專利範圍第1項之方法,其中該烷胺基金屬前驅物氣體包含一鉭前驅物,該鉭前驅物選自由五(二甲胺基)鉭、五(二乙胺基)鉭、五(乙基甲胺基)鉭、三級丁亞胺基三(二甲胺 基)鉭、三級丁亞胺基三(二乙胺基)鉭、三級丁亞胺基三(乙基甲胺基)鉭、三級戊亞胺基三(二甲胺基)鉭、三級戊亞胺基三(二乙胺基)鉭、三級戊亞胺基三(乙基甲胺基)鉭和其衍生物所組成之一群組。
  11. 如申請專利範圍第10項之方法,其中該鉭前驅物為五(二甲胺基)鉭,且該烷胺化合物包含甲胺或二甲胺。
  12. 如申請專利範圍第10項之方法,其中該沉積之材料包含氮化鉭。
  13. 如申請專利範圍第12項之方法,其中該氮前驅物氣體包含氨氣。
  14. 如申請專利範圍第1項之方法,其中該處理氣體更包含至少一載氣,該載氣選自由氨氣、氫氣、氮氣、氬氣、氦氣和其組合物所組成之一群組。
  15. 如申請專利範圍第14項之方法,其中該處理氣體包含二甲胺、氨氣和氬氣。
  16. 一種在一基材表面上沉積一材料的方法,該方法包含以下步驟: 於一處理腔室內進行一第一原子層沉積製程期間,使一基材相繼暴露至具一烷胺配位基的一烷胺基金屬前驅物氣體和一化學前驅物氣體,同時以一第一沉積速率在該基材上沉積一材料之一第一層;接著在一第二原子層沉積製程期間或在該第二原子層沉積製程之前,使含有該材料之該第一層的該基材暴露至包含一烷胺化合物的一處理氣體,其中該烷胺化合物具有與該烷胺配位基相同的化學結構;及在該第二原子層沉積製程期間,以一第二沉積速率在該基材上沉積該材料之一第二層,其中該第二原子層沉積製程期間該基材含有該材料之該第一層與該烷胺化合物,且該第二沉積速率小於該第一沉積速率。
  17. 如申請專利範圍第16項之方法,其中該第二沉積速率為該第一沉積速率的約95%或更低。
  18. 如申請專利範圍第16項之方法,其中該第二沉積速率在約0.05埃/循環至約1.0埃/循環的範圍中。
  19. 如申請專利範圍第18項之方法,其中該第二沉積速率為約0.5埃/循環。
  20. 如申請專利範圍第16項之方法,其中該烷胺基金屬前驅物氣體包含一鉭前驅物,該鉭前驅物選自由五(二甲胺基)鉭、 五(二乙胺基)鉭、五(乙基甲胺基)鉭、三級丁亞胺基三(二甲胺基)鉭、三級丁亞胺基三(二乙胺基)鉭、三級丁亞胺基三(乙基甲胺基)鉭、三級戊亞胺基三(二甲胺基)鉭、三級戊亞胺基三(二乙胺基)鉭、三級戊亞胺基三(乙基甲胺基)鉭和其衍生物所組成之一群組。
  21. 如申請專利範圍第20項之方法,其中該鉭前驅物為五(二甲胺基)鉭,且該烷胺化合物包含甲胺或二甲胺。
  22. 如申請專利範圍第20項之方法,其中該化學前驅物氣體包含氨氣,且該沉積之材料包含氮化鉭。
  23. 如申請專利範圍第16項之方法,其中該處理氣體包含二甲胺、氨氣和氬氣。
  24. 一種在一基材表面上沉積一材料的方法,該方法包含以下步驟:使置於一處理腔室內的一基材暴露至具有一連續流之一載氣;於一第一原子層沉積製程期間,使該基材相繼暴露至具有一二甲胺配位基的一鉭前驅物氣體和一氮前驅物氣體,同時以一第一沉積速率在該基材上沉積一氮化鉭材料之一第一層,其中該鉭前驅物氣體包含五(二甲胺基)鉭,且該第一原子層沉積製程包含相繼脈衝供應該鉭前驅物氣體和該氮前驅物 氣體至具有該連續流之該載氣中,以沉積該氮化鉭材料;及,接著在一第二原子層沉積製程期間,引進包含二甲胺之一處理氣體至具有該連續流的該載氣中且使該基材暴露至該處理氣體,同時將該基材相繼暴露至該鉭前驅物氣體和該氮前驅物氣體,以用一第二沉積速率沉積該氮化鉭材料之一第二層,其中該第二沉積速率小於該第一沉積速率。
  25. 如申請專利範圍第24項之方法,其中該第二沉積速率是該第一沉積速率的約95%或更低。
TW103112729A 2009-05-13 2010-04-23 於原子層沉積製程中調節沉積速率的方法 TWI521084B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/465,471 US20100062149A1 (en) 2008-09-08 2009-05-13 Method for tuning a deposition rate during an atomic layer deposition process

Publications (2)

Publication Number Publication Date
TW201428128A TW201428128A (zh) 2014-07-16
TWI521084B true TWI521084B (zh) 2016-02-11

Family

ID=43085498

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103112729A TWI521084B (zh) 2009-05-13 2010-04-23 於原子層沉積製程中調節沉積速率的方法
TW099112955A TW201100581A (en) 2009-05-13 2010-04-23 Method for tuning a deposition rate during an atomic layer deposition process

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW099112955A TW201100581A (en) 2009-05-13 2010-04-23 Method for tuning a deposition rate during an atomic layer deposition process

Country Status (3)

Country Link
US (2) US20100062149A1 (zh)
TW (2) TWI521084B (zh)
WO (1) WO2010132172A2 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US8951615B2 (en) 2011-02-16 2015-02-10 Uchicago Argonne, Llc Doping control by ALD surface functionalization
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10297441B2 (en) * 2016-08-08 2019-05-21 Applied Materials, Inc. Low-temperature atomic layer deposition of boron nitride and BN structures
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102385386B1 (ko) * 2017-09-26 2022-04-11 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 바이오센서 성능을 위한 자연 산화물 제거 및 유전체 산화물들의 재성장을 위한 방법, 물질들 및 프로세스
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US20220277936A1 (en) * 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US11693325B2 (en) * 2021-04-09 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for reducing particulate deposition on photomask
EP4320286A1 (en) * 2021-05-19 2024-02-14 Versum Materials US, LLC New precursors for depositing films with high elastic modulus

Family Cites Families (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP4308867B2 (ja) 1992-06-08 2009-08-05 東京エレクトロン株式会社 高融点金属窒化膜の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
WO1995021458A1 (en) 1994-02-03 1995-08-10 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW577129B (en) 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
DE19836061C1 (de) * 1998-08-10 2000-03-09 Mannesmann Vdo Ag Einfüllrohr
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6184550B1 (en) 1998-08-28 2001-02-06 Advanced Technology Materials, Inc. Ternary nitride-carbide barrier layers
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
KR100445307B1 (ko) 1999-05-12 2004-08-21 인피네온 테크놀로지스 아게 반도체 장치용 캐패시터 및 그 캐패시터용 유전체 층의 제조 방법
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
JP2001108199A (ja) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20010052752A1 (en) 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
AU2002232844A1 (en) 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
TW511135B (en) 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100493206B1 (ko) 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
WO2003081667A1 (en) 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP4614639B2 (ja) 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
EP1512165A2 (en) 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7005697B2 (en) * 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
TW200411923A (en) 2002-07-19 2004-07-01 Asml Us Inc In-situ formation of metal insulator metal capacitors
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) * 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) * 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US7067422B2 (en) * 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7736697B2 (en) * 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7750173B2 (en) * 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
EP2644741B1 (en) 2007-09-14 2015-03-04 Sigma-Aldrich Co. LLC Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process

Also Published As

Publication number Publication date
US20100062149A1 (en) 2010-03-11
US20140248772A1 (en) 2014-09-04
TW201428128A (zh) 2014-07-16
WO2010132172A3 (en) 2011-03-31
WO2010132172A2 (en) 2010-11-18
US9418890B2 (en) 2016-08-16
TW201100581A (en) 2011-01-01

Similar Documents

Publication Publication Date Title
TWI521084B (zh) 於原子層沉積製程中調節沉積速率的方法
JP6412987B2 (ja) インサイチュチャンバ処理および堆積プロセス
US10943780B2 (en) Methods for ALD of metal oxides on metal surfaces
KR101692416B1 (ko) 알란-기반 전구체들을 사용한 금속 막들의 증착
TWI655309B (zh) 來自金屬脒鹽前驅物與鋁前驅物的金屬鋁合金膜
TWI765950B (zh) 一種包含第4族過渡金屬前驅體之含第4族過渡金屬之成膜組成物、一種在基板上沉積含第4族過渡金屬之膜之方法、以及一種在基板上沉積形成含第4族過渡金屬之保形膜之方法
US20130202794A1 (en) Metal film deposition
TW202117050A (zh) 經氧化還原的鉬薄膜
TWI809262B (zh) 用於脈衝薄膜沉積的方法
EP2310551B1 (en) Method of forming a tantalum-containing layer on a substrate
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
WO2022155076A1 (en) Dinuclear molybdenum precursors for deposition of molybdenum-containing films
JP2021504962A (ja) チタン含有膜の気相成長のためのチタン含有膜形成用組成物
KR102653603B1 (ko) 코발트-함유 막 형성 조성물, 이의 합성, 및 막 증착에서의 용도
JP2020504907A (ja) ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積
WO2014197803A1 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
TW201329277A (zh) 使用鉭前驅物的薄膜沉積
TWI557256B (zh) 來自金屬pcai前驅物與鋁前驅物的金屬鋁合金膜
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件