KR101692416B1 - 알란-기반 전구체들을 사용한 금속 막들의 증착 - Google Patents

알란-기반 전구체들을 사용한 금속 막들의 증착 Download PDF

Info

Publication number
KR101692416B1
KR101692416B1 KR1020147015288A KR20147015288A KR101692416B1 KR 101692416 B1 KR101692416 B1 KR 101692416B1 KR 1020147015288 A KR1020147015288 A KR 1020147015288A KR 20147015288 A KR20147015288 A KR 20147015288A KR 101692416 B1 KR101692416 B1 KR 101692416B1
Authority
KR
South Korea
Prior art keywords
precursor
deposition
gas
substrate
metal
Prior art date
Application number
KR1020147015288A
Other languages
English (en)
Other versions
KR20140134642A (ko
Inventor
신리앙 루
데이비드 톰슨
제프리 더블유. 안티스
메이 창
세스하드리 간구리
웨이 탕
스리니바스 간디코타
아티프 누리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140134642A publication Critical patent/KR20140134642A/ko
Application granted granted Critical
Publication of KR101692416B1 publication Critical patent/KR101692416B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Abstract

본 발명은 순수한 금속 및 알루미늄 합금 막들을 증착시키는 방법들을 제공한다. 특정 방법들은 기판 표면을 제 1 및 제 2 전구체들과 접촉시키는 것을 포함하며, 제 1 전구체는 디메틸알루미늄 하이드라이드, 아민으로 배위된 알란, 및 구조식 (I) 또는 (II)로 나타낸 구조를 갖는 화합물로부터 선택된 알루미늄 전구체를 포함하며, 제 2 전구체는 금속 할라이드를 포함한다:
Figure 112014053030202-pct00010

상기 식에서, R은 C1-C6 알킬 기이다.
다른 방법들은 기판을 제 1 및 제 2 전구체에 순차적으로 노출시키는 것을 포함하며, 제 1 전구체는 상기 기술된 바와 같은 알루미늄 전구체를 포함하며, 제 2 전구체는 Ti(NR'2)4 또는 Ta(NR'2)5 (여기서, R'는 C1-C4 알킬, 알케닐, 알키닐, 케토 또는 알데하이드 기임)을 포함한다.

Description

알란-기반 전구체들을 사용한 금속 막들의 증착 {DEPOSITION OF METAL FILMS USING ALANE-BASED PRECURSORS}
본 발명의 구체예들은 일반적으로, 막 증착, 및 특히, 금속 할라이드 및 알란-기반 전구체들을 사용한 막들의 증착에 관한 것이다.
기판 표면상으로의 박막들의 증착은 반도체 공정, 확산 장벽 코팅들 및 자성 판독기 헤드들용의 유전체들을 포함하는 다양한 산업분야에서 중요한 공정이다. 반도체 산업에서, 특히, 소형화에는, 높은 종횡비 구조물들 (high aspect structures)상에 컨포멀 코팅들 (conformal coatings)을 생성시키기 위해 박막 증착의 원자 수준의 제어가 요구된다.
박막들을 증착하기 위한 한 방법은 원자층 증착 (ALD)이다. 대부분의 ALD 공정들은 순차적 이원 반응에 기반을 두고 있으며, 여기서 두 표면 반응들중 각각이 순차적으로 발생한다. 표면 반응들이 순차적이기 때문에, 2개의 가스상 반응물들은 접촉되지 않으며, 입자들을 형성시키고 증착시킬 수 있는 가능한 가스상 반응들은 제한되어 있다. ALD는 전통적인 화학기상증착 (CVD) 보다 더욱 컨포멀한 막들을 유도하는 경향이 있지만, ALD에 대한 종래 기술 공정들은 금속 산화물 및 금속 질화물 막들의 증착에 대해 가장 효과적이었다. 원소 루테늄 및 기타 후전이금속들의 증착에 효과적인 수개의 공정들이 개발되었으나, 일반적으로, 순수한 금속의 증착을 위한 ALD 공정들은 상업적으로 채택하기에는 충분히 성공적이지 않았다.
특히, Ti 및 Ta의 순수한 금속 막들은 집적 회로 제작 공정에서 많은 중요한 적용들을 갖는다. 이러한 적용들은 텅스텐, 알루미늄 및 구리 라이너들, 및 금속 게이트 물질들을 포함한다. 그러나, 많은 이러한 순수한 금속들은 플라즈마기상증착 (PVD), 플라즈마 강화 화학기상증착 (PECVD) 또는 플라즈마 강화 원자층 증착 (PEALD)를 이용하여 증착되어야 했다. 이러한 방법들은 불량한 단차 피복 또는 플라즈마에 의해 초래된 기판에 대한 손상으로 인해 문제가 될 수 있다. 따라서, 특히, 원소 금속 막들 영역에서 종래 사용된 방법들의 단점들 없이 상업적으로 실행가능한 신규한 증착 화학들 및 방법들이 요구된다. 본 발명은 다른 방법들과 관련된 문제점들을 회피하도록 특별하게 고안되고 최적화된 신규한 방법들을 제공함으로써 이러한 문제점을 해소한다.
요약
일 양태는 막을 증착시키는 방법에 관한 것이다. 다양한 구체예들이 하기 기록된다. 하기 기록된 구체예들은 하기 기록된 바와 같이 조합될 수 있을 뿐만 아니라, 본 발명의 범위에 따른 다른 적합한 조합들과 조합될 수 있음이 이해될 것이다.
구체예 1에서, 본 방법은 기판 표면을 제 1 및 제 2 전구체들과 접촉시키는 것을 포함하며, 제 1 전구체는 디메틸알루미늄 하이드라이드, 아민으로 배위된 알란, 및 하기로 나타낸 구조를 갖는 화합물로부터 선택된 알루미늄 전구체를 포함하며, 상기 제 2 전구체는 금속 할라이드를 포함한다:
Figure 112014053030202-pct00001
상기 식에서, R은 C1-C6 알킬 기이다.
구체예 2는 구체예 1에 대한 변형으로서, R이 메틸인, 변형을 포함한다.
구체예 3은 구체예들 1 또는 2에 대한 변형으로서, 아민으로 배위된 알란이 디메틸에틸아민 알란, 트리에틸아민 알란, 트리메틸아민 알란 또는 메틸피롤리딘 알란을 포함하는, 변형을 포함한다.
구체예 4는 구체예들 1 내지 3중의 어느 하나에 대한 변형으로서, 기판 표면과 제 1 및 제 2 전구체들과의 접촉이 순차적으로 발생하는, 변형을 포함한다.
구체예 5는 구체예들 1 내지 4중의 어느 하나에 대한 변형으로서, 금속이 Ti, Ta, Zr, La, Ce, Si, Ge, W 및 Hf로부터 선택되는, 변형을 포함한다.
구체예 6은 구체예들 1 내지 5중의 어느 하나에 대한 변형으로서, 제 2 전구체가 금속 클로라이드, 금속 아이오다이드 또는 금속 브로마이드를 포함하는, 변형을 포함한다.
구체예 7은 구체예들 1 내지 6중의 어느 하나에 대한 변형으로서, 금속 클로라이드가 TiCl4 및 TaCl5로부터 선택되는, 변형을 포함한다.
구체예 8은 구체예들 1 내지 7중의 어느 하나에 대한 변형으로서, 과량의 아민이 사용되는 변형을 포함한다.
구체예 9는 구체예들 1 내지 8중의 어느 하나에 대한 변형으로서, 제 1 전구체가 디메틸알루미늄 하이드라이드를 포함하며, 제 2 전구체가 TiCl4를 포함하는, 변형을 포함한다.
구체예 10은 구체예들 1 내지 9중의 어느 하나에 대한 변형으로서, 증착이 약 23℃ 내지 약 300℃의 온도에서 수행되는, 변형을 포함한다.
구체예 11은 구체예들 1 내지 10중의 어느 하나에 대한 변형으로서, 증착된 막이 순수한 금속을 포함하는, 변형을 포함한다.
구체예 12는 구체예들 1 내지 11중의 어느 하나에 대한 변형으로서, 증착된 막이 금속 합금을 포함하는, 변형을 포함한다.
구체예 13은 구체예들 1 내지 12중의 어느 하나에 대한 변형으로서, 수소 가스하에서의 어닐링 (annealing) 또는 플라즈마 처리를 추가로 포함하는, 변형을 포함한다.
구체예 14는 기판을 제 1 및 제 2 전구체에 노출시키는 것을 포함하여 막을 증착시키는 방법으로서, 제 1 전구체는 디메틸알루미늄 하이드라이드, 아민으로 배위된 알란, 및 하기 구조식으로 나타낸 구조를 갖는 화합물로부터 선택된 알루미늄 전구체를 포함하며, 상기 제 2 전구체는 Ti(NR'2)4 또는 Ta(NR'2)5 (여기서, R'는 C1-C4 알킬, 알케닐, 알키닐, 케토 또는 알데하이드 기임)을 포함하는 방법에 관한 것이다:
Figure 112014053030202-pct00002
.
상기 식에서, R은 C1-C6 알킬 기이다.
구체예 15는 구체예 14의 변형으로서, R이 메틸인 변형에 관한 것이다.
구체예 16은 구체예들 14 또는 15의 변형으로서, 제 2 전구체가 Ti(NMe2)4 또는 Ta(NMe2)5를 포함하는 변형에 관한 것이다.
구체예 17은 구체예들 14 내지 16중의 어느 하나에 대한 변형으로서, 증착이 약 50 내지 약 150℃의 온도에서 수행되는 변형을 포함한다.
구체예 18은 구체예들 14 내지 17중의 어느 하나에 대한 변형으로서, 과량의 아민이 사용되는 변형을 포함한다.
구체예 19는 구체예들 14 내지 18중의 어느 하나에 대한 변형으로서, 아민으로 배위된 알란이 디메틸에틸아민 알란, 트리에틸아민 알란, 트리메틸아민 알란 또는 메틸피롤리딘 알란을 포함하는 변형을 포함한다.
구체예 20은 기판 표면을 제 1 및 제 2 전구체들과 순차적으로 접촉시키는 것을 포함하여 막을 증착시키는 방법으로서, 제 1 전구체는 디메틸에틸아민 알란, 트리에틸아민 알란, 트리메틸아민 알란 또는 메틸피롤리딘 알란을 포함하며, 제 2 전구체는 TiCl4 또는 TaCl5을 포함하는 방법에 관한 것이다.
도 1a-b는 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 XPS 조성 분석을 나타낸다.
본 발명의 수개의 예시적 구체예들을 기술하기에 앞서, 본 발명은 하기 설명에 제시된 구성 또는 공정 단계들의 상세한 사항으로 제한되지 않음을 이해해야 한다. 본 발명은 다른 구체예들을 포함할 수 있으며, 다양한 방법으로 실시되거나 수행될 수 있다.
본원에 사용된 바와 같은 "기판"은 제작 공정 동안 막 처리가 수행되는 임의의 기판 또는 기판상에 형성된 임의의 물질 표면을 나타낸다. 예를 들어, 처리가 수행될 수 있는 기판 표면은 적용에 따라 실리콘, 실리콘 옥사이드, 인장 실리콘 (strained silicon), 실리콘 온 인슐레이터 (silicon on insulator) (SOI), 탄소 도핑된 실리콘 옥사이드들, 실리콘 니트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질들, 및 금속들, 금속 질화물들, 금속 합금들과 같은 임의의 기타 물질들, 및 기타 전도성 물질들을 포함한다. 기판들은 비제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은 사전처리 공정에 노출되어 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹시킬 수 있다. 기판 자체의 표면에 대한 직접적인 막 처리 이외에, 본 발명에서 기재된 임의의 막 처리 단계들은 또한, 하기에 더욱 상세하게 기재된 바와 같은 기판상에 형성된 하층상에서 수행될 수 있으며, 용어 "기판 표면"은 문맥이 지시하는 경우 이러한 하층을 포함하는 것으로 의도된다.
본원에 사용된 바와 같은 "순수한 금속 막"은 실질적으로 단지 하나의 금속만을 함유하는 막을 나타낸다. 특정 구체예들에서, 이러한 금속은 Ti, Ta, Zr, La, Hf 또는 Ce를 포함한다. 특정 구체예들에서, 순수한 금속 막은 또한, 불순물 특히, 5% 미만의 양으로 원하지 않는 원소들을 포함할 수 있다. 특정 구체예들에서, 순수한 금속 막은 약간의 알루미늄을 포함할 수 있다.
본 발명의 다양한 구체예들에 따르면, 순수한 금속들과 알루미늄 금속 합금들의 증착과 관련된 방법이 제공된다. 따라서, 본 발명의 일 양태는 기판 표면을 제 1 및 제 2 전구체들과 접촉시키는 것을 포함하여 막을 증착시키는 방법으로서, 제 1 전구체가 알루미늄 전구체를 포함하며, 제 2 전구체는 금속 할라이드를 포함하는 방법에 관한 것이다. 하나 이상의 구체예들에서, 알루미늄 전구체는 디메틸알루미늄 하이드라이드, 아민으로 배위된 알란, 및 하기로 나타낸 구조를 갖는 화합물로부터 선택될 수 있으며, 제 2 전구체는 금속 할라이드를 포함한다:
Figure 112014053030202-pct00003
.
상기 식에서, R은 C1-C6 알킬 기이다.
본 발명의 다양한 구체예들은 아민으로 배위된 알란 (알루미늄 하이드라이드 또는 AlH3) 전구체들의 용도에 관한 것이다. 알란은 불안정한 화합물이나, 아민으로의 배위에 의해 안정화될 수 있다. 이러한 전구체들은 구조식 AlH3-NR3으로 나타낼 수 있다. 이러한 전구체들은 하기 식 1에 따라 합성될 수 있다:
LiAlH4 + NR3-HCl → AlH3-NR3 + H2 + LiCl (식 1)
이러한 아민들의 예들로는 디메틸에틸아민 (DMA), 트리에틸아민 (TEA), 트리메틸아민 (TMA) 및 N-메틸피롤리딘을 포함하나 이에 제한되지 않는다. 따라서, 상응하는 알란 전구체들은 디메틸에틸아민-알란 (DMEAA), 트리에틸아민-알란 (TEAA), 트리메틸아민-알란 (TMAA) 및 N-메틸피롤리딘-알란 (NPA)일 것이다. 따라서, 특정 구체예에서, 아민으로 배위된 알란은 디메틸에틸아민 알란, 트리에틸아민 알란, 트리메틸아민 알란 또는 메틸피롤리딘 알란을 포함한다.
특정 다른 구체예들에서, 기타 알루미늄 전구체들이 사용될 수 있다. 이러한 알루미늄 전구체는 디메틸알루미늄 하이드라이드 (DMAH)를 포함한다. 이러한 알루미늄 전구체들을 사용한 구체예들은 더 높은 온도에서 이용될 수 있다.
또 다른 구체예들에서, 적합한 알루미늄 전구체들은 하기로 나타낸 구조를 갖는 전구체들을 포함한다:
Figure 112014053030202-pct00004
상기 식에서, R은 임의의 C1-C6 알킬 기이다. 특정 구체예들에서, R은 메틸이다.
본 발명의 다양한 구체예들에 따르면, 금속 할라이드들이 또한 전구체로서 사용된다. 금속들은 요망된 금속 막에 따라 선택될 수 있다. 일 구체예에서, 금속은 제 3족, 제 4족 및 제 5족 전이 금속들로부터 선택된다. 특정 구체예에서, 금속은 Ti, Ta, Zr, La, Hf, Ce, Si, Ge 및 W로부터 선택된다. 특정 구체예에서, 금속들은 Ti 또는 Ta이다. 다른 구체예들에서, 할라이드는 Cl, I 및 Br로부터 선택되어, 제 2 전구체가 금속 클로라이드, 금속 아이오다이드 또는 금속 브로마이드를 포함하게 된다. 이와 같이, 적합한 금속 할라이드 전구체들의 수개의 비제한적 예들은 TaCl5, TaBr5, TaI5, TiCl4, TiBr4, TiI4, LaCl3, LaBr3, 및 LaI3를 포함한다. 특정 구체예에서, 금속 할라이드 전구체는 TiCl4 또는 TaCl5이다. 다른 구체예들에서, 제 14족 금속들 예를 들어, Si 및 Ge이 사용된다.
본 발명의 또 다른 양태는 기판을 제 1 및 제 2 전구체에 노출시키는 것을 포함하여 막을 증착시키는 방법으로서, 제 1 전구체는 아민으로 배위된 알란을 포함하며, 제 2 전구체는 Ti(NR'2)4 또는 Ta(NR'2)5(여기서, R'는 알킬, 알케닐, 알키닐, 케토 또는 알데하이드 기임)을 포함하는 방법에 관한 것이다. 본 양태의 한 변형에서, 기판 표면은 순차적으로 또는 실질적으로 순차적으로 제 1 및 제 2 전구체에 노출될 수 있다. 또 다른 변형에서, 노출은 동시에 또는 실질적으로 동시에 발생할 수 있다. 특정 구체예들에서, R'은 C1-C4이며, 및 매우 특정한 구체예에서, C1-C4 알킬 기이다. 특정 구체예들에서, 제 2 전구체는 Ti(NMe2)4 또는 Ta(NMe2)5를 포함한다. 이러한 전구체들을 수득하기 위한 방법의 한 예는, 용매의 존재하에 TaCl5를 LiNR2와 반응시켜 Ta(NR'2)5을 리튬 클로라이드 부산물과 함께 수득함으로써, 달성될 수 있다. 합성법은 하기 식 2로 나타낼 수 있다:
TaCl5 + 5 LiNR2 → Ta(NR'2)5 + 5 LiCl (식 2)
공정 온도는 공정의 중요한 부분이며, 순수한 금속 대 합금이 증착되는 지의 여부와 관련된 인자들중 하나이다. 임의의 특정 이론으로 제한하고자 하는 것은 아니나, 알란 전구체가 금속 할라이드를 환원시켜 할라이드를 제거하고, 따라서 순수한 금속만을 뒤에 남겨두는 것으로 여겨진다. 더 높은 온도들에서, 알란 전구체는 분해될 것이며, 이는 알루미늄의 막 내로의 도입을 유도할 수 있다. 더 낮은 온도들에서, 알란 전구체는 분해되지 않을 것이며 순수한 금속은 증착될 것이다. 합금 대 순수한 금속 증착에 대한 특정 온도 범위들은 사용된 알란 전구체에 따라 변화될 수 있다. 따라서, 순수한 금속이 요망되는 경우, 챔버 또는 기판은 증착이 약 300℃ 미만의 온도에서 발생할 수 있도록 가열될 수 있다. 또 다른 구체예들에서, 증착은 약 100℃ 미만의 온도에서 발생할 수 있다. 일 구체예에서, 증착은 약 50℃ 내지 약 300℃, 및 더욱 더 특정한 구체예에서는, 약 50℃ 내지 약 150℃의 온도 범위에서 수행될 수 있다. 알루미늄 합금 금속이 요망되는 경우, 공정은 약 300℃ 초과의 온도에서 수행될 수 있다. 그러나, 다른 구체예들에서, 합금 증착은 약 150℃, 100℃, 또는 75℃ 만큼 낮은 온도에서 발생할 수 있다.
증착된 막중에서 알루미늄 함량은 또한 얼마나 많은 아민이 사용되는지에 따라 제어될 수 있다. 일 구체예에서, 과량의 아민이 사용된다. 과량의 아민은 알란에 대해 1초과의 몰 당량을 나타낸다. 특정 구체예들에서, 아민은 운반 가스로서 사용될 수 있으며, 이는 99:1 보다 더 높은 비에 상응할 것이다. 알란을 운반하는데 사용된 과량의 아민 사용은 막중의 알루미늄 농도를 감소시키는 방법으로서 이용될 수 있다. 과량의 아민은 또한 단차 피복을 향상시키며, 증착 온도를 증가시킨다. 전구체가 아민으로 배위된 알란을 포함하는 일부 구체예들에서, 과량의 아민은 알란이 배위되는 아민일 수 있다. 따라서, 예를 들어, 알란 전구체가 DMEAA인 경우, 과량의 DMEA가 사용될 수 있다. 과량으로 사용되는 또 다른 적합한 아민은 디메틸사이클로헥실 (DMCA) 아민을 포함한다.
일 구체예에서, 막들은 화학기상증착 공정을 이용하여 증착된다. 이러한 공정에서, 기판은 알란 전구체 및 금속 할라이드 둘 모두에 동시에 또는 실질적으로 동시에 노출될 수 있다.
또 다른 구체예에서, 막들은 원자층 증착 (ALD) 공정을 이용하여 증착된다. 따라서, 일 구체예에서, 기판 표면과 제 1 및 제 2 전구체들과의 접촉은 순차적으로 또는 실질적으로 순차적으로 발생한다. 더욱 특정한 구체예에서, 본 방법은 금속 할라이드 노출, 이후 퍼지, 이후, 아민으로 배위된 알란으로의 노출, 이후 또 다른 퍼지를 포함한다. ALD 공정의 예시적 구체예에서, 제 1 화학 전구체 ("A"), 예를 들어, TiCl4 또는 TaCl5는 제 1 반쪽 반응 (half reaction)에서 기판 표면으로 펄싱되거나 유동된다. 과량의 반응물들 및 반응 부산물들은 전형적으로, 배출-펌프 다운 (evacuation-pump down) 및/또는 불활성 퍼지 가스 유동에 의해 제거된다. 그 후, 공-반응물 또는 전구체 "B" 예를 들어, 아민으로 배위된 알란이 표면으로 전달되며, 여기서 상기 제 1 반쪽 반응의 전구체들이 "B" 공-반응물로부터의 새로운 리간드들과 반응하여, 부산물 교환을 발생시킨다. "B" 공-반응물은 또한, 근본적인 반응성 종들과 자가 포화 결합들을 형성하여 포화의 제 2 반쪽 반응을 제공한다. 제 2 퍼지 기간은 전형적으로, 사용되지 않은 반응물들 및 반응 부산물들을 제거하는데 이용된다. 그 후, "A" 전구체, "B" 공-반응물들 및 퍼지 가스들이 다시 흐를 수 있다. 표면의 반응물들 "A" 및 "B"로의 교호적 노출은 원하는 두께의 막에 도달할 때까지 계속되며, 대부분의 예상된 적용들에 있어서, 두께는 대략적으로, 5 nm 내지 40 nm의 범위, 및 더욱 특히, 10 내지 30 nm (100 옹스트롬 내지 300 옹스트롬)의 범위일 것이다. "A" 가스, "B" 가스 및 퍼지 가스는 동시에 흐를 수 있으며, 요망에 따라, 기판이 A 가스, 퍼지 가스 및 B 가스에 순차적으로 노출되도록 기판 및/또는 가스 유동 노즐이 이동할 수 있는 것으로 이해될 것이다.
전구체들 및/또는 반응물들은 가스 상태 또는 증기 상태 또는 기상 증착 공정에 유용한 다른 물질 상태로 존재할 수 있다. 퍼지 동안, 전형적으로 불활성 가스는 공정 챔버내로 도입되어 반응 구역을 퍼징시키거나 다르게는, 반응 구역으로부터 임의의 잔여 반응 화합물 또는 부산물들을 제거한다. 대안적으로, 퍼지 가스는 연속적으로 증착 공정 전반에 걸쳐 흐를 수 있으며, 따라서 단지 퍼지 가스만이 전구체와 공-반응물들의 펄스들 사이의 지체 시간 동안에 흐른다.
이와 같이, 하나 이상의 구체예들에서, "A" 반응물 및 "B" 반응물의 교호적 펄스들 또는 흐름들은 예를 들어, 펄싱된 전구체들 및 공-반응물들의 복수 사이클의 펄스 전달, 예를 들어, A 펄스, B 펄스, A 펄스, B 펄스, A 펄스, B 펄스, A 펄스, B 펄스로 막을 증착시키는데 이용될 수 있다. 상기 언급된 바와 같이, 반응물들의 펄싱 대신에, 가스들이 가스 전달 헤드 또는 노즐로부터 동시에 흐를 수 있으며, 기판 및/또는 가스 전달 헤드는 기판이 순차적으로 가스들에 노출되도록 이동될 수 있다.
물론, 상기 언급된 ALD 사이클들은 단지, 증착된 층이 전구체들 및/또는 공-반응물들의 교호층들에 의해 형성되는 광범위하게 다양한 ALD 공정 사이클들의 예이다.
본원에 사용된 바와 같은 증착 가스 또는 처리 가스는 단일 가스, 복수 가스들, 플라즈마 함유 가스, 가스(들) 및/또는 플라즈마(들)의 조합물들을 나타낸다. 증착 가스는 기상 증착 공정을 위한 하나 이상의 반응성 화합물을 함유할 수 있다. 반응성 화합물들은 기상 증착 공정 동안 가스, 플라즈마, 증기 상태로 존재할 수 있다. 또한, 공정은 퍼지 가스 또는 운반 가스를 함유할 수 있으며, 반응성 화합물을 함유하지 않을 수 있다.
본원에 사용된 바와 같은 "기판 표면"은 제작 공정 동안 막 처리가 수행되는 임의의 기판 또는 기판상에 형성된 물질 표면을 나타낸다. 예를 들어, 처리가 수행될 수 있는 기판 표면은 적용에 따라 실리콘, 실리콘 옥사이드, 인장 실리콘, 실리콘 온 인슐레이터 (SOI), 탄소 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질들, 및 금속들, 금속 질화물들, 금속 합금들과 같은 임의의 기타 물질들, 및 기타 전도성 물질들을 포함한다. 기판 표면상의 장벽 층들, 금속들 또는 금속 질화물들은 티타늄, 티타늄 니트라이드, 텅스텐 니트라이드, 탄탈륨 및 탄탈륨 니트라이드, 알루미늄, 구리, 또는 소자 제작에 유용한 임의의 다른 전도체 또는 전도성 또는 비전도성 장벽 층을 포함한다. 기판들은 다양한 크기들을 지닐 수 있으며, 예컨대, 200 mm 또는 300 mm 직경의 웨이퍼들 및 직사각형 또는 정사각형 판유리 (pane)들일 수 있다. 본 발명의 구체예들이 유용할 수 있는 기판들은 반도체 웨이퍼들, 예컨대, 결정질 실리콘 (예를 들어, Si<100> 또는 Si<111>), 실리콘 옥사이드, 인장 실리콘, 실리콘 게르마늄, 도핑되거나 비도핑된 폴리실리콘, 도핑되거나 비도핑된 실리콘 웨이퍼들, 제 III 족-제 V 족 물질들 예컨대, GaAs, GaN, InP, 등 및 패턴화된 또는 비패턴화된 웨이퍼들을 포함하나 이에 제한되지 않는다. 기판들은 사전처리 공정에 노출되어 기판 표면이 연마, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹될 수 있다.
본 발명의 구체예들은 막들을 증착시키거나 형성시키는 방법을 제공하기 때문에, 공정 챔버는 기상 증착 공정 동안 기판이 일련의 가스들 및/또는 플라즈마들에 노출되도록 구성된다. 공정 챔버는, 반응물들 및 가스들 각각에 대한 가스 유입구들과 유체 소통되는, 운반 가스, 퍼지 가스 및 불활성 가스 예컨대, 아르곤 및 질소의 임의의 공급기와 함께 A 및 B 반응물들의 별도의 공급기들을 포함할 것이다. 각 유입구는 본원에 기술된 바와 같은 ALD 공정들을 수행하기 위해 각각의 반응물들을 기판으로 흐르게 하는 중앙처리장치 (CPU)와 소통되는 적합한 유동 제어기 예컨대, 질량 흐름 제어기 또는 부피 흐름 제어기에 의해 제어될 수 있다. 중앙처리장치는 다양한 챔버들 및 하위-처리기들을 제어하기 위해 산업적 세팅에 이용될 수 있는 컴퓨터 처리기의 임의의 형태중 하나일 수 있다. CPU는 메모리에 결합될 수 있으며, 하나 이상의 용이하게 이용가능한 메모리 예컨대, 임의 접근 메모리 (RAM), 읽기용 메모리 (ROM), 플래쉬 메모리, 컴팩트 디스크, 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 로컬 또는 리모트 디지탈 저장기 (local or remote digital storge)일 수 있다. 지지 회로들이 CPU에 결합되어 통상적인 방식으로 CUP를 지지할 수 있다. 이러한 회로들은 캐쉬 (cache), 전원 공급기들, 클록 회로들 (clock circuits), 입력/출력 회로 (input/output circuitry), 및 서브시스템들 (subsystems) 등을 포함한다.
하나 이상의 구체예들에서, 공정을 위한 다양한 가스들이 가스 채널을 통해 다양한 홀들 또는 배출구들로부터 유입구로 그리고, 중앙 채널로 펄싱될 수 있다. 하나 이상의 구체예들에서, 증착 가스들은 순차적으로 또는 실질적으로 순차적으로 샤워헤드로 그리고 샤워헤드를 통과하여 펄싱될 수 있다. 대안적으로, 상기 기술된 바와 같이, 가스들은 가스 공급 노즐 또는 헤드를 통과하여 동시에 또는 실질적으로 동시에 흐를 수 있으며, 기판 및/또는 가스 공급 헤드는 기판이 가스들에 순차적으로 또는 실질적으로 순차적으로 노출되도록 이동될 수 있다.
상기 기술된 방법의 일부 구체예들에서, 기판 표면은 DMAH 및 TiCl4와 접촉된다. 추가의 구체예들에서, 과량의 아민이 사용된다. 하나 이상의 구체예들에서, 기판 표면은 알란 아민 및 TiCl4과 접촉된다.
본 발명의 또 다른 양태는 상기 기술된 임의의 구체예들에 따른 공정을 수행하기 위한, 기판상으로 막을 증착시키는 장치에 관한 것이다. 일 구체예에서, 장치는 기판상으로의 막의 원자층 증착을 위한 증착 챔버를 포함한다. 이러한 챔버는 기판을 지지하기 위한 처리 영역을 포함한다. 장치는 금속 할라이드 또는 Ti(NR'2)4 또는 Ta(NR'2)5 (여기서, R'는 알킬, 알케닐, 알키닐, 케토 또는 알데하이드 기임) 전구체의 공급기와 유체 소통되는 전구체 유입구를 포함한다. 장치는 또한, 상기 논의된 바와 같이 아민으로 배위된 알란을 포함하는 전구체의 공급기와 유체 소통되는 반응물 가스 유입구를 포함한다. 장치는 퍼지 가스와 유체 소통되는 퍼지 가스 유입구를 추가로 포함한다. 장치는 증착 챔버로부터 가스를 제거하기 위한 진공 포트를 추가로 포함할 수 있다. 장치는 하나 이상의 보조 가스들 예컨대, 불활성 가스들을 증착 챔버로 공급하기 위한 보조 가스 유입구를 추가로 포함할 수 있다. 증착은 복사열 및/또는 저항 열에 의해 기판을 가열하기 위한 수단을 추가로 포함할 수 있다.
일부 구체예들에서, 포토레지스트 물질들을 증착시키거나 형성시키기 위해 본원에 기술된 방법들 동안 사용될 수 있는 플라즈마 시스템 및 공정 챔버들 또는 시스템들은 PRODUCER®, CENTURA® 또는 ENDURA® 시스템들에서 수행될 수 있으며, 이들 모두는 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.로부터 입수가능하다. ALD 공정 챔버의 더욱 상세한 설명은 일반 양도된 미국 특허 제 6,878,206호, 제 6,916,398호, 및 제 7,780,785호에서 찾아볼 수 있다.
ALD 공정은, 공정 챔버 또는 증착 챔버가 약 0.01 Torr 내지 약 100 Torr, 예를 들어, 약 0.1 Torr 내지 약 10 Torr, 및 더욱 특히, 약 0.5 Torr 내지 약 5 Torr의 범위내 압력으로 가압될 수 있게 제공된다.
기판 표면으로의 "A" 전구체의 전달
기판은, 액체 형태로 존재할 수 있는 전구체의 앰플을 통해 운반 가스 (예를 들어, 질소 또는 아르곤)을 통과시킴으로써 형성된 "A" 전구체 가스 또는 증기에 노출될 수 있다. 앰플은 가열될 수 있다. "A" 전구체 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 50 sccm 내지 약 1,000 sccm, 및 특정 구체예들에서는, 약 100 sccm 내지 약 500 sccm의 범위내의 임의의 적합한 유량, 예를 들어, 약 200 sccm으로 전달될 수 있다. 기판은 약 0.1 초 내지 약 10 초, 예를 들어, 약 1 초 내지 약 5 초의 범위내의 기간 동안, 및 특정 구체예에서는, 약 2 초 동안 금속-함유 "A" 전구체 가스에 노출될 수 있다. 일단 전구체가 기판 표면상의 모든 반응성 표면 부분들상으로 흡착되면 "A" 전구체 가스의 흐름은 중단된다. 일부 구체예들에서, 표면은 반응성 전구체 "A"로 용이하게 포화되어 추가적인 노출이 추가적인 증착을 초래하지 않을 것이다.
1차 퍼지
"A" 전구체 가스의 흐름을 중단시킨 후 기판 및 챔버는 퍼지 단계에 노출될 수 있다. 퍼지 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 50 sccm 내지 약 1,000 sccm, 및 특정 구체예에서, 약 100 sccm 내지 약 500 sccm의 범위내의 유량으로, 예를 들어, 약 200 sccm으로 공정 챔버로 공급될 수 있다. 퍼지 단계는 공정 챔버내의 임의의 과량의 전구체, 부산물들 및 기타 오염물들을 제거한다. 퍼지 단계는 약 0.1 초 내지 약 8 초, 예를 들어, 약 1 초 내지 약 5 초의 범위내의 기간 동안, 및 특정 예에서는, 약 4 초 동안 수행될 수 있다. 운반 가스, 퍼지 가스, 증착 가스 또는 기타 처리 가스는 질소, 수소, 아르곤, 네온, 헬륨 또는 이들의 조합물들을 함유할 수 있다. 한 예에서, 운반 가스는 질소를 포함한다.
기판 표면으로의 "B" 전구체의 전달
1차 퍼지 후, 기판 활성 부위들이 "B" 반응물의 앰플을 통하여 운반 가스 (예를 들어, 질소 또는 아르곤)을 통과시킴으로써 형성된 "B" 전구체 가스 또는 증기에 노출될 수 있다. 앰플은 가열될 수 있다. "B" 반응물 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 50 sccm 내지 약 1,000 sccm의 범위내의 임의의 적합한 유량으로 및, 특정 구체예들에서는, 약 200 sccm으로 전달될 수 있다. 기판은 약 0.1 초 내지 약 8 초, 예를 들어, 약 1 초 내지 약 5 초의 범위내의 기간 동안, 및 특정 예에서는, 약 2 초 동안 "B" 반응물 가스에 노출될 수 있다. 일단 "B"가 선행 단계에서 증착된 "A" 전구체상에 흡착되고 이와 용이하게 반응하면 "B" 반응물 가스의 흐름은 중단될 수 있다.
2차 퍼지
"B" 공-반응물 가스의 흐름이 중단된 후 기판 및 챔버는 퍼지 단계에 노출될 수 있다. 퍼지 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 50 sccm 내지 약 1,000 sccm, 및 특정 예에서는, 약 100 sccm 내지 약 500 sccm의 범위내의 유량으로, 예를 들어, 약 200 sccm으로 공정 챔버로 공급될 수 있다. 퍼지 단계는 공정 챔버내의 임의의 과량의 전구체, 부산물들 및 기타 오염물들을 제거한다. 퍼지 단계는 약 0.1 초 내지 약 8 초, 예를 들어, 약 1 초 내지 약 5 초의 범위내의 기간 동안, 및 특정 예에서는, 약 4 초 동안 수행될 수 있다. 운반 가스, 퍼지 가스, 증착 가스 또는 다른 처리 가스는 질소, 수소, 아르곤, 네온, 헬륨 또는 이들의 조합물들을 함유할 수 있다. 한 예에서, 운반 가스는 질소를 포함한다. "B" 전구체 가스는 또한, 공정 챔버로부터 멀리 떨어져서 생성된 플라즈마의 형태로 존재할 수 있다.
따라서, 본 발명의 매우 특정한 한 구체예는 Ta 또는 Ti 막을 증착시키는 방법에 관한 것이다. 본 방법은 기판 표면을 제 1 및 제 2 전구체들과 순차적으로 접촉시키는 것을 포함하며, 제 1 전구체는 디메틸에틸아민 알란, 트리에틸아민 알란, 트리메틸아민 알란 또는 메틸피롤리딘 알란을 포함하며, 제 2 전구체는 TiCl4 또는 TaCl5을 포함한다.
특정 구체예들에서, 증착된 막은 또한, 후-처리 공정에 제공될 수 있다. 이러한 공정은 막에서 임의의 불순물들을 저하시키는 것을 포함한다. 어떤 특정한 구체예들에서, 이러한 처리는 제자리에서 즉, 진공 차단 없이 수행될 수 있다. 더욱 더 특정한 구체예들에서, 막에서 불순물을 저하시키는 것은 수소 가스하에서의 어닐링 또는 막의 플라즈마 처리로의 노출을 포함한다.
본 발명의 하나 이상의 구체예들에 따라 증착된 막들은 집적 회로 제작 공정에 사용될 수 있다. 예를 들어, 순수한 티타늄 또는 탄탈륨을 포함하는 막들은 텅스텐, 알루미늄 또는 구리 라이너들로서 사용될 수 있다. 다른 구체예들에서, 막들은 금속 게이트 물질들로서 사용될 수 있다. 종종 플라즈마-기반 방법들을 사용하는, 이러한 막들을 증착시키는 종래의 공지된 방법에 반하여, 본 발명의 다양한 구체예들에 따른 막들은 플라즈마 사용으로 인한 손상 효과들 없는 우수한 단차 피복을 제공한다.
실시예
실시예 1
TiCl4 및 디메틸에틸아민 알란을 사용하여 막을 생성하였다. 이러한 공정은 기판 표면의 TiCl4로의 노출, 이후, 1차 퍼지, 이후 디메틸에틸아민 알란으로의 노출, 이후 또 다른 퍼지를 포함한다. 증착 온도의 범위는 약 50 내지 약 150℃이었다. 노출 시간 범위는 약 1 초 내지 약 30 초였다. X-선 광전자 분광법을 사용하여 막의 원소 조성을 측정하였으며, 이의 그래프는 도 1a-b에서 확인할 수 있다. 원소 조성은 하기 표 1에 나타낸 바와 같이 측정되었다.
표 1: 벌크 TiAl 막에서의 평균 농도 (원자 %)
Figure 112014053030202-pct00005
상기 표 1 및 도 1a-b에서 알 수 있는 바와 같이, 막은 매우 적은 탄소, 염소 또는 질소를 뚜렷하게 함유한다. 대부분의 ALD 막들은 탄소 및/또는 질소로 막들의 증착을 유도하기 때문에, 이러한 결과는 놀라웠다. 임의의 특정 이론으로 제한하고자 하는 것은 아니나, 알란이 알루미늄을 증착하기 위해 막으로부터의 염소 제거를 돕는 것으로 여겨진다.
실시예 C1
TiAl 막을 표준 PVD 기법들을 이용하여 증착하였다. 이러한 막은 비교용으로 간주되는데, 왜냐하면 이러한 막이 본원에 기술된 ALD 기법들이 아니라 PVD를 이용하여 증착되었기 때문이다. 하기 표 2는 실시예 1 및 C1으로부터의 막의 특성을 비교한다.
표 2: PVD와 비교
Figure 112014053030202-pct00006
표 2에서 알 수 있는 바와 같이, 두 막들은 비저항 (resistivity), 밀도, 탄소 함유율 및 일함수와 관련하여 유사한 특성들을 나타낸다. 그러나, PVD 막들은 특히, 특정 적용들에 있어서 비교적 비-컨포멀한 막들을 증착시키는 것으로 널리 공지되어 있다. 이러한 적용들은 FinFET 소자들에서 일함수 금속들로서의 용도를 포함한다. 반면, 본원에 기술된 방법들에 의해 증착된 막들은 상기 적용들에 적합한 비교적 컨포멀한 막들을 제공한다.
실시예 2
실시예 1로부터의 방법을 이용하여 4개의 막들을 더 생성시켰다. 증착된 막에서 다양한 Ti/Al 비들을 획득하기 위해 전구체들의 몰비들을 변화시켰다. 막들은 하기 표 3에 나타낸다.
표 3: 막 튜닝
Figure 112014053030202-pct00007
도 3에 나타낸 바와 같이, 본원에 기술된 방법들에 의해 증착된 막들은 금속/알루미늄 비를 제어하기 위해 변화될 수 있다. 따라서, 막들의 비저항 (및 일함수 값들)은 Ti/Al 비들의 변화들에 따라 변화될 수 있다. 막의 비저항과 두께 사이의 약간의 관련성이 있을 수 있으나, 표 3에 제공된 두께는 일반적으로 비슷하다는 것을 주지해야 한다.
본 명세서 전반에 걸쳐 "한 구체예", "특정 구체예들", "하나 이상의 구체예들" 또는 "구체예"에 대한 언급은 구체예와 관련하여 기술된 특정 특성, 구조, 물질 또는 특징이 본 발명의 하나 이상의 구체예에 포함됨을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치에서 "하나 이상의 구체예들에서", "특정 구체예들에서", "한 구체예에서" 또는 "구체예에서"와 같은 문구의 출현은 반드시 본 발명의 동일한 구체예를 나타낼 필요는 없다. 추가로, 하나 이상의 구체예들에서 특정 특성들, 구조들, 물질들 또는 특징들은 임의의 적합한 방식으로 조합될 수 있다.
본원에서 본 방법이 특정 구체예들과 관련하여 기술되어 있지만, 이러한 구체예들은 단지 본 발명의 원리들 및 적용들을 예시하는 것으로 이해되어야 한다. 본 발명의 방법 및 장치에 대한 다양한 변형들 및 변화들이 본 발명의 사상 및 범위로부터 벗어나지 않으면서 유도될 수 있음이 당업자에게는 자명할 것이다. 따라서, 본 발명은 첨부된 청구범위 및 이들의 등가물들의 범위내에 있는 변형들 및 변화들을 포함하는 것으로 의도된다.

Claims (19)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 기판을 제 1 및 제 2 전구체들에 노출시키는 것을 포함하여 막을 증착시키는 방법으로서, 제 1 전구체는 하기로 나타낸 구조를 갖는 화합물을 포함하며, 제 2 전구체는 Ti(NR'2)4 또는 Ta(NR'2)5 (여기서, R'는 C1-C4 알킬, 알케닐, 알키닐, 케토 또는 알데하이드 기임)을 포함하는 방법:
    Figure 112015053197128-pct00014

    상기 식에서, R은 C1-C6 알킬 기이다.
  15. 제 14항에 있어서, R이 메틸인 방법.
  16. 제 14항에 있어서, 제 2 전구체가 Ti(NMe2)4 또는 Ta(NMe2)5를 포함하는 방법.
  17. 제 14항에 있어서, 증착이 50℃ 내지 150℃의 온도에서 수행되는 방법.
  18. 제 14항에 있어서, 알란에 대해 1초과의 몰 당량의 아민이 사용되는 방법.
  19. 삭제
KR1020147015288A 2011-11-08 2012-11-07 알란-기반 전구체들을 사용한 금속 막들의 증착 KR101692416B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161557119P 2011-11-08 2011-11-08
US61/557,119 2011-11-08
US201261614922P 2012-03-23 2012-03-23
US61/614,922 2012-03-23
US13/669,571 2012-11-06
US13/669,571 US8927059B2 (en) 2011-11-08 2012-11-06 Deposition of metal films using alane-based precursors
PCT/US2012/063870 WO2013070702A1 (en) 2011-11-08 2012-11-07 Deposition of metal films using alane-based precursors

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167004428A Division KR101918565B1 (ko) 2011-11-08 2012-11-07 알란-기반 전구체들을 사용한 금속 막들의 증착

Publications (2)

Publication Number Publication Date
KR20140134642A KR20140134642A (ko) 2014-11-24
KR101692416B1 true KR101692416B1 (ko) 2017-01-03

Family

ID=48223870

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167004428A KR101918565B1 (ko) 2011-11-08 2012-11-07 알란-기반 전구체들을 사용한 금속 막들의 증착
KR1020147015288A KR101692416B1 (ko) 2011-11-08 2012-11-07 알란-기반 전구체들을 사용한 금속 막들의 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167004428A KR101918565B1 (ko) 2011-11-08 2012-11-07 알란-기반 전구체들을 사용한 금속 막들의 증착

Country Status (5)

Country Link
US (1) US8927059B2 (ko)
KR (2) KR101918565B1 (ko)
CN (1) CN103946957B (ko)
TW (1) TWI519667B (ko)
WO (1) WO2013070702A1 (ko)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101909205B1 (ko) * 2012-04-20 2018-10-17 삼성전자 주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9255324B2 (en) * 2012-08-15 2016-02-09 Up Chemical Co., Ltd. Aluminum precursor composition
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102298038B1 (ko) * 2013-06-26 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 금속 합금 막을 증착하는 방법들
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9362385B2 (en) * 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298663B (zh) * 2015-05-22 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10287175B1 (en) 2015-12-30 2019-05-14 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for purification and storage of Til4 for Ti-containing film deposition
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102627458B1 (ko) 2016-09-13 2024-01-19 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10170321B2 (en) * 2017-03-17 2019-01-01 Applied Materials, Inc. Aluminum content control of TiAIN films
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN108962737B (zh) * 2017-05-19 2020-11-13 中芯国际集成电路制造(上海)有限公司 功函数调节层的制造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3957769A1 (en) 2017-12-20 2022-02-23 Basf Se Process for the generation of metal-containing films
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111954674B (zh) 2018-04-17 2023-09-29 巴斯夫欧洲公司 铝前体和生成含金属膜的方法
WO2019206746A1 (en) 2018-04-23 2019-10-31 Basf Se Process for the generation of metal-containing films
US11421318B2 (en) 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
WO2020244989A1 (en) * 2019-06-06 2020-12-10 Basf Se Process for the generation of metal- or semimetal-containing films
CN113906158A (zh) * 2019-06-06 2022-01-07 巴斯夫欧洲公司 生成含金属或半金属膜的方法
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3795714A1 (en) * 2019-09-17 2021-03-24 Basf Se Process for the generation of aluminum-containing films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011151298A (ja) * 2010-01-25 2011-08-04 Taiyo Nippon Sanso Corp Mocvd装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US7334708B2 (en) * 2001-07-16 2008-02-26 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
JP4545433B2 (ja) * 2003-12-26 2010-09-15 東京エレクトロン株式会社 成膜方法
JP2005206911A (ja) * 2004-01-26 2005-08-04 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 半導体処理システム及び泡トラップ
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR100689668B1 (ko) * 2005-09-26 2007-03-08 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 알루미늄 배선형성방법
KR100724084B1 (ko) * 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
WO2009059273A2 (en) * 2007-11-02 2009-05-07 Alliance For Sustainable Energy, Llc Printing aluminum films and patterned contacts using organometallic precursor inks
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101482944B1 (ko) 2008-08-04 2015-01-16 한국과학기술원 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터
US8002247B2 (en) * 2008-08-22 2011-08-23 Air Products And Chemicals, Inc. Cross purge valve and container assembly

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011151298A (ja) * 2010-01-25 2011-08-04 Taiyo Nippon Sanso Corp Mocvd装置

Also Published As

Publication number Publication date
US20130115383A1 (en) 2013-05-09
CN103946957B (zh) 2017-02-15
TWI519667B (zh) 2016-02-01
KR101918565B1 (ko) 2018-11-15
US8927059B2 (en) 2015-01-06
KR20140134642A (ko) 2014-11-24
KR20160027231A (ko) 2016-03-09
CN103946957A (zh) 2014-07-23
TW201329276A (zh) 2013-07-16
WO2013070702A1 (en) 2013-05-16

Similar Documents

Publication Publication Date Title
KR101692416B1 (ko) 알란-기반 전구체들을 사용한 금속 막들의 증착
US9145612B2 (en) Deposition of N-metal films comprising aluminum alloys
KR102298038B1 (ko) 금속 합금 막을 증착하는 방법들
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9683287B2 (en) Deposition of films comprising aluminum alloys with high aluminum content
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US9721787B2 (en) Film deposition using tantalum precursors
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
TWI515803B (zh) 矽化鉭內的摻雜鋁
JP2023512623A (ja) ルテニウム-窒化チタン膜上に蒸着されたルテニウム含有膜およびその形成方法
TWI589720B (zh) 包含鋁合金之n-金屬薄膜之沉積
JP2023502418A (ja) 金属含有膜を選択的に形成するための化合物および方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20160219

Effective date: 20160627

S901 Examination by remand of revocation
E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)
GRNT Written decision to grant