CN103946957B - 使用铝烷基的前驱物的金属薄膜沉积 - Google Patents

使用铝烷基的前驱物的金属薄膜沉积 Download PDF

Info

Publication number
CN103946957B
CN103946957B CN201280054152.9A CN201280054152A CN103946957B CN 103946957 B CN103946957 B CN 103946957B CN 201280054152 A CN201280054152 A CN 201280054152A CN 103946957 B CN103946957 B CN 103946957B
Authority
CN
China
Prior art keywords
predecessor
alane
amine
metal
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280054152.9A
Other languages
English (en)
Other versions
CN103946957A (zh
Inventor
欣亮·卢
戴维·汤普森
杰弗里·W·安西斯
梅·张
赛沙德利·甘古利
唐伟
斯里尼瓦斯·甘迪科塔
阿蒂夫·努里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103946957A publication Critical patent/CN103946957A/zh
Application granted granted Critical
Publication of CN103946957B publication Critical patent/CN103946957B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Abstract

本发明提供沉积纯金属和铝合金金属薄膜的方法。某些方法包括使基板表面与第一前驱物和第二前驱物接触,该第一前驱物包含铝前驱物,该铝前驱物选自二甲基铝氢化物、配位于胺的铝烷和具有由式(I)或(II)表示的结构的化合物,其中R为C1‑C6烷基,而该第二前驱物包含金属卤化物。其他的方法涉及使基板按顺序地曝露于第一前驱物和第二前驱物,该第一前驱物包含如上所述的铝前驱物,而该第二前驱物包含Ti(NR'2)4或Ta(NR'2)5,其中R'为烷基、烯基、炔基、酮基或醛基。

Description

使用铝烷基的前驱物的金属薄膜沉积
技术领域
本发明的实施例大体而言涉及薄膜沉积,具体而言涉及使用金属卤化物和铝烷基(alane-based)的前驱物的薄膜沉积。
背景技术
在基板表面上沉积薄膜是多种产业中的重要工艺,这些产业包括半导体处理、扩散阻挡涂层和用于磁性读/写头的介电质。尤其,在半导体产业中,小型化要求原子等级的薄膜沉积控制,以在高纵横比的结构上产生保形的(conformal)涂层。
一种用于沉积薄膜的方法是原子层沉积(ALD)。大多数的ALD工艺是基于二元的反应序列,该二元的反应序列中两个表面反应每个按顺序发生。由于这些表面反应是按顺序的,故两个气相反应物未互相接触,而且可能形成和沉积颗粒的气相反应会受到限制。虽然ALD往往比传统的化学气相沉积(CVD)产生更保形的薄膜,但ALD的现有技术工艺已经是对于沉积金属氧化物和金属氮化物薄膜最有效的。虽然已经开发了几种可有效沉积元素钌和其他后过渡金属的工艺,但一般的用于沉积纯金属的ALD工艺仍不足以成功地被商业化使用。
特定而言,钛(Ti)和钽(Ta)的纯金属薄膜在集成电路制造工艺中有许多关键的应用。这样的应用包括作为钨、铝和铜衬垫,以及金属栅极材料。然而,许多这些纯金属必须使用等离子气相沉积(PVD)、等离子增强化学气相沉积(PECVD)或等离子增强原子层沉积(PEALD)来沉积。这些方法会有不良阶梯覆盖或由等离子引起的基板损坏的问题。因此,需要有新的沉积化学品和方法,该新的沉积化学品和方法是商业上可行且无先前使用方法的缺点,特别是在元素金属薄膜的区域。本发明通过提供新颖的方法解决了这个问题,该新颖的方法经过特别设计和优化,以避免其他方法相关的问题。
发明内容
本发明的一个方面涉及一种沉积薄膜的方法。以下列出各种实施例。应了解到,以下列出的实施例不仅可以如以下所列出的那样来组合,而且还可以以依据本发明范围的其他适当组合来组合。
在实施例1中,该方法包括使基板表面与第一前驱物和第二前驱物接触,该第一前驱物包含铝前驱物,该铝前驱物选自二甲基铝氢化物、配位于胺的铝烷和具有由下式表示的结构的化合物:
其中R为C1-C6烷基,而该第二前驱物包含金属卤化物。
实施例2包括对实施例1的修改,其中R为甲基。
实施例3包括对实施例1或2的修改,其中该配位于胺的铝烷包括二甲基乙基胺铝烷(dimethylethylamine alane)、三乙基胺铝烷(triethylamine alane)、三甲基胺铝烷(trimethylamine alane)或甲基吡咯烷铝烷(methylpyrrolidine alane)。
实施例4包括对实施例1至3任一者的修改,其中使该基板表面与该第一前驱物和该第二前驱物接触是按顺序地发生。
实施例5包括对实施例1-4任一者的修改,其中该金属选自钛(Ti)、钽(Ta)、锆(Zr)、镧(La)、铈(Ce)、硅(Si)、锗(Ge)、W(钨)和铪(Hf)。
实施例6包括对实施例1-5任一者的修改,其中该第二前驱物包含金属氯化物、金属碘化物或金属溴化物。
实施例7包括对实施例1-6任一者的修改,其中该金属氯化物选自TiCl4和TaCl5
实施例8包括对实施例1-7任一者的修改,其中使用过量的胺。
实施例9包括对实施例1-8任一者的修改,其中该第一前驱物包含二甲基铝氢化物而该第二前驱物包含TiCl4
实施例10包括对实施例1-9任一者的修改,其中沉积是在约23℃至约300℃的温度下进行。
实施例11包括对实施例1-10任一者的修改,其中该沉积出的薄膜包含纯金属。
实施例12包括对实施例1-11任一者的修改,其中该沉积出的薄膜包含金属合金。
实施例13包括对实施例1-12任一者的修改,实施例13进一步包括在氢气或等离子处理中退火。
实施例14涉及一种沉积薄膜的方法,该方法包括使基板曝露于第一前驱物和第二前驱物,该第一前驱物包含铝前驱物,该铝前驱物选自二甲基铝氢化物、配位于胺的铝烷和具有由下式表示的结构的化合物:
其中R为C1-C6烷基,而该第二前驱物包含Ti(NR'2)4或Ta(NR'2)5,其中R'为C1-C4烷基、烯基、炔基、酮基或醛基。
实施例15涉及对实施例14的修改,其中R为甲基。
实施例16涉及对实施例14或15的修改,其中该第二前驱物包含Ti(NMe2)4或Ta(NMe2)5
实施例17包括对实施例14-16任一者的修改,其中沉积是在约50℃至约150℃的温度下进行。
实施例18包括对实施例14-17任一者的修改,其中使用过量的胺。
实施例19包括对实施例14-18任一者的修改,其中该配位于胺的铝烷包含二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷。
实施例20涉及一种沉积薄膜的方法,该方法包括使基板表面按顺序地与第一前驱物和第二前驱物接触,该第一前驱物包含二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷,该第二前驱物包含TiCl4或TaCl5
附图说明
图1A-B图示了依据本发明的一个或多个实施例所沉积的薄膜的XPS组成分析。
具体实施方式
在描述本发明的一些例示性实施例之前,应了解到,本发明并不限于以下描述中所提出的结构或工艺步骤的细节。本发明能够有其他的实施例,而且可以各种方式实施或进行本发明。
本文中使用的“基板”是指任何形成于基板上的基板或材料表面,在制造工艺的过程中薄膜处理是在该基板上进行。举例来说,上面可以进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的硅氧化物、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石之类的材料,以及任何其他的材料,诸如金属、金属氮化物、金属合金以及其他的导电材料,视应用而定。基板包括但不限于半导体晶片。可使基板进行预处理工艺,以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接在基板本身的表面上进行薄膜处理之外,在本发明中也可以在形成于基板上的下层上进行任何所揭示的薄膜处理步骤,如以下更详细揭示的,并且术语“基板表面”意在包括如上下文所指的这种下层。
本文中使用的“纯金属薄膜”是指本质上只含有一种金属的薄膜。在某些实施例中,这样的金属包括钛(Ti)、钽(Ta)、锆(Zr)、镧(La)、铪(Hf)或铈(Ce)。在某些实施例中,纯金属薄膜也可以包含杂质,尤其是小于5%的量的不需要的元素。在某些实施例中,纯金属薄膜可包含一些铝。
依据本发明的各种实施例,提供了与纯金属和铝金属合金的沉积有关的方法。因此,本发明的一个方面涉及沉积薄膜的方法,该方法包括使基板表面与第一和第二前驱物接触,该第一前驱物包含铝前驱物,而该第二前驱物包含金属卤化物。在一个或多个实施例中,该铝前驱物可以选自二甲基铝氢化物、配位于胺的铝烷和具有由下式表示的结构的化合物:
其中R为C1-C6烷基,而该第二前驱物包含金属卤化物。
本发明的各种实施例涉及使用配位于胺的铝烷(氢化铝或AlH3)前驱物。铝烷是不稳定的化合物,但可以通过配位于胺而稳定。这种前驱物可以由式AlH3-NR3表示。这些前驱物可以依据以下方程式1来合成:
LiAlH4+NR3-HCl→AlH3-NR3+H2+LiCl(方程式1)
这样的胺的实例包括但不限于:二甲基乙基胺(DMA)、三乙基胺(TEA)、三甲基胺(TMA)和N-甲基吡咯烷。因此,相应的铝烷前驱物可以是二甲基乙基胺-铝烷(DMEAA)、三乙基胺-铝烷(TEAA)、三甲基胺-铝烷(TMAA)和N-甲基吡咯烷-铝烷(NPA)。因此,在具体的实施例中,配位于胺的铝烷包括二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷。
在某些其他的实施例中,可以使用其他的铝前驱物。这种铝前驱物包括二甲基铝氢化物(DMAH)。使用这种铝前驱物的实施例可被用于较高的温度。
另在其他的实施例中,合适的铝前驱物包括具有下式表示的结构那些:
其中R为任何的C1-C6烷基。在具体的实施例中,R为甲基。
依据本发明的各种实施例,金属卤化物也被用来作为前驱物。可以依据所需的金属薄膜选择金属。在一个实施例中,该金属选自第3族、第4族和第5族过渡金属。在具体实施例中,该金属选自钛(Ti)、钽(Ta)、锆(Zr)、镧(La)、铪(Hf)、铈(Ce)、硅(Si)、锗(Ge)和钨(W)。在特定的实施例中,该金属为Ti或Ta。在其他的实施例中,该卤化物选自Cl、I和Br,使得该第二前驱物包含金属氯化物、金属碘化物或金属溴化物。因此,几个合适的金属卤化物前驱物的非限制性实例包括TaCl5、TaBr5、TaI5、TiCl4、TiBr4、TiI4、LaCl3、LaBr3、LaI3。在特定的实施例中,该金属卤化物前驱物为TiCl4或TaCl5。在其他的实施例中,使用第14族的金属,例如Si和Ge。
本发明的另一个方面涉及沉积薄膜的方法,该方法包括使基板曝露于第一前驱物和第二前驱物,该第一前驱物包含配位于胺的铝烷,而该第二前驱物包含Ti(NR'2)4或Ta(NR'2)5,其中R'为烷基、烯基、炔基、酮基或醛基。在这个方面的一个变体中,该基板表面可以按顺序地或大致上按顺序地曝露于该第一前驱物和该第二前驱物。在另一个变体中,该曝露可以是同时或大致同时的。在特定的实施例中,R'为C1-C4,并且在非常具体的实施例中,R'为C1-C4烷基。在特定的实施例中,该第二前驱物包含Ti(NMe2)4或Ta(NMe2)5。获得这种前驱物的方法的一个实例可以通过将TaCl5与LiNR2在溶剂的存在下反应而获得,以得到Ta(NR'2)5,并带有氯化锂副产物。该合成可以由以下方程式2表示:
TaCl5+5LiNR2→Ta(NR'2)5+5LiCl(方程式2)
工艺温度是工艺的重要部分,而且是有关是否沉积纯金属相较于(versus)合金的因素之一。虽然不希望受到任何特定理论的限制,但据认为铝烷前驱物会减少金属卤化物而去除卤化物,因而留下纯金属。在较高的温度下,铝烷前驱物将会分解,这可能会导致铝掺入薄膜中。在较低的温度下,铝烷前驱物不会分解,而将会沉积纯金属。合金相较于纯金属沉积的具体温度范围可能会随着所使用的铝烷前驱物而改变。因此,在需要纯金属时,可将腔室或基板加热,使得沉积可以在低于约300℃的温度下发生。在其他的实施例中,沉积可以在低于约100℃的温度下发生。在一个实施例中,沉积是在约50℃至约300℃的温度范围内进行,而在甚至更具体的实施例中,沉积是在从约50℃至约150℃的温度范围内进行。在需要铝合金金属之处,该工艺可在高于约300℃的温度下进行。然而,在其他的实施例中,合金沉积可在低至约150℃、100℃或75℃的温度下发生。
还可以通过使用胺的多少来控制沉积薄膜中的铝含量。在一个实施例中,使用过量的胺。过量的胺是指相对于铝烷为多于一个的摩尔当量。在某些实施例中,可以使用胺作为载气,该载气将对应于大于99:1的比。使用用于携带铝烷的过量胺可以用来作为降低薄膜中的铝浓度的方法。过量的胺也可以增强阶梯覆盖率并提高沉积温度。在前驱物包含配位于胺的铝烷的一些实施例中,过量的胺可以是铝烷所配位的胺。因此,举例来说,当铝烷前驱物为DMEAA时,可以使用过量的DMEA。另一种适合的过量使用的胺包括二甲基环己基(DMCA)胺。
在一个实施例中,薄膜使用化学气相沉积工艺来沉积。在这样的工艺中,可将基板同时或大致上同时曝露于铝烷前驱物和金属卤化物二者。
在另一个实施例中,薄膜是使用原子层沉积(ALD)工艺所沉积。因此,在一个实施例中,基板表面与第一前驱物和第二前驱物接触是按顺序地或大致上按顺序地发生。在更具体的实施例中,该方法包括金属卤化物曝露、然后净化、然后曝露于配位于胺的铝烷、然后另一次净化。在ALD工艺的例示性实施例中,在第一半反应中使第一化学前驱物(“A”),例如TiCl4或TaCl5脉冲化或流动到基板表面。通常通过排空泵抽出和/或通过流动的惰性净化气体来去除过量的反应物和反应副产物。然后将共反应物或前驱物“B”(例如配位于胺的铝烷)输送到表面,其中该第一半反应的前驱物与来自“B”共反应物的新配位体进行反应,产生交换的副产物。“B”共反应物也与下层的反应性物种形成自饱和键,以提供饱和的第二半反应。通常利用第二净化期间来去除未使用的反应物和反应副产物。然后可再次流入“A”前驱物、“B”共反应物和净化气体。持续表面交替地曝露于反应物“A”和“B”,直至达到所需的薄膜厚度,对于大多数预期的应用,该所需的薄膜厚度将大约在5nm至40nm的范围中,并且更具体地是在10nm至30nm(100埃至300埃)的范围中。应了解到,“A”、“B”和净化气体可以同时流动,而且基板和/或气体流动喷嘴可以摆动,使得基板视需要按顺序地被曝露于A气体、净化气体和B气体。
前驱物和/或反应物可以处于气体状态或蒸汽状态,或其他可用于气相沉积工艺的物质状态。在净化过程中,通常将惰性气体引入处理腔室,以净化反应区域或以其他方式从反应区域去除任何残余反应性化合物或副产物。或者,净化气体可在整个沉积工艺中连续地流动,使得在前驱物和共反应物的脉冲之间的时间延迟期间只有净化气体流动。
因此,在一个或多个实施例中,可以使用“A”反应物和“B”反应物的交替脉冲或流动来沉积薄膜,例如在脉冲化的前驱物和共反应物的多个循环脉冲输送中,例如A脉冲、B脉冲、A脉冲、B脉冲、A脉冲、B脉冲、A脉冲、B脉冲。如上所述,取代脉冲化反应物,这些气体可以同时从气体输送头或喷嘴流动,而且可以移动基板和/或气体输送头,使得基板按顺序地曝露于这些气体。
当然,上述的ALD循环仅为各式各样的ALD工艺循环的例示,其中所沉积的层是由前驱物和/或共反应物的交替层所形成。
本文中所使用的沉积气体或处理气体是指单一气体、多种气体、含有等离子的气体、一种或多种气体和/或一种或多种等离子的组合。沉积气体可以含有至少一种用于气相沉积工艺的反应性化合物。该反应性化合物在气相沉积工艺的过程中可以处于气体、等离子、蒸汽的状态。同样地,工艺可以含有净化气体或载体气体,并且不含反应性的化合物。
本文中所使用的“基板表面”是指任何形成于基板上的基板或材料表面,在制造工艺的过程中薄膜处理是在该基板上进行。举例来说,上面可以进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的硅氧化物、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石之类的材料,以及任何其他的材料,诸如金属、金属氮化物、金属合金和其他的导电材料,视应用而定。在基板表面上的阻挡层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽和氮化钽、铝、铜或任何其他的导体或可用于装置制造的导电或不导电的阻挡层。基板可以具有各种的尺寸,如直径200mm或300mm的晶片,以及矩形或方形的窗玻璃片。可以使用本发明实施例的基板包括但不限于半导体晶片,诸如结晶硅(例如Si<100>或Si<111>)、氧化硅、应变硅、锗硅、掺杂的或未掺杂的多晶硅、掺杂的或未掺杂的硅晶片、III-V族材料诸如GaAs、GaN、InP等以及图案化或未图案化的晶片。可使基板进行预处理工艺,以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。
由于本发明的实施例提供沉积或形成薄膜的方法,故在气相沉积工艺的过程中处理腔室构造成使基板曝露于顺序的气体和/或等离子。处理腔室可包括A和B反应物的单独供应,伴随载体气体、净化气体和惰性气体的任何供应,该惰性气体诸如与各反应物和气体的气体入口流体连通的氩气和氮气。可以通过适合的流量控制器来控制每个入口,该流量控制器如与中央处理单元(CPU)通信的质量流量控制器或体积流量控制器,以允许每个到基板的反应物的流动进行如本文中所述的ALD工艺。中央处理单元可以是任何形式的计算机处理器之一者,该计算机处理器可用于工业设定,以控制各腔室和子处理器。CPU可以耦接到存储器,并且该CPU可以是一个或多个容易买到的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、快闪存储器、光盘、软盘、硬盘或任何其他形式的本地或远程数字储存器。可将支持电路耦接到该CPU,从而以常规的方式支持该CPU。这些电路包括缓存、电源、时钟电路、输入/输出电路系统、子系统和类似物。
在一个或多个实施例中,用于工艺的各种气体可以被脉冲化而进入入口、经过气体通道、来自各种孔或出口和进入中央通道。在一个或多个实施例中,可以按顺序或大致按顺序地脉冲化沉积气体以到达喷洒头且通过喷洒头。或者,如上所述,这些气体可以同时或大致同时地流经气体供应喷嘴或气体供应头,而且可以移动该基板和/或该气体供应头,使基板按顺序或大致按顺序地曝露于这些气体。
在上述方法的一些实施例中,基板表面是与DMAH和TiCl4接触。在进一步的实施例中,使用过量的胺。在一个或多个实施例中,基板表面是与铝烷胺和TiCl4接触。
本发明的另一个方面涉及用于在基板上沉积薄膜以进行依据上述任一实施例的工艺的设备。在一个实施例中,该设备包括用于在基板上原子层沉积薄膜的沉积腔室。该腔室包含用于支撑基板的处理区域。该设备包括前驱物入口,该前驱物入口与金属卤化物或Ti(NR'2)4或Ta(NR'2)5前驱物的供应流体连通,其中R'为烷基、烯基、炔基、酮基或醛基。该设备还包括反应物气体入口,该反应物气体入口与前驱物的供应流体连通,该前驱物包含配位于胺的铝烷,如上所讨论。该设备进一步包括与净化气体流体连通的净化气体入口。该设备可以进一步包括真空端口,该真空端口用于从沉积腔室去除气体。该设备可以进一步包括辅助气体入口,该辅助气体入口用于供应一种或多种辅助气体(如惰性气体)到沉积腔室。该沉积可以进一步包括用于通过辐射和/或电阻热量加热基板的工具。
在一些实施例中,在本文所述方法的过程中使用来沉积或形成光阻材料的等离子系统和处理腔室或系统可以实施于系统的任一者上,这些系统均可向位于加州圣克拉拉的应用材料公司(Applied Materials,Inc.,located in Santa Clara,Calif.)取得。ALD处理腔室的详细描述可在共同受让的美国专利第6,878,206号、第6,916,398号以及第7,780,785号中找到。
ALD工艺提供处理腔室或沉积腔室可以在从约0.01托至约100托范围内的压力下进行加压,例如从约0.1托至约10托,以及更具体地从约0.5托至约5托。
输送“A”前驱物至基板表面
可以使基板曝露于“A”前驱物气体或蒸汽,该“A”前驱物气体或蒸汽是由载体气体(例如氮气或氩气)通过可处于液体形式的前驱物的安瓿所形成。可以加热该安瓿。可以以任何适当的流动速率输送该“A”前驱物气体,该适合的流动速率是在从约10sccm至约2,000sccm的范围内,例如从约50sccm至约1,000sccm,并且在特定的实施例中是从约100sccm至约500sccm,例如约200sccm。可以使该基板曝露于含金属的“A”前驱物气体一段时间,该段时间在从约0.1秒至约10秒的范围内,例如从约1秒至约5秒,在具体的实例中,为约2秒。一旦前驱物已经吸附于基板表面上所有反应性的表面部分,则停止“A”前驱物气体的流动。在一些实施例中,该表面立即被反应性的前驱物“A”饱和,使得额外的曝露无法产生额外的沉积。
第一次净化
在停止“A”前驱物气体的流动之后,可使基板和腔室进行净化步骤。可以以从约10sccm至约2,000sccm范围内的流动速率将净化气体注入处理腔室,例如从约50sccm至约1,000sccm,并且在具体的实例中,从约100sccm至约500sccm,例如约200sccm。净化步骤去除处理腔室内任何过量的前驱物、副产物及其他污染物。净化步骤可以进行在约0.1秒至约8秒范围内的一段时间,例如从约1秒至约5秒,而且在具体的实例中从约4秒。该载体气体、该净化气体、该沉积气体或其他的处理气体可含有氮气、氢气、氩气、氖气、氦气或上述气体的组合。在一个实例中,该载体气体包含氮气。
输送“B”前驱物至基板表面
在第一次净化之后,可将该基板活性位点曝露于“B”前驱物气体或蒸汽,该“B”前驱物气体或蒸汽是由载体气体(例如氮气或氩气)通过“B”反应物的安瓿所形成。可以加热该安瓿。可以以任何适当的流动速率输送该“B”反应物气体,该适当的流动速率是在从约10sccm至约2,000sccm的范围内,例如从约50sccm至约1,000sccm,并且在特定的实施例中是约200sccm。可以使该基板曝露于该“B”反应物气体一段时间,该段时间在从约0.1秒至约8秒的范围内,例如从约1秒至约5秒,在具体的实例中,为约2秒。一旦“B”已经吸附于先前步骤中沉积的“A”前驱物上并立即与该“A”前驱物反应,则可停止“B”反应物气体的流动。
第二次净化
在停止“B”共反应物气体的流动之后,可使基板和腔室进行净化步骤。可以以约10sccm至约2,000sccm范围内的流动速率将净化气体注入处理腔室,例如从约50sccm至约1,000sccm,并且在具体的实例中,从约100sccm至约500sccm,例如约200sccm。净化步骤去除处理腔室内任何过量的前驱物、副产物及其他污染物。净化步骤可以进行从约0.1秒至约8秒范围内的一段时间,例如从约1秒至约5秒,在具体的实例中从约4秒。该载体气体、该净化气体、该沉积气体或其他的处理气体可含有氮气、氢气、氩气、氖气、氦气或上述气体的组合。在一个实例中,该载体气体包含氮气。该“B”前驱物气体也可以处于等离子的形式,且该等离子是从该工艺腔室的远端产生。
因此,本发明的一个非常特定的实施例涉及沉积Ta或Ti薄膜的方法。该方法包括按顺序地使基板表面与第一和第二前驱物接触,该第一前驱物包含二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷,而该第二前驱物包含TiCl4或TaCl5
在某些实施例中,沉积薄膜还可以接受后处理工艺。这样的工艺包括减少薄膜中的任何杂质。在某些具体的实施例中,处理可以在原位进行,即不破坏真空。在甚至更具体的实施例中,减少薄膜中的杂质包括在氢气中退火或通过使该薄膜曝露于等离子处理而退火。
依据本发明的一个或多个实施例沉积的薄膜可以使用于集成电路制造工艺中。例如,包含纯钛或钽的薄膜可以用来作为钨、铝或铜衬垫。在其他的实施例中,薄膜可以用作为金属栅极材料。与先前已知的、沉积这种薄膜的方法(经常使用基于等离子的方法)相对比,依据本发明的各种实施例的薄膜提供良好的阶梯覆盖率且无使用等离子的损伤效应。
实例
实例1
使用TiCl4和二甲基乙基胺铝烷来生产薄膜。该工艺涉及使基板表面曝露于TiCl4,然后为第一次净化、曝露于二甲基乙基胺铝烷、然后为另一次净化。沉积温度在约50℃至约150℃的范围内。曝露时间的范围在从约1秒至约30秒之间。使用X射线光电子光谱法来测定薄膜的元素组成,该元素组成的曲线图请见图1A-B。测定的元素组成如下表1所示。
表1:整体(bulk)TiAl薄膜中的平均浓度(原子%)
从表1和图1A-B中可以看出,该薄膜明显地含有很少的碳、氯或氮。这是一个令人惊讶的结果,因为大多数的ALD薄膜会导致具有碳和/或氮的薄膜沉积。虽然不希望受限于任何特定的理论,但据认为铝烷有助于减少薄膜中的氯,以沉积铝。
实例C1
使用标准的PVD技术沉积TiAl薄膜。该薄膜被认为是比较性的,因为该薄膜是使用PVD而非本文所述的ALD技术所沉积。下表2对来自实例1和C1的薄膜性质进行比较。
表2:与PVD比较
如表2中所见,关于电阻率、密度、碳含量和功函数,两种薄膜表现出相似的性质。然而,PVD薄膜公知是沉积相对非保形的薄膜,特别是针对于某些应用。这样的应用包括在FinFET装置中作为功函数金属。相比之下,以本文所述方法沉积的薄膜提供了相对保形的薄膜且适用于这样的应用。
实例2
使用实例1的方法生产另四个薄膜。改变前驱物的摩尔比,以在所沉积的薄膜中获得不同的Ti/Al比率。这些薄膜示于下表3中。
表3:薄膜调整
Ti/Al比率 电阻率(mW-cm) 厚度(A)
0.30 64 249
0.33 71 210
0.40 130 227
0.44 198 219
0.53 230 183
如表3所示,可以改变通过本文中所述的方法所沉积的薄膜,以控制金属/铝比率。因此,薄膜的电阻率(以及功函数值)可以随着Ti/Al比率的改变而改变。应当指出,虽然薄膜的电阻率和厚度之间可能有一定的相关性,但在表3中提供的厚度是通常可比较的。
贯穿本说明书提及的“一个实施例”、“某些实施例”、“一个或多个实施例”或“实施例”是指关于该实施例所描述的特定特征、结构、材料或特性被包括在本发明的至少一个实施例中。因此,贯穿本说明书各处出现的短语如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”不一定是指本发明的相同实施例。此外,可以在一个或多个实施例中以任何适合的方式组合特定的特征、结构、材料或特性。
虽然已经参照特定的实施例描述了本文中的发明,但应了解到,这些实施例仅是对本发明的原理和应用的说明。对于本领域技术人员而言,在不偏离本发明的精神和范围下对本发明的方法和设备作出的各种修改和变化将是显而易见的。因此,本发明意在包括在所附权利要求书及其等同物范围内的修改和变化。

Claims (20)

1.一种沉积薄膜的方法,所述方法包括使基板表面与第一前驱物和第二前驱物接触,所述第一前驱物包含铝前驱物,所述铝前驱物选自二甲基铝氢化物、配位于胺的铝烷和具有由下式表示的结构的化合物:
其中R为C1-C6烷基,而所述第二前驱物包含金属卤化物。
2.如权利要求1所述的方法,其中R为甲基。
3.如权利要求1所述的方法,其中所述配位于胺的铝烷包括二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷。
4.如权利要求1所述的方法,其中使所述基板表面与第一前驱物和第二前驱物接触是按顺序发生。
5.如权利要求1所述的方法,其中所述金属选自Ti、Ta、Zr、La、Ce、Si、Ge、W和Hf。
6.如权利要求1所述的方法,其中所述第二前驱物包含金属氯化物、金属碘化物或金属溴化物。
7.如权利要求6所述的方法,其中所述金属氯化物选自TiCl4和TaCl5
8.如权利要求1所述的方法,其中使用过量的胺。
9.如权利要求8所述的方法,其中所述第一前驱物包含二甲基铝氢化物,而所述第二前驱物包含TiCl4
10.如权利要求1所述的方法,其中沉积是在23℃至300℃的温度下进行。
11.如权利要求1所述的方法,其中所沉积出的薄膜包含纯金属。
12.如权利要求1所述的方法,其中所沉积出的薄膜包含金属合金。
13.如权利要求1所述的方法,所述方法进一步包括在氢气或等离子处理中退火。
14.一种沉积薄膜的方法,所述方法包括使基板曝露于第一前驱物和第二前驱物,所述第一前驱物包含铝前驱物,所述铝前驱物选自二甲基铝氢化物、配位于胺的铝烷和具有由下式表示的结构的化合物:
其中R为C1-C6烷基,而所述第二前驱物包含Ti(NR'2)4或Ta(NR'2)5
其中R'为C1-C4烷基、烯基、炔基、酮基或醛基。
15.如权利要求14所述的方法,其中R为甲基。
16.如权利要求14所述的方法,其中所述第二前驱物包含Ti(NMe2)4或Ta(NMe2)5
17.如权利要求14所述的方法,其中所述沉积是在50℃至150℃的温度下进行。
18.如权利要求14所述的方法,其中使用过量的胺。
19.如权利要求18所述的方法,其中所述配位于胺的铝烷包括二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷。
20.一种沉积薄膜的方法,所述方法包括使基板表面按顺序地与第一前驱物和第二前驱物接触,所述第一前驱物包含二甲基乙基胺铝烷、三乙基胺铝烷、三甲基胺铝烷或甲基吡咯烷铝烷,而该第二前驱物包含TiCl4或TaCl5
CN201280054152.9A 2011-11-08 2012-11-07 使用铝烷基的前驱物的金属薄膜沉积 Active CN103946957B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161557119P 2011-11-08 2011-11-08
US61/557,119 2011-11-08
US201261614922P 2012-03-23 2012-03-23
US61/614,922 2012-03-23
US13/669,571 2012-11-06
US13/669,571 US8927059B2 (en) 2011-11-08 2012-11-06 Deposition of metal films using alane-based precursors
PCT/US2012/063870 WO2013070702A1 (en) 2011-11-08 2012-11-07 Deposition of metal films using alane-based precursors

Publications (2)

Publication Number Publication Date
CN103946957A CN103946957A (zh) 2014-07-23
CN103946957B true CN103946957B (zh) 2017-02-15

Family

ID=48223870

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280054152.9A Active CN103946957B (zh) 2011-11-08 2012-11-07 使用铝烷基的前驱物的金属薄膜沉积

Country Status (5)

Country Link
US (1) US8927059B2 (zh)
KR (2) KR101918565B1 (zh)
CN (1) CN103946957B (zh)
TW (1) TWI519667B (zh)
WO (1) WO2013070702A1 (zh)

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101909205B1 (ko) * 2012-04-20 2018-10-17 삼성전자 주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9255324B2 (en) * 2012-08-15 2016-02-09 Up Chemical Co., Ltd. Aluminum precursor composition
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105164791A (zh) * 2013-06-26 2015-12-16 应用材料公司 沉积金属合金膜的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9362385B2 (en) * 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106298663B (zh) * 2015-05-22 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10287175B1 (en) 2015-12-30 2019-05-14 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for purification and storage of Til4 for Ti-containing film deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102627458B1 (ko) 2016-09-13 2024-01-19 삼성전자주식회사 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10170321B2 (en) * 2017-03-17 2019-01-01 Applied Materials, Inc. Aluminum content control of TiAIN films
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN108962737B (zh) * 2017-05-19 2020-11-13 中芯国际集成电路制造(上海)有限公司 功函数调节层的制造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021507123A (ja) 2017-12-20 2021-02-22 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 金属含有膜の生成方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3781577A1 (en) 2018-04-17 2021-02-24 Basf Se Aluminum precursor and process for the generation of metal-containing films
WO2019206746A1 (en) 2018-04-23 2019-10-31 Basf Se Process for the generation of metal-containing films
US11421318B2 (en) 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
SG11202113355YA (en) * 2019-06-06 2021-12-30 Basf Se Process for the generation of metal- or semimetal-containing films
CN113906158A (zh) * 2019-06-06 2022-01-07 巴斯夫欧洲公司 生成含金属或半金属膜的方法
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3795714A1 (en) * 2019-09-17 2021-03-24 Basf Se Process for the generation of aluminum-containing films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200529288A (en) * 2004-01-26 2005-09-01 Air Liquide Semiconductor processing system and bubble trap
TW200823311A (en) * 2005-11-16 2008-06-01 Rohm & Haas A method for thin film vapor deposition of a dialkyl amido dihydroaluminum compound

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US7334708B2 (en) * 2001-07-16 2008-02-26 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
JP4545433B2 (ja) * 2003-12-26 2010-09-15 東京エレクトロン株式会社 成膜方法
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR100689668B1 (ko) * 2005-09-26 2007-03-08 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 알루미늄 배선형성방법
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US7691757B2 (en) * 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20100209594A1 (en) * 2007-11-02 2010-08-19 Alliance For Sustainable Energy, Llc Printing aluminum films and patterned contacts using organometallic precursor inks
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101482944B1 (ko) 2008-08-04 2015-01-16 한국과학기술원 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터
US8002247B2 (en) * 2008-08-22 2011-08-23 Air Products And Chemicals, Inc. Cross purge valve and container assembly
JP5416602B2 (ja) * 2010-01-25 2014-02-12 大陽日酸株式会社 Mocvd装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200529288A (en) * 2004-01-26 2005-09-01 Air Liquide Semiconductor processing system and bubble trap
TW200823311A (en) * 2005-11-16 2008-06-01 Rohm & Haas A method for thin film vapor deposition of a dialkyl amido dihydroaluminum compound

Also Published As

Publication number Publication date
KR20140134642A (ko) 2014-11-24
US8927059B2 (en) 2015-01-06
CN103946957A (zh) 2014-07-23
TWI519667B (zh) 2016-02-01
US20130115383A1 (en) 2013-05-09
WO2013070702A1 (en) 2013-05-16
KR20160027231A (ko) 2016-03-09
KR101692416B1 (ko) 2017-01-03
KR101918565B1 (ko) 2018-11-15
TW201329276A (zh) 2013-07-16

Similar Documents

Publication Publication Date Title
CN103946957B (zh) 使用铝烷基的前驱物的金属薄膜沉积
KR102298038B1 (ko) 금속 합금 막을 증착하는 방법들
TWI655309B (zh) 來自金屬脒鹽前驅物與鋁前驅物的金屬鋁合金膜
TWI579397B (zh) 製造含鎳薄膜的方法
JP2022509621A (ja) タングステン用モリブデンテンプレート
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9683287B2 (en) Deposition of films comprising aluminum alloys with high aluminum content
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
TWI559381B (zh) 金屬合金薄膜的原子層沉積
JP2024511271A (ja) 原子層堆積のための還元剤
TW201435132A (zh) 包含SiOC的膜的催化性原子層沉積
TW201329277A (zh) 使用鉭前驅物的薄膜沉積
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant