KR20210088729A - Si-하이드록실 결합들을 가교시키기 위한 경화 방법들 - Google Patents

Si-하이드록실 결합들을 가교시키기 위한 경화 방법들 Download PDF

Info

Publication number
KR20210088729A
KR20210088729A KR1020217020630A KR20217020630A KR20210088729A KR 20210088729 A KR20210088729 A KR 20210088729A KR 1020217020630 A KR1020217020630 A KR 1020217020630A KR 20217020630 A KR20217020630 A KR 20217020630A KR 20210088729 A KR20210088729 A KR 20210088729A
Authority
KR
South Korea
Prior art keywords
silicon
oxygen
substrate
containing layer
ammonia
Prior art date
Application number
KR1020217020630A
Other languages
English (en)
Inventor
마틴 제이 시몬스
병국 안
징메이 리앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210088729A publication Critical patent/KR20210088729A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

본원에 기술된 구현예들은 말단 실라놀 기들을 가교시킴으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법을 제공한다. 이러한 방법은 챔버에 기판을 정위하는 것을 포함한다. 기판은 10 나노미터(nm) 이하의 폭, 및 2:1 이상의 종횡비를 포함하는 하나 이상의 트렌치들을 갖는다. 종횡비는 하나 이상의 트렌치들의 깊이 대 폭의 비에 의해 정의된다. 규소-및-산소-함유 층은 하나 이상의 트렌치들 위에 배치된다. 규소-및-산소-함유 층은 말단 실라놀 기들을 갖는다. 기판은 가열되며, 규소-및-산소-함유 층은 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출된다.

Description

SI-하이드록실 결합들을 가교시키기 위한 경화 방법들
[0001] 본 개시의 구현예들은 일반적으로, 반도체 디바이스들을 제작하는 것에 관한 것이다. 더욱 구체적으로, 본 개시의 구현예들은 규소-및-산소-함유 층을 형성하는 방법에 관한 것이다.
관련 분야의 설명
[0002] 반도체 제작에서, 다양한 피쳐들(features)이 형성될 수 있다. 이러한 피쳐들은 높은 종횡비들을 갖는 트렌치들을 포함한다. 여러 반도체 디바이스 제작 공정들에서, 트렌치들에 규소-및-산소-함유 층을 증착함으로서 트렌치들을 충전하는 것이 필요하다. 층은 유동성 화학 기상 증착(FCVD), 플라즈마-강화 화학 기상 증착(PECVD), 고밀도 플라즈마 화학 기상 증착(HDP-CVD), 오존-기반 CVD, 열적 CVD, 또는 다른 공정들 중 하나에 의해 증착될 수 있다. 증착된 규소-및-산소-함유 층은 말단 실라놀(Si-OH) 기들을 포함하여, 높은 습식 에칭률 및 높은 누설 전류를 야기시킨다. 통상적으로, 습식 에칭률 및 누설 전류를 낮추기 위해, 규소-및-산소-함유 층은 UV 방사선에 노출되어, 말단 Si-OH 기들을 가교시킴으로써 공유 Si-O-Si 결합들을 형성한다. 그러나, UV 방사선이 높은 종횡비 트렌치들의 바닥 부근에 있는 말단 Si-OH 기들에 도달하기 어렵다. 이에 따라, 높은 종횡비들에서 말단 Si-0H 기들의 가교를 제공할 수 있는 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법이 필요하다.
[0003] 하나 이상의 구현예들에서, 방법이 제공되며, 이러한 방법은 챔버에 기판을 정위하는 것을 포함하며, 여기서, 기판은 10 나노미터(nm) 이하의 폭 및 2:1 이상의 종횡비를 갖는 하나 이상의 트렌치들을 갖는다. 종횡비는 하나 이상의 트렌치들의 깊이 대 폭의 비에 의해 정의된다. 규소-및-산소-함유 층은 하나 이상의 트렌치들에 배치되며, 규소-및-산소-함유 층은 말단 실라놀(Si-OH) 기들을 갖는다. 이러한 방법은 또한, 기판을 약 100℃ 내지 약 1000℃의 온도까지 가열하고, 규소-및-산소-함유 층을 챔버의 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 것을 포함한다.
[0004] 다른 구현예들에서, 방법이 제공된다. 이러한 방법은 챔버에 기판을 정위하는 것을 포함한다. 기판은 10 나노미터(nm) 이하의 폭 및 2:1 이상의 종횡비를 갖는 하나 이상의 트렌치들을 갖는다. 종횡비는 하나 이상의 트렌치들의 깊이 대 폭의 비에 의해 정의된다. 이러한 방법은 하나 이상의 트렌치들 위에 규소-및-산소-함유 층을 증착하고, 기판을 약 10℃ 내지 약 150℃의 온도까지 가열하고, 규소-및-산소-함유 층을 챔버의 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 것을 추가로 포함한다. 규소-및-산소-함유 층은 말단 실라놀(Si-OH) 기들을 갖는다.
[0005] 일부 구현예들에서, 방법이 제공된다. 이러한 방법은 제1 챔버에 기판을 정위하는 것을 포함한다. 기판은 10 나노미터(nm) 이하의 폭 및 5:1 이상의 종횡비를 갖는 하나 이상의 트렌치들을 갖는다. 종횡비는 하나 이상의 트렌치들의 높이 대 폭의 비에 의해 정의된다. 규소-및-산소-함유 층이 증착된다. 규소-및-산소-함유 층을 증착하는 것은 제1 챔버의 공정 볼륨에 산소 라디칼들 및 규소-함유 전구체를 제공하는 것을 포함한다. 산소 라디칼들은 [O-Si-(CH3)x]y 사슬들을 중합시켜 말단 실라놀(Si-OH) 기들을 갖는 하나 이상의 트렌치들 위에 규소-및-산소-함유 층을 증착한다. 이러한 방법은 제1 챔버에서 기판을 제거하고 제2 챔버에 기판을 정위하고, 기판을 약 100℃ 내지 약 1000℃의 온도까지 가열하고, 규소-및-산소-함유 층을 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 것을 추가로 포함한다.
[0006] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 구현예들을 참조함으로써 이루어질 수 있으며, 이 구현예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 단지 예시적인 본 구현예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0007] 도 1은 본원에 기술되고 논의된 하나 이상의 구현예들에 따른, 시스템의 개략도이다.
[0008] 도 2는 본원에 기술되고 논의된 하나 이상의 구현예들에 따른, 증착 챔버의 개략도이다.
[0009] 도 3a 및 도 3b는 본원에 기술되고 논의된 하나 이상의 구현예들에 따른, 열적 촉매 경화 공정의 개략적 예시들이다.
[0010] 도 4는 본원에 기술되고 논의된 하나 이상의 구현예들에 따른, 규소-및-산소-함유 층을 형성하는 방법의 흐름도이다.
[0011] 도 5a 및 도 5b는 본원에 기술되고 논의된 하나 이상의 구현예들에 따른, 규소-및-산소-함유 층을 형성하는 방법의 작업들 동안 기판의 개략도들이다.
[0012] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 구현예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 구현예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0013] 본원에 기술된 구현예들은 말단 실라놀(Si-OH) 기들을 가교시킴으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법을 제공한다. 이러한 방법은 챔버에 기판을 정위하는 것을 포함한다. 기판은 10 나노미터(nm) 이하의 폭 및 2:1 이상의 종횡비를 갖는 하나 이상의 트렌치들을 갖는다. 종횡비는 하나 이상의 트렌치들의 깊이 대 폭의 비에 의해 정의된다. 규소-및-산소-함유 층은 하나 이상의 트렌치들에 배치된다. 규소-및-산소-함유 층은 말단 실라놀(Si-OH) 기들을 갖는다. 기판은 가열되며, 규소-및-산소-함유 층은 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출된다.
[0014] 도 1은 본원에 기술된 말단 Si-OH 기들을 가교시킴으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법을 위해 이용되는 시스템(100)의 개략도이다. 도 1에 도시된 바와 같이, 한 쌍의 전방 개방 통합 포드들(front opening unified pod; FOUP)(102)은 증착 챔버들(108), 열적 경화 챔버들(110), 및 자외선(UV) 경화 챔버들(112) 중 하나에 배치되기 전에 로보트 팔들(104)에 의해 수용되고 보유 구역(106)에 배치되는 기판들을 공급한다. 제2 로보트 팔(114)은 기판들을 보유 구역(106)에서 증착 챔버들(108), 열적 경화 챔버들(110), 및 UV 경화 챔버들(112)로 및 증착 챔버들(108), 열적 경화 챔버들(110), 및 UV 경화 챔버들(112) 사이에서 수송하기 위해 사용될 수 있다. 증착 챔버들(108)은 기판의 하나 이상의 피쳐들을 충전하도록 하나 이상의 피쳐들 위에 증착된 말단 Si-OH 기들을 갖는 규소-및-산소-함유 층을 증착하기 위해 사용된다.
[0015] 본원에 기술된 다른 구현예들과 결합될 수 있는, 본원에 기술된 구현예들에 따르면, 증착 챔버들(108)은 또한, 말단 Si-OH 기들을 Si-O-Si 및 H2O로 가교, 예를 들어, 촉매화 또는 중합시키도록 규소-및-산소-함유 층을 열적 촉매 경화시키기 위해 사용된다. 열적 경화 챔버들(110)은 추가적으로, 규소-및-산소-함유 층을 열적 촉매 경화시키기 위해 사용될 수 있다. UV 경화 챔버들(112)은 말단 Si-OH 기들을 Si-O-Si 및 H2O로 가교시키기 위한 규소-및-산소-함유 층의 선택적이고 추가적인 UV 경화를 위해 사용된다. 시스템 제어기(116)는 시스템(100)에 연결되고, 본원에 기술된 말단 Si-OH 기들을 가교시킴으로써 Si-O-Si 결합들(예를 들어, 공유 결합들)을 갖는 규소-및-산소-함유 층을 형성하는 방법을 수행하기 위해 시스템(100)의 증착 챔버들(108), 열적 경화 챔버들(110), 및 UV 경화 챔버들(112) 각각을 제어한다. 또한, 시스템 제어기(116)는 증착 챔버(108)의 제어기(226)(도 2에 도시됨)와 인터페이싱된다. 설명의 목적을 위해 시스템(100)이 도시되어 있지만, 다른 시스템들이 본 개시에 따라 사용될 수 있다는 것이 고려된다. 다른 구현예들과 결합될 수 있는, 일부 구현예들에서, 증착 및 경화 둘 모두가 증착 챔버들(108)에서 일어나는 것으로 고려된다. 이러한 예들에서, 열적 경화 챔버들(110) 및 UV 경화 챔버들(112)이 생략될 수 있다. 다른 구현예들과 결합될 수 있는, 다른 구현예들에서, 물질의 증착은 증착 챔버(108)에서 일어나며, 물질의 경화는 열적 경화 챔버들(110)에서 일어난다. 이러한 구현예들에서, UV 경화 챔버들(112)은 선택적으로 생략될 수 있다.
[0016] 도 2는 챔버 바디(202)를 포함한 증착 챔버(108)의 개략도이다. 챔버 바디(202)는 기판(201)을 지지하기 위해 기판 지지체(206)가 내부에 배치된 공정 볼륨(204)을 포함한다. 기판 지지체(206)는 가열 부재(210), 및 정전 척, 진공 척, 기판 유지 클램프, 등과 같은 기판 지지체(206)의 상부 표면(207) 상에 기판(201)을 보유하는 메커니즘(미도시됨)을 포함한다. 본원에 기술된 다른 구현예들과 결합될 수 있는, 본원에 기술된 하나 이상의 구현예들에서, 가열 부재(210)는 열교환기에 결합된 유체 채널이다. 본원에 기술된 다른 구현예들과 결합될 수 있는, 본원에 기술된 일부 구현예들에서, 가열 부재(210)는 저항 가열기 부재이다. 기판 지지체(206)는 기판 지지체(206)를 상승된 가공 위치와 개구(212)를 통해 시스템(100)으로 및 시스템(100)으로부터의 기판(201)의 이동을 용이하게 하는 낮은 위치 사이에서 이동시키는 리프트 시스템(미도시됨)에 연결된 스템(208)에 의해 공정 볼륨(204)에 결합되고 공정 볼륨(204)에 이동 가능하게 배치된다. 상승된 가공 위치는 상부 표면(207)과 샤워헤드(214) 간의 공정 거리(228)이다.
[0017] 증착 챔버(108)는 공정 볼륨(204)을 가로질러 공정 가스들을 분배하기 위해 사용되는 샤워헤드(214)에 결합된 라디칼 공급원(216), 규소-함유 전구체 공급원(218), 및 암모니아 또는 아민 기-함유 전구체 공급원(220)을 포함한다. 라디칼 공급원(216)은 라디칼들을 생성시킬 수 있는 임의의 적합한 공급원일 수 있다. 라디칼 공급원(216)은 원격 플라즈마 공급원, 예를 들어, 무선 주파수(RF) 또는 초고 무선 주파수(VHRF) 용량 결합 플라즈마(CCP) 공급원, 유도 결합 플라즈마(ICP) 공급원, 마이크로파 유도(MW) 플라즈마 공급원, 전자 사이클로트론 공명(ECR) 챔버 또는 고밀도 플라즈마(HDP) 챔버일 수 있다. 대안적으로, 라디칼 공급원(216)은 자외선(UV) 공급원 또는 핫 와이어 화학 기상 증착(HW-CVD) 챔버의 필라멘트일 수 있다. 라디칼 공급원(216)은 하나 이상의 가스 유입구들(230)을 포함할 수 있으며, 라디칼 공급원(216)은 라디칼 도관(232)에 의해 샤워헤드(214)에 결합될 수 있다. 하나 이상의 라디칼-형성 가스들은 하나 이상의 가스 유입구들(230)을 통해 라디칼 공급원(216)에 진입할 수 있다. 하나 이상의 공정 가스들은 산소-함유 가스, 질소-함유 가스, 및 수소 함유 가스 중 적어도 하나를 포함한다. 라디칼 공급원(216)에서 생성된 라디칼들은 라디칼 도관(232)을 통해 샤워헤드(214)로 이동한다. 라디칼 공급원(216), 암모니아 또는 아민 기-함유 전구체 공급원(220), 및 규소-함유 전구체 공급원(218)이 별도의 도관들을 통해 샤워헤드(214)에 결합된 것으로 예시되어 있지만, 하나 이상의 공통 도관들이 사용될 수 있다는 것이 고려된다. 추가적으로, 본원에 개시된 작업들을 용이하게 하기 위해 라디칼들 및/또는 플라즈마의 인시튜(in situ)(예를 들어, 공정 볼륨(204) 내에서) 생성이 고려된다.
[0018] 규소-함유 전구체 공급원(218)은 적어도 하나의 캐리어 가스와 적어도 하나의 규소-함유 전구체의 유체 혼합물을 제공하도록 구성될 수 있다. 캐리어 가스는 아르곤(Ar) 및 헬륨(He) 중 적어도 하나를 포함한다. 규소-함유 전구체는 규소-함유 화합물들, 예를 들어, 유기-실란들, 또는 Si-C 결합들 및/또는 Si-O 결합들 중 하나 이상을 함유하는 것을 포함하는 화합물들을 포함한다. 규소-함유 전구체는 실록산들, 카보-실란들, 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸사이클로테트라실록산(TMCTS), 옥타데실트리클로로실란(OTS), 헥사메틸디실록산(HMDSO), 테트라메틸디실록산(TMDSO), 테트라에톡시실란(TEOS), 비스(트리메틸실릴)메탄(BTMSM), 메틸렌비스(디메틸실란)(C5H16Si2), 메틸렌비스(메틸실란)(C3H12Si2), 메틸렌디실란(CH8Si2), 실란(SiH4), 디실란(Si2H6), 트리실릴아민((H3Si)3N), 또는 이들의 임의의 조합물 중 하나 이상을 포함할 수 있다. 말단 Si-OH 기들을 갖는 규소-및-산소-함유 층은 알킬실록산 사슬들을 중합하기 위해 공정 볼륨(204)에서 라디칼들을 사용함으로써 기판(201) 상에 증착된다. 일부 예들에서, 알킬실록산 사슬들은 트리메틸실릴 기 및/또는 하이드록실 기로 종결되거나 이와 캡핑된 폴리디메틸실록산일 수 있거나 이를 포함할 수 있다. 하나 이상의 예들에서, 알킬실록산 사슬들은 [-OSi(CH3)x-]y의 화학식(여기서, X는 1, 2, 또는 3, 예를 들어, 2이며, Y는 2 내지 약 100, 약 200, 또는 약 500, 예를 들어, 2 내지 약 20의 정수임)을 가질 수 있다. 알킬실록산 사슬들은 선형 또는 분지형일 수 있고, 트리메틸실릴 기 및/또는 하이드록실 기로 종결되거나 이와 캡핑될 수 있다. 본원에 기술된 다른 구현예들과 결합될 수 있는 하나 이상의 구현예들에서, 규소-함유 전구체의 알킬실록산 사슬들이 중합된다. 본원에 기술된 다른 구현예들과 결합될 수 있는 다른 구현예들에서, 알킬실록산 사슬들은 규소-함유 전구체 및 산소 라디칼들로부터 형성된다. 불활성 가스 공급원(222)은, 챔버 바디(202)에 결합된다. 불활성 가스 공급원(222)은 공정 볼륨(204)을 가압하고, 전구체 가스 흐름을 용이하게 하고, 공정 볼륨(204)을 퍼징하는 것 등을 위해, 공정 볼륨(204)에 불활성 가스를 제공하도록 작동 가능하다. 불활성 가스 공급원(222)이 규소-함유 전구체 공급원(218), 라디칼 공급원(216), 및/또는 암모니아 또는 아민 기-함유 전구체 공급원(220) 중 하나 이상과 공통 유입구를 공유할 수 있다는 것이 고려된다. 펌프(224)는 공정 볼륨(204) 내의 압력을 제어하기 위해 챔버 바디(202)에 결합된다.
[0019] 암모니아 또는 아민 기-함유 전구체 공급원(220)은 암모니아 또는 아민 기-함유 전구체를 포함한다. 암모니아 또는 아민 기-함유 전구체의 암모니아 또는 아민 기는 말단 Si-OH 기들과 상호작용하는 고립쌍을 갖는 암모니아(NH3) 및 아민들 중 적어도 하나를 포함할 수 있다. 암모니아 또는 아민 기-함유 전구체는 NH3, 메틸아민(CH5N), 디메틸아민(C2H7N), 트리메틸아민(C3H9N), 에틸아민(C2H7N), 디에틸아민((CH2CH2)2NH), 트리에틸아민(N(CH2CH3)3), 또는 이들의 임의의 조합물 중 하나 이상일 수 있거나, 이를 포함할 수 있다. 말단 Si-OH 기들을 갖는 규소-및-산소-함유 층이 증착된 후에, 암모니아 또는 아민 기-함유 전구체는 샤워헤드(214)에 제공되고, 기판(201)을 암모니아 또는 아민 기-함유 전구체에 노출시키기 위해 공정 볼륨(204)을 가로질러 분배된다. 공정 볼륨(204)에서 기판 지지체(206)는 암모니아 또는 아민 기-함유 전구체가 공정 볼륨(204)에 걸쳐 분배될 때 가열 부재(210)에 의해 약 10℃ 내지 약 1000℃의 온도에서 유지된다. 예를 들어, 기판 지지체(206)는 약 100℃ 내지 약 750℃, 예를 들어, 약 100℃ 내지 약 500℃, 약 150℃ 내지 약 500℃, 또는 약 100℃ 내지 약 150℃의 온도에서 유지된다. 도 3a에 도시된 바와 같이, 암모니아 또는 아민 기-함유 전구체의 암모니아 또는 아민 기, 예를 들어, NH3은 Si-OH의 하이드록실 기들을 끌어 당기고 인접한 Si-OH 기들을 갖는 Si-O-Si 결합들을 형성하여 부산물로서 H2O 증기를 생성하는 하나 이상의 전기음성 고립쌍을 갖는다. 제어기(226)는 본원에 기술된 말단 Si-OH 기들을 가교함으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법을 수행하기 위해 증착 챔버(108)에 결합되고 이를 제어한다.
[0020] 도 4는 말단 Si-OH 기들을 가교함으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법(400)의 흐름도이다. 쉽게 설명하기 위해, 도 4는 도 1, 2, 5a 및 5b를 참조하여 기술될 것이다. 그러나, 방법(400)과 함께, 도 1의 시스템(100) 이외의 시스템 및 도 1 및 2의 증착 챔버(108) 이외의 증착 챔버가 이용될 수 있다는 것이 주지되어야 한다. 도 5a 및 도 5b는 방법(400)의 작업들 동안 기판(201)의 개략도이다.
[0021] 작업(401)에서, 규소-및-산소-함유 층(502)은 하나 이상의 피쳐들(504)을 충전하기 위해 기판(201)의 하나 이상의 피쳐들(504)(3개의 고종횡비 트렌치들로서 나타냄) 위에 증착된다. 작업 동안에, 기판(201)은 증착 챔버(108)에 위치되고, 기판 지지체(206)의 상부 표면(207) 상에 정위된다. 작업(401) 동안에, 상부 표면(207)은 샤워헤드(214)로부터 공정 거리(228)에 정위된다. 작업(401) 동안 공정 거리(228)는 약 0.5 인치 내지 약 5 인치, 예를 들어, 약 2.0 인치 내지 약 3.0 인치이다. 그러나, 다른 거리들이 또한 고려된다.
[0022] 산소-함유 가스, 예를 들어, 산소 가스(O2)는 하나 이상의 가스 유입구들(230)을 통해 가스 공급원(미도시됨)으로부터 라디칼 공급원(216)에 제공된다. 라디칼 공급원(216)에서 발생된 산소 라디칼들은 샤워헤드(214)로 이동하고, 공정 볼륨(204)에 도입된다. 하나 이상의 구현예들에서, 라디칼 공급원(216)은 플라즈마 공급원, 예를 들어, 유도 결합 플라즈마(ICP) 또는 용량 결합 플라즈마(CCP)를 포함할 수 있다. 산소 라디칼들은 공정 볼륨(204)에 약 100 sccm 내지 약 5000 sccm의 유량으로 제공된다. 규소-함유 전구체 공급원(218)은 샤워헤드(214)에 캐리어 가스와 규소-함유 전구체의 유체 혼합물을 도입하며, 유체 혼합물은 공정 볼륨(204)에 도입된다. 본원에 기술된 다른 구현예들과 결합될 수 있는, 본원에 기술된 하나 이상의 구현예들에서, 유체 혼합물은 OMCTS, 및 Ar 및 He 중 하나 이상을 포함한다. 아르곤은 공정 볼륨(204)에 약 0.2 SLM 내지 약 5 SLM, 예를 들어, 약 0.6 SLM 내지 약 1.5 SLM의 유량으로 제공된다. OMCTS는 공정 볼륨(204)에 분 당 약 0.3 그램(g/분) 내지 약 5 g/분, 예를 들어, 약 1 g/분 내지 약 2 g/분의 유량으로 제공된다. 말단 Si-OH 기들을 갖는 규소-및-산소-함유 층은 알킬실록산 사슬들을 중합하기 위해 공정 볼륨(204)에서의 라디칼들을 사용함으로써 기판(201) 상에 증착된다.
[0023] 작업(402)에서, 열적 촉매 경화 공정이 수행된다. 스템(208)은 이미 상승된 가공 위치에 있지 않는 경우에, 기판 지지체(206)를 상승된 가공 위치로 이동시킨다. 상승된 가공 위치는 상부 표면(207)과 샤워헤드(214) 사이의 공정 거리(228)를 규정한다. 작업(402) 동안 공정 거리(228)는 약 0.25 인치 내지 약 5 인치, 예를 들어, 약 2 인치 내지 약 3 인치이다. 다른 공정 거리들(228)이 또한 고려된다. 암모니아 또는 아민 기-함유 전구체 공급원(220)은 암모니아 및/또는 하나 이상의 아민 기-함유 전구체를 샤워헤드(214)에 도입하며, 유체 혼합물은 공정 볼륨(204)에 도입된다. 본원에 기술된 다른 구현예들과 결합될 수 있는, 본원에 기술된 하나 이상의 구현예들에서, 암모니아는 공정 볼륨(204)에 약 0.1 SLM 내지 약 5 SLM, 예를 들어, 0.9 SLM의 유량으로 제공되거나, 달리 도입된다. 공정 볼륨(204)은 약 0.5 Torr 내지 50 Torr, 예를 들어, 약 1 Torr 내지 20 Torr의 압력에서 유지된다. 불활성 가스 공급원(222)은 공정 볼륨(204)의 가압을 위해 불활성 가스, 예를 들어, Ar을 공정 볼륨(204)에 제공한다. 불활성 가스는 약 1 SLM 내지 약 10 SLM, 예를 들어, 약 1 SLM 내지 약 2 SLM의 유량으로 제공된다. 기판(201)은 약 1초 내지 약 100분, 예를 들어, 약 1초 내지 약 60분, 예를 들어, 약 3초 내지 약 60분의 노출 시간 동안 공정 볼륨(204)에 걸쳐 암모니아 또는 아민 기-함유 전구체가 분배될 때 가열 부재(210)에 의해 약 10℃ 내지 약 150℃, 예를 들어, 약 80℃의 온도에서 유지된다. 규소-및-산소-함유 층(502)은 공정 볼륨(204)에 걸쳐 분배된 암모니아 또는 아민 기-함유 전구체에 노출된다. 암모니아 또는 아민 기-함유 전구체는 Si-O-Si 결합들(예를 들어, 공유 결합들)을 형성하기 위해 말단 Si-OH 기들을 가교하고, 예를 들어, 촉매화하거나 중합하고, 공정 볼륨으로부터 소모되는 H2O 및 질소 가스를 형성한다.
[0024] 선택적 작업(403)에서, UV 경화 공정이 수행된다. 작업(402) 후에, 스템(208)은 기판 제거를 용이하게 하기 위해 기판 지지체(206)를 낮추며, 기판(201)은 시스템(100)의 제2 로보트 팔(114)에 의해 UV 경화 챔버들(112) 중 하나로 전달된다. UV 경화 챔버들(112)에서, UV 방사선은 규소-및-산소-함유 층(502)으로 투영된다. 하나 이상의 구현예들에서, UV 경화 공정의 기간은 약 30초 내지 약 30분, 예를 들어, 약 5분의 범위일 수 있다. UV 경화 챔버들(112)에서의 압력은 약 1 Torr 내지 약 50 Torr, 예를 들어, 약 20 Torr일 수 있다. 하나 이상의 구현예들에서, UV 방사선 공급원은 약 250 nm 내지 약 450 nm의 파장에서 방출하는 광대역 UV 전구이다. 도 3b에 도시된 바와 같이, UV 방사선은 나머지 말단 Si-OH 기들을 가교하여 공유 Si-O-Si 결합들을 형성하고, H2O 증기를 생성한다.
[0025] 통상적으로, 규소-및-산소-함유 층(502)은 열적 촉매 경화 공정을 수행하지 않고 UV 경화된다. 그러나, 피쳐들(504)이 10 나노미터(nm) 이하의 폭(506) 및 약 2:1 이상, 예를 들어, 약 5:1 이상의 종횡비(깊이(508):폭(506))를 가질 때, UV 경화는 트렌치들의 하부 부분들에서 가교하는 데 효과적이지 않다. 예를 들어, UV 방사선은 일반적으로 고종횡비 피쳐들(504)의 지점(510)에서 말단 Si-OH 기들에 도달하지 않는다. 추가적으로, 본원에 기술된 다른 구현예들과 결합될 수 있는 구현예들에 따르면, 도 5b에 도시된 바와 같이, 하나 이상의 피쳐들(504)은 질화티탄을 함유한 층 위에 배치된 질화규소를 함유한 층을 포함한다. 하나 이상의 예들에서, 질화규소를 함유한 층은 Si3N4일 수 있거나 이를 포함할 수 있고, 원자층 증착(ALD) 공정에 의해 증착되거나, 형성되거나, 달리 생성될 수 있다. 열적 촉매 경화 공정을 수행하지 않고 규소-및-산소-함유 층(502)을 경화시키기 위한 UV 경화 공정의 수행은 UV 경화 공정의 한계로 인해 규소-및-산소-함유 층(502)의 수축 및 질화티탄을 함유한 층으로부터 질화규소를 함유한 층의 박리를 야기시킬 수 있다. UV 경화 공정의 한계는 전자기(EM) 간섭 패턴의 민감성 및 UV 방사선 감쇠로 인한 제한된 침투 깊이를 포함한다.
[0026] 본원에 기술된 방법(400)의 구현예들은 말단 Si-OH 기들을 가교함으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층(502)을 형성한다. 방법(400)에 의해 형성된 규소-및-산소-함유 층(502)은 분 당 8 옹스트롬(Å/분) 미만, 예를 들어, 1 Å/분 미만의 습식 에칭률, 1E-6 MV/cm(A/㎠) 미만, 예를 들어, 1E-9 MV/cm(A/㎠) 미만의 누설 전류 밀도, 및 12% 미만의 수축률, 예를 들어, 8% 미만, 5% 미만, 3% 미만, 1% 미만, 또는 약 0% 수축률을 갖는다. 일부 구현예들에서, 습식 에칭률은 약 0.001 Å/분이다.
[0027] 본원에 기술된 다른 구현예들과 결합될 수 있는 다른 구현예들에서, 말단 Si-OH 기들을 가교함으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층(502)은 플라즈마-강화 화학 기상 증착(PECVD), 고밀도 플라즈마 화학 기상 증착(HPD-CVD), 오존-기반 CVD, 및 열적 CVD 중 하나에 의해 증착된다. 공정들은 실록산들, 카보-실란들, OMCTS, TMCTS, OTS, HMDSO, TMDSO, TEOS, BTMSM, C5H16Si2, C3H12Si2, CH8Si2, SiH4, Si2H6, 트리실릴아민((H3Si)3N), 또는 이들의 임의의 조합물 중 하나 이상을 포함하는 전구체들을 사용한다. 본원에 기술된 다른 구현예들과 결합될 수 있는 다른 구현예들에서, 작업(401) 후에, 스템(208)은 기판 지지체(206)를 낮은 위치로 이동하며, 기판(201)은 시스템(100)의 제2 로보트 팔(114)에 의해 열적 경화 챔버들(110) 중 하나로 이동된다. 열적 경화 챔버들(110)은 챔버 바디(202), 기판 지지체(206), 개구(212), 샤워헤드(214), 불활성 가스 공급원(222), 펌프(224), 및 암모니아 또는 아민 기-함유 전구체 공급원(220)을 포함한다. 작업(402)에서, 기판(201)의 온도는 약 100℃ 내지 약 1000℃이며, 열적 경화 챔버(110)에서의 압력은 약 0.5 Torr 내지 약 600 Torr이며, 암모니아 또는 아민 기-함유 전구체의 유량은 약 0.1 SLM 내지 약 25 SLM, 예를 들어, 약 0.1 SLM 내지 약 10 SLM이며, 공정 거리(228)는 약 0.25 인치 내지 약 5 인치이며, 불활성 가스는 약 1 SLM 내지 약 25 SLM, 예를 들어, 약 2 SLM 내지 약 5 SLM의 유량으로 제공된다.
[0028] 요약하면, 말단 Si-OH 기들을 가교함으로써 공유 Si-O-Si 결합들을 갖는 규소-및-산소-함유 층을 형성하는 방법이 제공된다. 열적 촉매 경화의 사용은 UV 경화가 비효과적인 종횡비들에서 말단 Si-OH 기들을 Si-O-Si로 가교할 수 있고, H2O 및 질소를 방출할 수 있다. 열적 촉매 경화의 사용은 수축률 감소, 8 Å/분 미만의 습식 에칭률 및 1E-6 MV/cm(A/㎠) 미만의 누설 전류 밀도를 가능하게 한다.
[0029] 전술된 내용이 본 발명의 예들에 관한 것이지만, 본 발명의 기본적인 범위를 벗어나지 않고, 본 발명의 다른 그리고 추가적인 구현들이 안출될 수 있으며, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 챔버에 기판을 정위하며, 상기 기판은
    10 나노미터(nm) 이하의 폭; 및 2:1 이상의 종횡비를 포함하고 종횡비는 상기 하나 이상의 트렌치들의 깊이 대 폭의 비로 정의되는 하나 이상의 트렌치들; 및
    상기 하나 이상의 트렌치들에 배치된, 말단 실라놀 기들을 갖는 규소-및-산소-함유 층을 갖는 단계;
    상기 기판을 가열하는 단계; 및
    상기 규소-및-산소-함유 층을 공정 볼륨(process volume)에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 기판을 정위하는 것은
    상기 기판을 상기 챔버의 기판 지지체로 이동시키고;
    상기 기판 지지체를 상기 챔버의 상기 기판 지지체와 샤워헤드 사이에 약 0.25 인치 내지 약 5 인치의 공정 거리까지의 상승된 가공 위치로 상승시키는 것을 포함하는, 방법.
  3. 제1항에 있어서, 상기 챔버에서의 압력이 약 0.5 Torr 내지 약 600 Torr이며, 상기 암모니아 또는 아민 기-함유 전구체가 상기 공정 볼륨에 약 0.1 SLM 내지 약 25 SLM의 유량으로 도입되며, 불활성 가스가 상기 공정 볼륨에 약 1 SLM 내지 약 10 SLM의 유량으로 도입되며, 상기 암모니아 또는 아민 기-함유 전구체가 암모니아를 포함하는, 방법.
  4. 제1항에 있어서, 상기 기판을 자외선(UV) 경화 챔버로 이동시키고, 상기 규소-및-산소-함유 층을 상기 암모니아 또는 아민 기-함유 전구체에 노출한 후에 상기 규소-및-산소-함유 층을 UV 경화시키는 것을 추가로 포함하는, 방법.
  5. 기판을 챔버에서 기판 지지체 상에 정위하며, 상기 기판은
    10 나노미터(nm) 이하의 폭; 및 2:1 이상의 종횡비를 포함하는 하나 이상의 트렌치들을 가지며, 상기 종횡비는 상기 하나 이상의 트렌치들의 깊이 대 폭의 비에 의해 정의되는 단계;
    규소-및-산소-함유 층을 상기 하나 이상의 트렌치들에 증착하며, 상기 규소-및-산소-함유 층은 말단 실라놀 기들을 갖는 단계;
    상기 기판을 약 100℃ 내지 약 1000℃의 온도까지 가열하는 단계; 및
    상기 규소-및-산소-함유 층을 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 단계를 포함하는, 방법.
  6. 제5항에 있어서, 상기 규소-및-산소-함유 층을 증착하는 것은
    산소 라디칼들 및 규소-함유 전구체를 상기 챔버의 상기 공정 볼륨에 도입하는 것을 포함하며,
    상기 산소 라디칼들은 상기 규소-함유 전구체와 중합하여 상기 말단 실라놀 기들을 갖는 상기 하나 이상의 트렌치들에 상기 규소-및-산소-함유 층을 증착하는, 방법.
  7. 제6항에 있어서,
    상기 산소 라디칼들 및 상기 규소-함유 전구체를 도입하기 전에, 상기 기판 지지체를 상기 챔버의 상기 기판 지지체와 샤워헤드 사이에서 약 0.5 인치 내지 약 5 인치의 제1 공정 거리로 상승시키고;
    상기 규소-및-산소-함유 층을 암모니아 또는 아민 기-함유 전구체에 노출시키기 전에, 상기 기판 지지체를 상기 기판 지지체와 상기 샤워헤드 사이에서 약 0.25 인치 내지 약 5 인치의 제2 공정 거리로 상승시키는 것을 추가로 포함하는, 방법.
  8. 제7항에 있어서, 상기 규소-함유 전구체가 실록산들, 카보-실란들, 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸사이클로테트라실록산(TMCTS), 옥타데실트리클로로실란(OTS), 헥사메틸디실록산(HMDSO), 테트라메틸디실록산(TMDSO), 테트라에톡시실란(TEOS), 비스(트리메틸실릴)메탄(BTMSM), 메틸렌비스(디메틸실란), 메틸렌비스(메틸실란), 메틸렌디실란, 실란, 디실란, 트리실릴아민, 또는 이들의 임의의 조합물 중 하나 이상을 포함하는, 방법.
  9. 제5항에 있어서, 상기 규소-및-산소-함유 층을 상기 암모니아 또는 아민 기-함유 전구체에 노출시키는 동안 상기 챔버에서의 압력이 약 0.5 Torr 내지 약 50 Torr이며, 상기 암모니아 또는 아민 기-함유 전구체가 상기 공정 볼륨에 약 0.1 SLM 내지 약 25 SLM의 유량으로 도입되며, 불활성 가스가 상기 공정 불륨에 약 1 SLM 내지 약 10 SLM의 유량으로 제공되는, 방법.
  10. 제5항에 있어서, 상기 암모니아 또는 아민 기-함유 전구체가 암모니아, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 또는 이들의 임의의 조합물 중 하나 이상을 포함하는, 방법.
  11. 제5항에 있어서, 상기 하나 이상의 트렌치들이 그 안에 배치된 질화규소 층 및 티탄 층을 포함하며, 상기 규소-및-산소-함유 층이 상기 질화규소 층 및 상기 티탄 층 위에 형성되는, 방법.
  12. 제5항에 있어서, 상기 기판을 자외선(UV) 경화 챔버로 이동시키고, 상기 규소-및-산소-함유 층을 상기 암모니아 또는 아민 기-함유 전구체에 노출 후에 상기 규소-및-산소-함유 층을 UV 경화시키는 것을 추가로 포함하는, 방법.
  13. 제1 챔버에 기판을 정위하며, 상기 기판은
    10 나노미터(nm) 이하의 폭; 및 5:1 이상의 종횡비를 포함하는 하나 이상의 트렌치들을 가지며, 상기 종횡비는 상기 하나 이상의 트렌치들의 높이 대 폭의 비에 의해 정의되는 단계;
    규소-및-산소-함유 층을 증착하며, 상기 규소-및-산소-함유 층을 증착하는 것은 산소 라디칼들 및 규소-함유 전구체를 상기 제1 챔버의 공정 볼륨에 도입하는 것을 포함하며, 상기 산소 라디칼들은 상기 규소-함유 전구체와 중합하여 말단 실라놀 기들을 갖는 상기 하나 이상의 트렌치들 위에 상기 규소-및-산소-함유 층을 증착하는 단계;
    상기 제1 챔버에서 상기 기판을 제거하고, 제2 챔버에 상기 기판을 정위하는 단계;
    상기 기판을 약 100℃ 내지 약 1000℃의 온도까지 가열하는 단계; 및
    상기 규소-및-산소-함유 층을 공정 볼륨에 걸쳐 분포된 암모니아 또는 아민 기-함유 전구체에 노출시키는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 암모니아 또는 아민 기-함유 전구체가 암모니아, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 또는 이들의 임의의 조합물 중 하나 이상을 포함하는, 방법.
  15. 제13항에 있어서, 상기 기판을 자외선(UV) 경화 챔버로 이동시키고, 상기 규소-및-산소-함유 층을 상기 암모니아 또는 아민 기-함유 전구체에 노출 후에 상기 규소-및-산소-함유 층을 UV 경화시키는 것을 추가로 포함하는, 방법.
KR1020217020630A 2018-12-04 2019-11-22 Si-하이드록실 결합들을 가교시키기 위한 경화 방법들 KR20210088729A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862775008P 2018-12-04 2018-12-04
US62/775,008 2018-12-04
US201962796899P 2019-01-25 2019-01-25
US62/796,899 2019-01-25
PCT/US2019/062833 WO2020117496A1 (en) 2018-12-04 2019-11-22 Cure methods for cross-linking si-hydroxyl bonds

Publications (1)

Publication Number Publication Date
KR20210088729A true KR20210088729A (ko) 2021-07-14

Family

ID=70849615

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020630A KR20210088729A (ko) 2018-12-04 2019-11-22 Si-하이드록실 결합들을 가교시키기 위한 경화 방법들

Country Status (7)

Country Link
US (1) US11090683B2 (ko)
JP (1) JP2022511822A (ko)
KR (1) KR20210088729A (ko)
CN (1) CN113169039A (ko)
SG (1) SG11202105182QA (ko)
TW (1) TW202028516A (ko)
WO (1) WO2020117496A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023282634A1 (ko) 2021-07-06 2023-01-12 주식회사 엘지에너지솔루션 전지셀 및 이를 포함하는 전지 모듈

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4124560A1 (de) * 1991-07-24 1993-01-28 Wacker Chemie Gmbh Beschichtungsmittel zur herstellung von wasserdichten, dampfdurchlaessigen und flammverzoegernden beschichtungen
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7015061B2 (en) * 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
KR20090002973A (ko) 2007-07-05 2009-01-09 주식회사 아이피에스 절연막 형성방법, 이 방법으로 형성된 절연막 및 이 방법을이용한 반도체 소자의 갭-필 방법
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100273382A1 (en) * 2009-04-28 2010-10-28 Malay Nandi Acoustic and fire retardant foam coating composition for fibrous mat
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10395919B2 (en) * 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023282634A1 (ko) 2021-07-06 2023-01-12 주식회사 엘지에너지솔루션 전지셀 및 이를 포함하는 전지 모듈

Also Published As

Publication number Publication date
US20200171536A1 (en) 2020-06-04
JP2022511822A (ja) 2022-02-01
TW202028516A (zh) 2020-08-01
US11090683B2 (en) 2021-08-17
CN113169039A (zh) 2021-07-23
WO2020117496A1 (en) 2020-06-11
SG11202105182QA (en) 2021-06-29

Similar Documents

Publication Publication Date Title
KR102317858B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR102427218B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
KR101758944B1 (ko) 신규한 갭 충진 집적화
KR102438577B1 (ko) 고 품질 fcvd 막들을 위한 진보된 프로세스 플로우
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US7629227B1 (en) CVD flowable gap fill
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US20120149213A1 (en) Bottom up fill in high aspect ratio trenches
KR102456510B1 (ko) 증착 조절에 의한 fcvd 라인 벤딩 해소
US20190214228A1 (en) Radical assisted cure of dielectric films
US20150167160A1 (en) Enabling radical-based deposition of dielectric films
US20160017487A1 (en) Integrated pre-clean and deposition of low-damage layers
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
US11090683B2 (en) Cure method for cross-linking Si-hydroxyl bonds
WO2023167031A1 (ja) 絶縁膜の形成方法および基板処理システム
CN116137931A (zh) 减少半导体设备中的层内电容

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal