TW202028516A - 交聯矽-羥基鍵之固化方法 - Google Patents

交聯矽-羥基鍵之固化方法 Download PDF

Info

Publication number
TW202028516A
TW202028516A TW108144229A TW108144229A TW202028516A TW 202028516 A TW202028516 A TW 202028516A TW 108144229 A TW108144229 A TW 108144229A TW 108144229 A TW108144229 A TW 108144229A TW 202028516 A TW202028516 A TW 202028516A
Authority
TW
Taiwan
Prior art keywords
silicon
oxygen
substrate
layer
precursor
Prior art date
Application number
TW108144229A
Other languages
English (en)
Inventor
馬丁傑 西蒙斯
安秉國
璟梅 梁
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202028516A publication Critical patent/TW202028516A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文描述的實施例提供一種透過交聯末端矽烷醇基團而形成具有共價Si-O-Si鍵的含矽和氧層的方法。該方法包括將基板定位在腔室中。該基板具有一或多個溝槽,該等溝槽包括10奈米(nm)或更小的寬度及2:1或更大的深寬比。該深寬比是由該一或多個溝槽的深度對寬度之比界定。含矽和氧層配置在該一或多個溝槽上。該含矽和氧層具有末端矽烷醇基團。將該基板加熱,並且將該含矽和氧層暴露於遍及處理空間分佈的含氨或胺基的前驅物。

Description

交聯矽-羥基鍵之固化方法
本案揭示內容的實施例大致上關於製造半導體元件。更特定而言,本案揭示內容的實施例關於形成含矽和氧層的方法。
在半導體製造中,可以形成各種特徵。這樣的特徵包括具有高深寬比(aspect ratio)的溝槽。對於許多半導體元件製造製程而言,需要藉由在溝槽中沉積含矽和氧層以填充溝槽。可透過可流動化學氣相沉積(FCVD)、電漿強化化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(HDP-CVD)、基於臭氧的CVD、熱CVD、或其他製程的其中一種製程沉積該層。沉積的含矽和氧層包括末端矽烷醇(Si-OH)基團,造成高濕蝕刻速率和高漏電流。在習知上,為了降低濕蝕刻速率和漏電流,將含矽和氧層暴露於UV輻射,以透過使末端Si-OH基團交聯而形成共價Si-O-Si鍵。然而,UV輻射難以到達高深寬比溝槽的底部附近的末端Si-OH基團。因此,需要一種形成具有共價Si-O-Si鍵的含矽和氧層的方法,且該方法能夠提供高深寬比下的末端Si-OH基團的交聯。
在一或多個實施例中,提供了一種方法,該方法包括將基板定位在腔室中,其中該基板具有一或多個溝槽,該等溝槽的寬度為10奈米(nm)或更小,深寬比為2:1或更大。該深寬比是由該一或多個溝槽的深度對寬度之比界定。含矽和氧層配置在該一或多個溝槽中,並且該含矽和氧層具有末端矽烷醇(Si-OH)基團。該方法也包括,將該基板加熱至約100°C至約1000°C的溫度,並且將該含矽和氧層暴露於遍及該腔室之處理空間分佈的含氨或胺基的前驅物。
在其他實施例中,提供一種方法。該方法包括將基板定位在腔室中。該基板具有一或多個溝槽,該等溝槽的寬度為10奈米(nm)或更小,深寬比為2:1或更大。該深寬比是由該一或多個溝槽的深度對寬度之比界定。該方法進一步包括,在該一或多個溝槽上沉積含矽和氧層,將該基板加熱到約10°C至約150°C的溫度,並且將該含矽和氧層暴露於遍及該腔室之處理空間分佈的含氨或胺基的前驅物。該含矽和氧層具有末端矽烷醇(Si-OH)基團。
在一些實施例中,提供一種方法。該方法包括將基板定位在第一腔室中。該基板具有一或多個溝槽,該等溝槽的寬度為10奈米(nm)或更小,深寬比為5∶1或更大。該深寬比是由該一或多個溝槽的高度對寬度之比界定。沉積含矽和氧。沉積該含矽和氧層包括,提供多個氧自由基和含矽的前驅物至該第一腔室的處理空間。該等氧自由基聚合[O-Si-(CH3)x ]y 鏈,以將含矽和氧層沉積在該一或多個溝槽上而帶有末端矽烷醇(Si-OH)基團。該方法進一步包括,從該第一腔室移除該基板並且將該基板定位在第二腔室中,將該基板加熱到約100°C至約1000°C的溫度,以及將該含矽和氧層暴露於遍及處理空間分佈的含氨或胺基的前驅物。
本文所述的實施例提供一種透過交聯末端矽烷醇(Si-OH)基團而形成具有共價Si-O-Si鍵的含矽和氧層的方法。該方法包括,將基板定位在腔室中。該基板具有一或多個溝槽,該等溝槽的寬度為10奈米(nm)或更小,深寬比為2:1或更大。該深寬比是由該一或多個溝槽的深度對寬度之比界定。將含矽和氧層配置在該一或多個溝槽中。該含矽和氧層具有末端矽烷醇(Si-OH)基團。加熱該基板,並且將該含矽和氧層暴露於遍及處理空間分佈的含氨或胺基的前驅物。
圖1是系統100的示意圖,該系統100用於本文所述的透過交聯末端Si-OH基團形成具有共價Si-O-Si鍵的含矽和氧層的方法。如圖1所示,一對前開式晶圓盒(FOUP)102供應基板,該等基板由機械臂104所接收,且放置於保持區域106中,然後再將該等基板放置到沉積腔室108、熱固化腔室110、及紫外線(UV)固化腔室112之其中一者中。第二機械臂114可用於將基板從保持區域106傳輸到沉積腔室108、熱固化腔室110和UV固化腔室112,及在上述腔室之間傳輸。利用沉積腔室108將具有末端Si-OH基團的含矽和氧層沉積在基板的一或多個特徵上,以填充該一或多個特徵。
根據本文描述的實施例(該實施例能夠與本文描述的其他實施例結合),沉積腔室108也用於熱催化固化該含矽和氧層,以交聯(例如,催化或聚合)末端Si-OH基團而成為Si-O-Si和H2 O。熱固化腔室110可另外用於熱催化固化含矽和氧層。 UV固化腔室112用於含矽和氧層的視情況任選的、額外的UV固化,以使末端的Si-OH基團交聯,而成為Si-O-Si和H2 O。系統控制器116耦接系統100,並且控制系統100的沉積腔室108、熱固化腔室110、和UV固化腔室112之各者,以執行本文所述的透過交聯末端Si-OH基團而形成具有Si-O-Si鍵(例如,共價鍵)的含矽和氧層的方法。再者,系統控制器116與沉積腔室108的控制器226(圖2所示)界面相接。雖然為了說明而顯示系統100,但考量可根據本案揭示內容利用其他系統。考量在可與其他實施例結合的一些實施例中,沉積和固化都發生在沉積腔室108中。在這樣的範例中,可以省略熱固化腔室110和UV固化腔室112。在其他實施例中(可與其他實施例結合),材料的沉積是發生在沉積腔室108中,而材料的固化發生在熱固化腔室110中。在這樣的實施例中,可以視情況任選地省略UV固化腔室112。
圖2是含有腔室主體202的沉積腔室108的示意圖。腔室主體202包括處理空間204,該處理空間204中配置有基板支撐件206以支撐基板201。該基板支撐件206包括加熱元件以及機構(圖中未示),該機構將基板201保持在基板支撐件206的頂表面207上,該機構諸如靜電吸盤、真空吸盤、基板保持夾具、或類似物。在本文描述的一或多個實施例中(該實施例能夠與本文描述的其他實施例結合),加熱元件210是流體通道,該流體通道耦接熱交換器。在本文描述的一些實施例中(該等實施例能夠與本文描述的其他實施例結合),該加熱元件210是電阻式加熱器元件。基板支撐件206耦接心軸208並且藉由心軸208而可移動地配置在處理空間204中,該心軸208連接升舉系統(圖中未示),該升舉系統使基板支撐件206在升高的處理位置和降低的位置之間移動,該降低的位置有助於基板201透過開口212傳送進入及離開系統100。升高的處理位置是頂表面207和噴頭214之間的處理距離228。
沉積腔室108包括自由基源216、含矽前驅物源218、和含氨或胺基的前驅物源220,上述源耦接噴頭214,噴頭214用於將處理氣體分佈遍及處理空間204。自由基源216可以是能夠生成自由基的任何合適的源。自由基源216可以是遠端電漿源,例如射頻(RF)或超高射頻(VHRF)電容耦合電漿(CCP)源、電感耦合電漿(ICP)源、微波感應(MW)電漿源、電子迴旋共振(ECR)腔室、或高密度電漿(HDP)腔室。作為替代方案,自由基源216可以是紫外線(UV)源或熱絲(hot wire)化學氣相沉積(HW-CVD)腔室的燈絲。自由基源216可包括一或多個氣體入口230,並且自由基源216可藉由自由基導管232耦接噴頭214。一或多種形成自由基的氣體可經由一或多個氣體入口230進入自由基源216。該一或多種處理氣體包括下述之至少一者:含氧氣體、含氮氣體、和含氫氣體。在自由基源216中生成的自由基透過自由基導管232行進至噴頭214中。雖然自由基源216、含氨或胺基的前驅物源220、和含矽前驅物源218繪示成透過分別的導管耦接噴頭214,但也考量可利用一或多個共用導管。另外,考量原位(例如,在處理空間204內)生成自由基及/或電漿,以助於本文揭示的操作。
含矽前驅物源218可以設置成提供至少一種載氣和至少一種含矽前驅物的流體混合物。該載氣包括氬氣(Ar)和氦氣(He)中的至少一種。該含矽前驅物包括含矽化合物,諸如有機矽烷,或是包括含有Si-C鍵和/或Si-O鍵之一或多者的化合物。該含矽前驅物可包括以下前驅物中的一或多者:矽氧烷、碳矽烷、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、十八烷基三氯矽烷(OTS)、六甲基二矽氧烷(HMDSO)、四甲基二矽氧烷(TMDSO)、四乙氧基矽烷(TEOS)、雙(三甲基矽烷基)甲烷(BTMSM)、亞甲基雙(二甲基矽烷)(C5 H16 Si2 )、亞甲基雙(甲基矽烷)(C3 H12 Si2 )、亞甲基二矽烷(CH8 Si2 )、甲矽烷(SiH4 )、乙矽烷(Si2 H6 )、三甲矽烷胺((H3 Si)3 N)或上述前驅物之任何組合。透過使用處理空間204中的自由基使烷基矽氧烷鏈聚合,而將具有末端Si-OH基團的含矽和氧層沉積在基板201上。在一些實例中,烷基矽氧烷鏈能夠是或包括以三甲基矽烷基及/或羥基所封端或覆蓋的聚二甲基矽氧烷。在一或多個範例中,烷基矽氧烷鏈能夠具有下述化學式:[-OSi(CH3 )x -]y ,其中X為1、2或3,例如2,且Y為從2至約100、約200、或約500的整數,例如,從2至約20。烷基矽氧烷鏈可以是直鏈狀或分支狀的,並且能夠以三甲基甲矽烷基及/或羥基封端或覆蓋。在能夠與本文所述的其他實施例結合的一或多個實施例中,使含矽前驅物的烷基矽氧烷鏈聚合。在其他實施例中(能夠與本文所述的其他實施例結合),烷基矽氧烷鏈是由含矽的前驅物和氧自由基形成。惰性氣體源222耦接腔室主體202。惰性氣體源222可操作以提供惰性氣體至處理空間204,以加壓處理空間204、以助於前驅氣體流動、以淨化處理空間204、以及類似作用。考量惰性氣體源222可與含矽前驅物源218、自由基源216、及/或含氨或胺基的前驅物源220中的一或多者共享共用的輸入。泵224耦接腔室主體202,以控制處理空間204內的壓力。
含氨或胺基的前驅物源220包括含氨或胺基的前驅物。含氨或胺基的前驅物的氨或胺基能夠包括具有孤對電子以與末端Si-OH基團交互作用的胺類和氨(NH3 )的至少一者。含氨或胺基的前驅物可以是或包括下述前驅物之一種或多種:NH3 、甲胺(CH5 N)、二甲胺(C2 H7 N)、三甲胺(C3 H9 N)、乙胺(C2 H7 N)、二乙胺((CH3 CH2 )2 NH)、三乙胺(N(CH2 CH3 )3 )或上述前驅物之任何組合。在沉積具有末端Si-OH基團的含矽和氧層之後,將含氨或胺基的前驅物提供至噴頭214並分佈遍及處理空間204,而將基板201暴露於含氨或胺基的前驅物。當含氨或胺基的前驅物分佈遍及處理空間204中時,藉由加熱元件210將處理空間204中的基板支撐件206維持在約10°C至約1000°C的溫度下。例如,基板支撐件206維持在約100°C至約750°C的溫度,諸如約100°C至約500°C、約150°C至約500°C、或約100°C至約150°C。如圖3A所示,含氨或胺基的前驅物的氨或胺基(例如NH3 )具有一或多個電負性的孤對電子,會吸引Si-OH的羥基並且與相鄰的Si-OH基團形成Si-O-Si,而產生副產物H2 O蒸氣。控制器226耦接沉積腔室108並且控制沉積腔室108,以執行本文所述的透過交聯末端Si-OH基團而形成具有共價Si-O-Si鍵的含矽和氧層的方法。
圖4是透過交聯末端Si-OH基團形成具有共價Si-O-Si鍵的含矽和氧層的方法400的流程圖。為了助於解釋,將參考圖1、2、5A和5B描述圖4。然而,應注意,可以與方法400一併使用除了圖1的系統100以外的系統以及除圖1及圖2的沉積腔室108以外的沉積腔室。圖5A和5B是在方法400的操作期間的基板201的示意圖。
在操作401中,將含矽和氧層502沉積在基板201的一或多個特徵504(圖中顯示三個高深寬比溝槽)上,以填充該一或多個特徵504。基板201位於沉積腔室108中並且定位在基板支撐件206的頂表面207上。在操作401期間,頂表面207定位離噴頭214一處理距離228。在操作401期間的處理距離228是約0.5英吋至約5英吋,例如約2.0英吋至約3.0英吋。但是,也考量其他距離。
含氧氣體(諸如氧氣(O2 ))從氣體供應源(圖中未示)經由一或多個氣體入口230提供至自由基源216。在自由基源216中生成的氧自由基行進噴頭214中且引入處理空間204。在一或多個實施例中,自由基源216可包括電漿源,諸如電感耦合電漿(ICP)或電容耦合電漿(CCP)。氧自由基是以約100sccm至約5000sccm的流速提供至處理空間204。含矽前驅物源218將載氣和含矽前驅物的流體混合物引入噴頭214中,並該流體混合物引入處理空間204中。在本文所述的一或多個實施例中(該等實施例能夠與本文所述的其他實施例結合),流體混合物包括OMCTS且包括Ar和He中的一或多者。以約0.2SLM至約5SLM(諸如約0.6SLM至約1.5SLM)的流速將氬提供給處理空間204。將OMCTS以約0.3克/分(g/min)至約5g/min(例如約1g/min至約2g/min)的流速提供至處理空間204。透過使用處理空間204中的自由基以使烷基矽氧烷鏈聚合,而將具有末端Si-OH基團的含矽和氧層沉積在基板201上。
在操作402,執行熱催化固化製程。心軸208將基板支撐件206移動到升高的處理位置(如果基板尚未處於升高的處理位置)。升高的處理位置界定頂表面207與噴頭214之間的處理距離228。在操作402期間的處理距離228為約0.25英吋至約5英吋,例如約2英吋至約3英吋。也考量其他處理距離228。含氨或胺基的前驅物源220將含氨及/或一種或多種胺基的前驅物引入噴頭214中,並將流體混合物引入處理空間204中。在本文所述的一或多個實施例中(該等實施例能夠與本文所述的其他實施例結合),以約0.1SLM至約5SLM(例如0.9SLM)的流速將氨提供或以其他方式引入處理空間204。將處理空間204保持在約0.5托耳至50托耳的壓力下,例如約1托耳和20托耳。惰性氣體源222將惰性氣體(諸如Ar)提供至處理空間204,以使處理空間204加壓。惰性氣體是以約1SLM至約10SLM,例如約1SLM至約2SLM的流速提供。當含氨或胺基的前驅物分佈遍及處理空間204達約1秒至約100分鐘(例如約1秒至約60分鐘,例如約3秒至約60分鐘)的暴露時間時,藉由加熱元件210將基板201保持在約10°C至約150°C的溫度,例如約80°C。該含矽和氧層502暴露於分佈遍及處理空間204中的含氨或胺基的前驅物。含氨或胺基的前驅物使末端Si-OH基團交聯(例如催化或聚合)而形成Si-O-Si鍵(例如,共價鍵)並且產生H2 O和氮氣,這些氣體從處理空間排放。
在視情況任選的操作403,執行UV固化製程。在操作402之後,心軸208降低基板支撐件206,以助於移除基板,並且基板201由系統100的第二機械臂114移送到多個UV固化腔室112之其中一者。在該等UV固化腔室112中,將UV輻射投射到含矽和氧層502上。在一或多個實施例中,UV固化製程的持續時間可在約30秒至約30分鐘的範圍內,例如約5分鐘。 UV固化腔室112中的壓力可從約1托耳至約50托耳,例如約20托耳。在一或多個實施例中,UV輻射源是寬帶UV燈泡,該燈泡發射約250nm至約450nm的波長。如圖3B所示,UV輻射使剩餘的末端Si-OH基團交聯,以形成共價Si-O-Si鍵並產生H2 O蒸氣。
在習知上,含矽和氧層502在不執行熱催化固化製程的條件下進行UV固化。然而,當特徵504具有10奈米(nm)或更小的寬度506且具有約2∶1或更大(例如約5∶1或更大)的深寬比(深度508∶寬度506)時,在溝槽下部處UV固化是對交聯無效的。舉例而言,UV輻射一般在高深寬比特徵504的點510處無法到達末端Si-OH基團。另外,根據多個實施例(該等實施例能夠與本文所述的其他實施例結合),如圖5B所示,該一或多個特徵504包括配置在含氮化鈦的層上方的含氮化矽的層。在一或多個範例中,含氮化矽的層能夠是或包括Si3 N4 ,並且能夠透過原子層沉積(ALD)製程沉積、形成、或以其他方式產生。執行UV固化製程以固化含矽和氧層502而不執行熱催化固化製程能導致含矽和氧層502的收縮以及含氮化矽的層與含氮化鈦的層的分層,這是由於紫外線固化製程的限制所致。UV固化製程的限制包括對電磁(EM)干涉模式的敏感性以及由於UV輻射衰減而導致的有限的穿透深度。
本文所述的方法400的實施例透過交聯末端Si-OH基團形成具有共價Si-O-Si鍵的含矽和氧層502。透過方法400形成的含矽和氧層502具有小於8埃/分(Å/min)的濕蝕刻速率(例如小於1Å/min)、漏電流密度小於1E-6 MV/cm(A/cm2 )(例如小於1E-9 MV/cm(A/cm2 )),並且收縮率小於12%,諸如小於8%、小於5%、小於3%、小於1%、或約0%的收縮率。在一些實施例中,濕蝕刻速率為約0.001Å/min。
在能夠與本文所述的其他實施例組合的其他實施例中,具有透過交聯末端Si-OH基團而產生的共價Si-O-Si鍵的含矽和氧層502是透過下述方式之其中一者沉積:電漿強化化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(HPD-CVD)、基於臭氧的CVD、和熱CVD。該等製程利用包括矽氧烷、碳矽烷、OMCTS、TMCTS、OTS、HMDSO、TMDSO、TEOS、BTMSM、C5 H16 Si2 、C3 H12 Si2 、CH8 Si2 、SiH4 、Si2 H6 、三甲矽烷胺((H3 Si)3 N)中的一或多者的前驅物。在其他實施例中(該等實施例能夠與本文描述的其他實施例結合),在操作401之後,心軸208將基板支撐件206移動到降低的位置,並且基板201由系統100的第二機械臂114移送到多個熱固化腔室110之其中一者。該等熱固化腔室110包括腔室主體202、基板支撐件206、開口212、噴頭214、惰性氣體源222、泵224以及含氨或胺基的前驅物源220。在操作402中,基板201的溫度為約100°C至約1000°C,熱固化腔室110中的壓力為約0.5Torr至約600Torr,含氨或胺基的前驅物的流量為約0.1 SLM至約25 SLM,例如約0.1 SLM至約10 SLM,處理距離228為約0.25英吋至約5英吋,並且惰性氣體是以約1 SLM至約25 SLM的流速提供,例如大約2 SLM至5 SLM。
總結而論,提供了一種透過交聯末端Si-OH基團形成具有共價Si-O-Si鍵的含矽和氧層的方法。利用熱催化固化容許在UV固化無效的高深寬比處將末端的Si-OH基交聯,而成為Si-O-Si,並且釋放H2 O和氮。利用熱催化固化也容許減少收縮、濕蝕刻速率小於8Å/min、及漏電流密度小於1E-6 MV/cm(A/cm2 )。
儘管前述內容針對本案揭示內容的範例,但是在不脫離本案揭示內容的基本範疇的情況下,可以設計本案揭示內容的其他和進一步的範例,並且本案揭示內容的範圍由所附申請專利範圍所決定。
100:系統 104:機械臂 106:保持區域 108:沉積腔室 110:熱固化腔室 112:固化腔室 114:第二機械臂 116:系統控制器 201:基板 202:腔室主體 204:處理空間 206:基板支撐件 207:頂表面 208:心軸 210:加熱元件 212:開口 214:噴頭 216:自由基源 218:含矽前驅物源 220:含氨或胺基的前驅物源 222:惰性氣體源 224:泵 226:控制器 228:處理距離 230:氣體入口 232:自由基導管 400:方法 401-403:操作 502:含矽和氧層 504:特徵 506:寬度 508:深度 510:點
可以透過參考其中一些於附圖中說明的實施例而獲得上文簡要總結的本案揭示內容的更詳細的描述,而能詳細地理解本案揭示內容的上述特徵的方式。然而,應注意,附圖僅說明示範實施例,因此不應被認為是對本案揭示內容的範疇的限制,因為本案揭示內容可以容許其他等效的實施例。
圖1是根據本文描述和討論的一或多個實施例的系統的示意圖。
圖2是根據本文描述和討論的一或多個實施例的沉積腔室的示意圖。
圖3A和3B是根據本文描述和討論的一或多個實施例的熱催化固化製程的示意圖。
圖4是根據本文所述和討論的一或多個實施例的形成含矽和氧層的方法的流程圖。
圖5A和5B是根據本文描述和討論的一或多個實施例的在形成含矽和氧層的方法的操作期間的基板的示意圖。
為有助理解,只要可能則使用相同元件符號表示圖中共通的相同元件。考量一個實施例的元件和特徵可以有益地併入其他實施例中,而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
108:沉積腔室
201:基板
202:腔室主體
204:處理空間
206:基板支撐件
207:頂表面
208:心軸
210:加熱元件
212:開口
214:噴頭
216:自由基源
218:含矽前驅物源
220:含氨或胺基的前驅物源
222:惰性氣體源
224:泵
226:控制器
228:處理距離
230:氣體入口
232:自由基導管

Claims (20)

  1. 一種方法,包括: 在一腔室中定位一基板,該基板具有:一或多個溝槽,包括:10奈米(nm)或更小的一寬度;及2:1或更大的一深寬比,該深寬比是由該一或多個溝槽之一深度對該寬度的比所界定;及一含矽和氧層,配置在該一或多個溝槽中,該含矽和氧層具有多個末端矽烷醇基團;加熱該基板;及將該含矽和氧層暴露至遍及一處理空間分佈的一含氨或胺基的前驅物。
  2. 如請求項1所述之方法,其中定位該基板包括: 將該基板移送到該腔室的一基板支撐件;及將該基板支撐件升高至一升高的處理位置達到一處理距離,該處理距離是介於該基板支撐件與該腔室的一噴頭之間,且為約0.25英吋至約5英吋。
  3. 如請求項1所述之方法,其中該腔室中的一壓力為約0.5托耳至約600托耳。
  4. 如請求項1所述之方法,其中以約0.1SLM至約25SLM的一流速將該含氨或胺基的前驅物引入該處理空間。
  5. 如請求項1所述之方法,其中以約1SLM至約10SLM的一流速將惰性氣體引入該處理空間。
  6. 如請求項1所述之方法,其中該含氨或胺基的前驅物包括氨。
  7. 如請求項1所述之方法,進一步包括:將該基板移送到一紫外線(UV)固化腔室,並且在將該含矽和氧層暴露至該含氨或胺基的前驅物之後,UV固化該含矽和氧層。
  8. 一種方法,包括: 在一腔室中的一基板支撐件上定位一基板,該基板具有:一或多個溝槽,包括:10奈米(nm)或更小的一寬度;及2:1或更大的一深寬比,該深寬比是由該一或多個溝槽之一深度對該寬度的比所界定;及在該一或多個溝槽中沉積一含矽和氧層,該含矽和氧層具有多個末端矽烷醇基團;將該基板加熱至約攝氏100度至約攝氏1000度的一溫度;及將該含矽和氧層暴露至遍及一處理空間分佈的一含氨或胺基的前驅物。
  9. 如請求項8所述之方法,其中沉積該含矽和氧層包括: 將多個氧自由基與一含矽前驅物引入該腔室的該處理空間,該等氧自由基聚合該含矽前驅物,而使該含矽和氧層沉積在該一或多個溝槽中而具有該等末端矽烷醇基團。
  10. 如請求項9所述之方法,進一步包括: 在引入該等氧自由基與該含矽前驅物之前,將該基板支撐件升高一第一處理距離,該第一處理距離介於該基板支撐件與該腔室的一噴頭之間,且為約0.5英吋至約5英吋;及在將該含矽和氧層暴露至該含氨或胺基的前驅物之前,將該基板支撐件升高一第二處理距離,該第二處理距離介於該基板支撐件與該噴頭之間,且為約0.25英吋至約5英吋
  11. 如請求項10所述之方法,其中該含矽前驅物包括下述一或多者:矽氧烷、碳矽烷、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、十八烷基三氯矽烷(OTS)、六甲基二矽氧烷(HMDSO)、四甲基二矽氧烷(TMDSO)、四乙氧基矽烷(TEOS)、雙(三甲基矽烷基)甲烷(BTMSM)、亞甲基雙(二甲基矽烷)(C5 H16 Si2 )、亞甲基雙(甲基矽烷)(C3 H12 Si2 )、亞甲基二矽烷(CH8 Si2 )、甲矽烷(SiH4 )、乙矽烷(Si2 H6 )、三甲矽烷胺((H3 Si)3 N)或上述前驅物之任何組合。
  12. 如請求項8所述之方法,其中在將該含矽和氧層暴露至該含氨或胺基的前驅物期間該腔室中的一壓力為約0.5托耳至約50托耳。
  13. 如請求項8所述之方法,其中以約0.1SLM至約25SLM的一流速將該含氨或胺基的前驅物引入該處理空間。
  14. 如請求項8所述之方法,其中以約1SLM至約10SLM的一流速將惰性氣體提供至該處理空間。
  15. 如請求項8所述之方法,其中該含氨或胺基的前驅物包括下述一或多者:氨、甲胺、二甲胺、三甲胺、乙胺、二乙胺、三乙胺、或上述前驅物之任何組合。
  16. 如請求項8所述之方法,其中該一或多個溝槽包括配置在該等溝槽中的一氮化矽層及一鈦層,且其中該含矽和氧層形成於該氮化矽層與該鈦層上。
  17. 如請求項8所述之方法,進一步包括:將該基板移送至一紫外線(UV)固化腔室,並且在將該含矽和氧層暴露至該含氨或胺基的前驅物之後,UV固化該含矽和氧層。
  18. 一種方法,包括: 在一第一腔室中定位一基板,該基板具有:一或多個溝槽,包括:10奈米(nm)或更小的一寬度;及5:1或更大的一深寬比,該深寬比是由該一或多個溝槽之一深度對該寬度的比所界定;及沉積一含矽和氧層,沉積該含矽和氧層包括;將多個氧自由基與一含矽前驅物引入該第一腔室的該處理空間,該等氧自由基及該含矽前驅物使該含矽和氧層沉積在該一或多個溝槽上而具有多個末端矽烷醇基團;將該基板從該第一腔室移除,且將該基板定位在一第二腔室中;將該基板加熱至約攝氏100度至約攝氏1000度的一溫度;及將該含矽和氧層暴露至遍及一處理空間分佈的一含氨或胺基的前驅物。
  19. 如請求項18所述之方法,其中該含氨或胺基的前驅物包括下述一或多者:氨、甲胺、二甲胺、三甲胺、乙胺、二乙胺、三乙胺、或上述前驅物之任何組合。
  20. 如請求項18所述之方法,進一步包括:將該基板移送至一紫外線(UV)固化腔室,並且在將該含矽和氧層暴露至該含氨或胺基的前驅物之後,UV固化該含矽和氧層。
TW108144229A 2018-12-04 2019-12-04 交聯矽-羥基鍵之固化方法 TW202028516A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862775008P 2018-12-04 2018-12-04
US62/775,008 2018-12-04
US201962796899P 2019-01-25 2019-01-25
US62/796,899 2019-01-25

Publications (1)

Publication Number Publication Date
TW202028516A true TW202028516A (zh) 2020-08-01

Family

ID=70849615

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108144229A TW202028516A (zh) 2018-12-04 2019-12-04 交聯矽-羥基鍵之固化方法

Country Status (7)

Country Link
US (1) US11090683B2 (zh)
JP (1) JP2022511822A (zh)
KR (1) KR20210088729A (zh)
CN (1) CN113169039A (zh)
SG (1) SG11202105182QA (zh)
TW (1) TW202028516A (zh)
WO (1) WO2020117496A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4243177A1 (en) 2021-07-06 2023-09-13 LG Energy Solution, Ltd. Battery cell and battery module comprising same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4124560A1 (de) * 1991-07-24 1993-01-28 Wacker Chemie Gmbh Beschichtungsmittel zur herstellung von wasserdichten, dampfdurchlaessigen und flammverzoegernden beschichtungen
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7015061B2 (en) * 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
KR20090002973A (ko) 2007-07-05 2009-01-09 주식회사 아이피에스 절연막 형성방법, 이 방법으로 형성된 절연막 및 이 방법을이용한 반도체 소자의 갭-필 방법
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20100273382A1 (en) * 2009-04-28 2010-10-28 Malay Nandi Acoustic and fire retardant foam coating composition for fibrous mat
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap

Also Published As

Publication number Publication date
WO2020117496A1 (en) 2020-06-11
JP2022511822A (ja) 2022-02-01
SG11202105182QA (en) 2021-06-29
US20200171536A1 (en) 2020-06-04
US11090683B2 (en) 2021-08-17
CN113169039A (zh) 2021-07-23
KR20210088729A (ko) 2021-07-14

Similar Documents

Publication Publication Date Title
KR102427218B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
CN109791871B (zh) 基于远程等离子体的渐变或多层的碳化硅膜的沉积
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
CN102569165B (zh) 高纵横比沟槽中的颠倒填充
KR102438577B1 (ko) 고 품질 fcvd 막들을 위한 진보된 프로세스 플로우
US7629227B1 (en) CVD flowable gap fill
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
KR102492447B1 (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착
CN113707542A (zh) 使用远程等离子体处理使碳化硅膜致密化
KR20220024372A (ko) 실리콘 카바이드 막들의 컨포멀한 증착
CN107406983B (zh) 通过沉积调整来解决fcvd的线条弯曲
US20190214228A1 (en) Radical assisted cure of dielectric films
US20150167160A1 (en) Enabling radical-based deposition of dielectric films
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
TW202028516A (zh) 交聯矽-羥基鍵之固化方法
CN116137931A (zh) 减少半导体设备中的层内电容
WO2023167031A1 (ja) 絶縁膜の形成方法および基板処理システム
CN115735261A (zh) 含硅膜中的杂质减量