KR100787657B1 - 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법 - Google Patents

낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법 Download PDF

Info

Publication number
KR100787657B1
KR100787657B1 KR1020000034509A KR20000034509A KR100787657B1 KR 100787657 B1 KR100787657 B1 KR 100787657B1 KR 1020000034509 A KR1020000034509 A KR 1020000034509A KR 20000034509 A KR20000034509 A KR 20000034509A KR 100787657 B1 KR100787657 B1 KR 100787657B1
Authority
KR
South Korea
Prior art keywords
compound
silicon
hydroxyl
deposition method
gas
Prior art date
Application number
KR1020000034509A
Other languages
English (en)
Other versions
KR20010069210A (ko
Inventor
스리니바스 디. 네마니
샨카르 벤가타라만
프레데릭 게일라드
신-푸 젱
치-아이 랑
와이-팬 요
리-퀀 지아
엘리 위에
데이비드 더블유 층
파해드 모가담
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010069210A publication Critical patent/KR20010069210A/ko
Application granted granted Critical
Publication of KR100787657B1 publication Critical patent/KR100787657B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

낮은 수치의 유전 상수를 갖는 실리콘 산화물 층을 증착시키기 위한 방법이 기술되어 있다. 이는 약 400℃ 미만의 기판 온도에서 유기실리콘 화합물 및 하이드록실 형성 화합물의 반응에 의해 수행된다. 낮은 유전 상수를 갖는 필름은 잔류 탄소를 함유하고 있으며, 서브 마이크론 디바이스 내에 갭 충전 층(gap fill layer), 금속전(pre-metal) 절연층, 금속간(inter-metal) 절연 층, 및 얕은 트렌치 격리 절연층에 유용하다. 하이드록실 화합물은 물 또는 유기 화합물로부터 증착되기 이전에 제조될 수 있다. 실리콘 산화물 층은 약 3.0 이하의 유전 상수를 갖는 갭 충전 층을 제공하기 위해 유기실리콘 화합물로부터 생성된 라이너 층 위에 약 400℃ 미만의 기판 온도에서 바람직하게 증착된다.

Description

낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법 {A PROCESS FOR DEPOSITING A LOW DIELECTRIC CONSTANT FILM}
도 1a 및 도 1b는 당업계에 공지된 공정에 의해 기판 상에 증착된 절연층을 개략적으로 도시한 도면.
도 2는 본 발명에 따라 이용하기 위해 구성된 CVD 플라즈마 반응기의 횡단면도.
도 3은 도 2의 반응기에 도입되기 이전에 공정 가스의 분리를 위한 원격의 마이크로파 챔버를 개략적으로 도시한 도면.
도 4는 도 2의 반응기에 대한 물 및 과산화수소 등의 액체 공급을 제어하기 위한 액체 분사 시스템을 도시한 도면.
도 5는 도 2의 예시적 반응기와 관련하여 이용된 공정 제어 컴퓨터 프로그램의 흐름도.
도 6은 본 발명의 일실시예에 따라 갭 충전 공정 내에서 라이너 및 캡 층을 증착하기 위해 수행된 단계들을 도시한 도면.
도 7a 내지 도 7e는 도 6의 공정에 의해 기판 상에 증착된 층을 개략적으로 도시한 도면.
*도면의 주요 부분에 대한 부호의 설명*
10 : 반응기 12 : 서셉터
14 : 리프트 모터 18 : 가스 라인
25 : RF 전력 공급원 28 : 마이크로파 챔버
34 : 컴퓨터 제어기 101 : 임피던스 매칭 시스템
111 : 마그네트론 131 : UV 램프
300 : PECVD 라이너 층 302 : 갭 충전층
312 : PECVD 캡층 306,308, 310 : 금속 라인
본 발명은 1998년 2월 11일 출원된 미국 특허 출원 제09/021,788호, 및 1999년 2월 10일 출원된 미국 특허 출원 제09/247,381호의 일부 계속 출원이다.
본 발명은 집적 회로의 제조에 관한 것이다. 보다 상세히 설명하면, 본 발명은 기판 상에 낮은 수치의 유전 상수(k)를 갖는 절연층을 증착하기 위한 방법 및 장치에 관한 것이다.
반도체 디바이스의 형상은 수십년전에 최초로 소개된 이후로 크기면에서 상당히 작아져 왔다. 그 이후로, 집적 회로는 일반적으로 칩 상에 설치될 디바이스의 숫자가 매 2년마다 2배로 늘어나는 것을 의미하는 2년마다 그 크기가 반으로 줄어듬(two year/half-size rule:종종 모어의 법칙으로 불려짐)을 따라왔다. 현재의 제조 플랜트는 0.35㎛ 및 심지어 0.18㎛의 피쳐 크기를 가진 디바이스를 기계적으로 제조하고 있으며, 미래의 플랜트는 더 작은 형태를 가진 디바이스를 제조하게 될 것이다.
집적 회로 상에서 디바이스의 크기를 보다 감소시키기 위해서는, 인접한 금속 라인 사이의 정전 결합을 감소시키기 위해 갭 충전 층(gap fill layer), 금속전(pre-metal) 절연층, 금속간(inter-metal) 절연 층, 및 얕은 트렌치 격리 절연층과 같이 낮은 유전 상수를 갖는(k<4.0, 바람직하게 3이하) 절연체를 이용할 필요가 있다. 낮은 k 값을 갖는 절연체는 도전성 재료 위로 수분 등의 오염인자 및 부산물의 확산을 방지하는 라이너/장벽층(barrier layer) 또는 도전성 재료 상에 직접 증착될 수 있다. 예를 들어, 낮은 k 값을 갖는 절연체의 형성중에 발생될 수 있는 수분은 도전성 금속의 표면에 쉽게 확산되고 도전성 금속 표면의 저항성을 증가시킨다. 통상의 실리콘 산화물 또는 실리콘 질화물 재료로부터 형성된 장벽/라이너 층은 부산물 및 오염인자의 확산을 차단할 수 있다. 그러나, SiN등의 장벽/라이너 층은 4.0 이상의 유전 상수를 가지며, 높은 수치를 갖는 유전 상수는 유전 상수가 감소되지 않는 결합된 절연체를 초래한다.
도 1a는 국제 출원 번호 WO 94-01885호에 개시된 갭 충전 층을 증착시키기 위한 공정을 나타내고 있다. PECVD 공정은 다중 성분 절연층을 증착하며, 실리콘 이산화물(SiO2) 라이너 층(2)은 기판(4) 상에 형성된 금속 라인(3)을 갖는 패턴화된 금속층 상에 먼저 증착된다. 라이너 층(2)은 300℃에서 실란(SiH4) 및 일산화질소(N2O)의 플라즈마 강화 반응에 의해 증착된다. 자체적으로 평탄한(self-planarizing) 낮은 k 값을 갖는 절연층(5)은 실란 및 하이드록실 화합물의 반응에 의해 라이너 층(2) 위에 증착된다. 자체 평탄 층(5)은 경화로 인해 제거된 수분을 보유한다. 라이너 층(2)은 4.5 이상의 유전 상수를 제공하는 방식으로 증착될 때 장벽 특성을 갖는 산화된 실란 필름이다. 산화된 실란 필름의 유전 상수는 수분 장벽 특성을 얻기 에는 바람직하지 않은 공정 조건을 선택함으로써 약 4.1로 감소될 수 있다. SiN 등의 종래의 라이너 층은 높은 유전 상수를 가지며, 높은 k값을 갖는 절연 라이너 층과 낮은 k 값을 갖는 절연층의 조합은 전체 스택의 유전 상수 및 정전 결합을 개선하지 못한다. 선택적인 SiO2 캡 층(6)은 실란 및 N2O의 반응에 의해 자체적으로 평탄화된 낮은 k 값을 갖는 절연층(5) 위에 증착될 수 있다.
하이드록실 군을 형성하기 위해 실란과 과산화수소를 반응시킴으로써 발생된 갭 충전 층(gap fill layers)이 공지되어 있으나, 낮은 유전 상수를 갖는 균일한 필름을 얻기는 어렵다. 통상적으로, 반도체 기판 상에서 증착된 층의 두께는 정확한 반응물의 양을 제공함으로써 제어된다. 그러나, 과산화수소는 물 내에 용액 상태로 저장되며, 반응 챔버 내에 과산화수소 및 실란을 정확한 양으로 제공하는 것이 어렵다. 따라서, 보다 제어가 용이한 실리콘 화합물 및 하이드록실 형성 화합물(hydroxyl forming compound)의 결합 방법이 요구된다.
1997년 1월 14일 특허 허여된 미국 특허 제5,593,741호는 테트라에톡시실란 (또한 테트라에틸오르토실리케이트로 공지된 TEOS), 등의 유기 실리콘을 산소 및/또는 오존과 결합시킴으로써 발생된 실리콘 산화물을 이용한 갭 충전 공정을 개시하고 있다. 상기 공정은 수증기, 과산화수소, 또는 산화되는 경우 물을 형성하는 알코올 등의 선택적인 물 공급원을 포함할 수 있다. 갭 충전층은 플라즈마를 형성하기 위해 이용되는 전원을 차단함으로써 동일한 성분으로부터 플라즈마 강화 증착된 균질 층에 후속하여 증착된다. 반응기 내에 존재하는 물로 인하여, 갭 충전 공정이 약간 개선되는 것으로 여겨진다.
1997년 3월 11일 특허 허여된 제5,610,105호는 TEOS 및 물의 저온 PECVD에 의해 생성된 금속간 절연층을 기술하고 있으며, 절연층의 밀도를 높히기 위해 산소 분위기에서의 어닐링을 수반한다.
1998년 1월 20일 특허 허여된 미국 특허 제5,710,079호는 오존을 분해시키기 위해 UV 광을 이용하여 오존 및 물과 TEOS 등의 유기실리콘 화합물을 결합시킴으로써 생성된 실리콘 산화물 층을 이용한 갭 충전 공정을 기술하고 있다. 급격한 오존의 분해는 과산화수소를 형성하기 위해 물과 결합하는 원자 산소를 형성하는 것으로 추정된다.
1994년 11월 1일 특허 허여된 미국 특허 제5,360,646호는 TEOS 및 아세트산을 결합시킴으로써 생성된 실리콘 산화물 층을 이용한 갭 충전 공정을 기술하고 있다. TEOS 내의 전기음성을 갖는 산소는 증착된 실리콘 산화물 필름 내의 하이드록실 군을 형성하기 위해 아세트산으로부터의 수소와 반응한다.
하이드록실 군을 포함하는 실리콘 산화물(silicon oxide that contains hydoxyl groups)을 증착하기 위한 이용가능한 방법은 낮은 유전 상수를 갖는 균일한 절연층을 제공하지 못한다. 따라서, 낮은 유전 상수를 가지며 하이드록실 군을 포함하는 실리콘 산화물의 균일한 증착물을 제공하는 공정이 요구된다.
본 발명은 서브 마이크론의 디바이스 내에 갭 충전층, 금속전 절연층, 금속간 절연층, 또는 얕은 트렌치 격리(STI:shallow trench isolation) 절연층으로서 이용하기 위한 낮은 유전 상수를 갖는 실리콘 산화물 층을 균일하게 증착하기 위한 방법 및 장치를 제공하는 것이다. 이러한 방법은 약 400℃ 미만의 기판 온도에서 하이드록실 형성 화합물과 탄소를 함유한 하나 이상의 실리콘 화합물(즉, 유기실리콘 화합물)을 반응시키는 단계를 포함한다. 유기실리콘 화합물은 바람직하게 과산화수소 또는 디메틸디오시란 등의 하이드록실 형성 화합물과 반응한 후에 증착된 절연층 내에 남게 되는 하나 이상의 실리콘-탄소 결합을 포함한다. 하이드록실 형성 화합물은 오존 및 UV 광을 이용한 물의 산화, 디메틸디옥시란을 형성하도록 아세톤 및 칼륨 모노페록시 설페이트의 반응, 또는 아세톤 및 과산화수소를 생성하기 위해 오존 또는 산소와 이소프로필 알코올의 산화 등의 하이드록실을 형성하는 유기 화합물 또는 산화에 의해 증착 이전에 또는 증착 중에 생성될 수 있다. 또한, 하이드록실 형성 화합물은 하이드록실 군을 형성하도록 실록산 화합물과 반응하는 수소를 제공하는 아세트산 등의 산, 또는 하이드록실 화합물을 형성하도록 물과 반응하는 산 화합물일 수 있다.
바람직하게, 절연층은 유기실리콘 화합물 및 산화 가스를 포함하는 공정 가스로부터 패턴화된 금속층 상에 균일한 라이너 층을 증착시킴으로써 생성될 수 있다. 이러한 공정은 선택적으로 0.05 W/cm2 내지 약 1000 W/cm2 , 바람직하게 약 1 W/cm2 미만, 가장 바람직하게는 약 0.1 내지 약 0.3 W/cm2 범위의 RF 전력 밀도를 이용하여 플라즈마의 보조로 수행된다. 그 후, 갭 충전층은 실리콘 화합물 및 하이드록실 형성 화합물을 포함하는 공정 가스로부터 약 300℃ 미만의 온도, 바람직하게 실리콘-탄소 결합을 포함하는 유기실리콘 화합물을 이용하는 경우 약 40℃ 미만의 온도에서 라이너 층 위에 증착된다. 균일한 절연층의 증착은 원격의 마이크로파 또는 RF 챔버 내의 물 등의 하이드록실 형성 화합물의 분리에 의해 실질적으로 강화된다.
균일하고 낮은 k 값을 갖는 절연층을 생성하는 유기실리콘 화합물은 바람직하게 메틸실란, CH3SiH3, 디메틸실란, (CH3)2SiH2, 트리메틸실란, (CH3)3SiH, 1,1,3,3-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2등의 하나 이상의 실리콘-수소 결합을 포함한다. 갭 충전층은 또한 테트라메틸실란, (CH3)4Si, 및 테트라에틸오르토실리케이트(TEOS) 등의 다른 유기실리콘 화합물로부터 균일하게 증착될 수 있다. 실리콘 산화물 층은 수분 함량 등의 필름 특성을 안정화시키기 위해 저압 및 고온에서 경화된다.
본 발명은 반응 영역, 반응 영역 내에 기판을 위치시키기 위한 기판 홀더, 및 진공 시스템을 갖춘 챔버, 유기실리콘 화합물 및 하이드록실 형성 화합물의 공급원에 챔버의 반응 영역을 연결시키는 가스 분배 시스템, 반응 영역 내에 플라즈마를 발생시키기 위해 가스 분배 시스템에 연결된 RF 발생기, 및 챔버, 가스 분배 시스템 및 RF 발생기를 제어하기 위한 컴퓨터를 구비한 제어기를 포함하는 기판 처리 시스템을 포함한다. 제어기는 제어기에 결합된 메모리를 가지며, 메모리는 서브 마이크론의 디바이스 내에 갭 충전층, 금속전 절연층, 금속간 절연층 또는 얕은 트렌치 격리 절연층을 증착하는 공정을 선택하기 위한 컴퓨터로 판독가능한 프로그램 코드를 포함하는 컴퓨터로 사용가능한 매체를 포함한다.
실시예
본 발명은 낮은 유전 상수(k<4)를 갖는 실리콘 산화물 층을 균일하게 증착하기 위한 방법 및 장치를 제공한다. 실리콘 산화물 층은 약 400℃ 미만의 기판 온도에서 H2O2, 디메틸디옥시란, 아세트산 또는 물 등의 하이드록실 형성 화합물과 유기실란 또는 유기실록산 등의 유기실리콘 화합물을 반응시킴으로써 생성된다. 실리콘 산화물 층은 서브 마이크론의 디바이스 내에 갭 충전 층, 금속전 절연층, 금속간 절연층 및 얕은 트렌치 격리 절연층으로서 이용될 수 있다. 실리콘 산화물 층은 탄소로 도핑된 실리콘 산화물 필름을 형성하기 위해 약 500℃ 미만의 온도에서 경화된다.
약 400℃ 미만의 기판 온도에서 증착될 때, 절연층은 자체적으로 평탄해지며, 금속 라인 사이의 서브 마이크론 간극을 충전하기에 적합하다. 바람직하게, 본 발명의 갭 충전 층은 동일하거나 상이한 유기실란 또는 유기실록산 화합물의 플라즈마 보조 산화에 의해 증착되는 라이너 층 위에 증착된다. 실리콘 산화물 층 위에 잔류하는 탄소는 낮은 유전 상수에 기여한다. 잔류 탄소는 유기실리콘 화합물이 하나 이상의 Si-C 결합을 포함하고 있을 때 원자 중량비로 약 1% 내지 약 50%의 범위이다.
실리콘 산화물 층은 하나 이상의 Si-C 결합, 바람직하게 두개 이상의 Si-C 결합을 포함하는 실리콘 화합물로부터 바람직하게 생성되며, 처리 조건에서 산화에 의해 쉽게 제거되지 않는다. 적합한 유기 군은 알케닐 및 시클로헥세닐 군 및 작용성 유도체를 또한 포함할 수 있다. 이러한 유기실리콘 화합물은,
메틸실란, CH3 - SiH3
디메틸실란, (CH3)2 -SiH2
트리메틸실란, (CH3)3 -SiH
테트라메틸실란 , (CH3)4 -Si
테트라에톡시실란 (CH3--CH2-O-)4-Si
디메틸실란디올, (CH3)2 -CH2 -(OH)2
에틸실란, CH3- CH2 - SiH3
페닐실란, C6H5- SiH3
디페닐실란 , (C6H5)2 - SiH2
디페닐실란디올, (C6H5)2 -Si-(OH)3
메틸페닐실란, C6H5-SiH2-CH3
디실란노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸시라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3 - (고리형)
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산, (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산, (CH3)3-Si-O-Si-(CH3)3
1,3-비스(실라노메틸렌)디실록산, (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록사닐)메탄, (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판, (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸시클로테트라실록산, -(-SiHCH3-O-)4-(고리형)
옥타메틸시클로테트라실록산, -(-Si(CH3)2-O-)4-(고리형)
2,4,6,8,10-펜타메틸시클로펜타실록산, -(-SiHCH3-O-)5-(고리형)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌,
-(-SiH2-CH2-SiH2-O-)2-(고리형)
2,4,6-트리실란테트라하이드로피란, 및
-SiH2-CH2-SiH2-CH2-SiH2-O-(고리형)
2,5-디실란테트라하이드로푸란 -SiH2-CH2-CH2-SiH2-O-(고리형)
및 그 유도체를 포함한다.
갭 충전층이 라이너 층 위에 증착될 때, 바람직하게, 라이너 층은 전술한 바와 같은 유기 실리콘 화합물을 산소 또는 일산화질소, 오존, 또는 이산화탄소 등의 산소 함유 화합물, 바람직하게는 산소 또는 일산화질소로 산화시킴으로써 제조되며, 증착된 필름의 탄소 함량은 원자 중량비로 약 1% 내지 약 50%, 바람직하게 약 5% 내지 약 30%가 된다. 산화된 유기 실리콘 층은 약 3.0의 유전 상수를 가지며 우수한 장벽 특성을 갖는다. 산화된 유기 실리콘 층은 종래의 낮은 k값을 갖는 절연층과 비교하여 높은 산화물 함량을 가지며 우수한 부착 특성을 갖는다.
바람직하게, 산화 화합물은 반응 챔버에 도입되기 이전에 반응성을 증가시키기 위해 분리된다. 산화 화합물의 분리를 증가시키기 위해 RF 전력이 증착 챔버에 연결될 수 있다. 산화 화합물은 실리콘 함유 화합물의 과도한 분리를 줄이기 위해 증착 챔버에 도입되기 이전에 원격의 마이크로파 챔버 또는 원격의 플라즈마 챔버 내에서 분리될 수 있다. 실리콘 산화물 층의 증착은 연속적 또는 불연속적일 수 있다. 바람직하게, 증착이 단일 증착 챔버 내에서 발생한다 하더라도, 층은 두개 이상의 증착 챔버에서 연속적으로 증착될 수 있다. 더욱이, RF 전력은 기판의 가열을 감소시키고 증착된 필름 내의 다공성을 증대시키기 위해 주기화되거나 펄스화될 수 있다. 실리콘 산화물 층의 증착중에, 기판은 약 -20℃ 내지 약 400℃, 바람직하게는 약 -20℃ 내지 40℃의 온도에서 유지된다.
갭 충전 층에 있어서, 전술한 유기실리콘 화합물은 과산화수소(H2O2)와의 반응 등과 같은 하이드록실 형성 화합물과의 반응에 의한 증착중에 산화되며, 바람직하게 미국 특허 제5,710,079호(본 명세서에 참고로 기술되어짐)에 기술된 바와 같이 약 254nm의 파장의 갖는 UV 광의 존재하에서, 오존(O3)과 물(H2O)을 결합시킴으로서, 하기된 디메틸디오시란 등의 옥시란 화합물과의 반응에 의해, 미국 특허 제4,303,632호(본 명세서에 참고로 기술되어짐)에 기술된 바와 같이 유기 화합물의 산화에 의해, 또는 미국 특허 제5,360,646호(본 명세서에 참고로 기술되어짐)에 기술된 바와 같이 아세트산과의 반응에 의해, 반응 시스템 내에서 생성될 수 있다. 하이드록실 형성 화합물은 바람직하게 원격의 RF 또는 마이크로파 챔버 내에서 분리된다. 바람직하게, 갭 충전 층은 약 1 내지 약 50% 원자 중량, 가장 바람직하게 약 5 내지 약 30%의 탄소 함량을 갖는다. 갭 충전 층의 증착중에, 기판은 약 -20℃ 내지 약 400℃의 온도로 유지되며, Si-C 결합을 갖는 유기실리콘 화합물을 위하여, 바람직하게 약 -20℃ 내지 약 40℃의 온도로 유지된다. 약 400℃ 이상의 온도에서 경화된 이후에, 갭 충전층은 약 3.5 미만의 유전 상수를 갖는다. 산화된 유기 실리콘 층은 우수한 부착 특성을 갖는다.
하이드록실 형성 화합물과 반응한 유기실리콘 화합물은 우수한 장벽 특성을 갖는 산화된 라이너 층을 제조하기 위해 이용될 수도 있다. 라이너 층을 제조하기 위해 이용될 때, 유기실리콘 화합물은 바람직하게 하기의 구조를 갖는다.
Figure 112000012824001-pat00001
여기서, 각각의 Si는 하나 또는 두개의 탄소 원자에 결합되며, C는 유기 군 바람직하게, -CH3, -CH2-CH3,-CH2-, 또는 -CH2-CH2-, 또는 플르오르화된 탄소 유도체 등의 알킬 또는 알케닐 군에 포함된다. 플루오르화된 유도체 내의 탄소 원자는 수소 원자를 대체하기 위해 부분적으로 또는 전체적으로 불소화될 수 있다. 유기실란 또는 유기실록산 화합물이 두개 이상의 Si 원자를 포함할 때, 각각의 Si는 다른 Si로부터 -O-,-C-, 또는 -C-C-에 의해 분리되며, C는 유기 군, 바람직하게 -CH2-, -CH2-CH2-, -CH(CH3)-, 또는 -C(CH3)2-, 또는 플르오르화된 유도체 등의 알킬 또는 알케닐 군에 포함된다.
유기실리콘 화합물 내의 탄화수소 군은 C-H 결합을 C-F결합으로 변환하기 위해 부분적으로 또는 전체적으로 불소화된다. 두개 이상의 유기실리콘 화합물의 조합은 유전 상수, 산화물 함량, 소수성, 필름 응력 및 플라즈마 에칭 특성 등의 소정의 특성의 조화를 위해 이용될 수 있다.
유기실리콘 화합물과 하이드록실 형성 화합물과의 반응은 가스상 반응물을 분리시키기 위해 열, RF 또는 마이크로파 에너지의 추가로 발생될 수 있으며, 바람직하게 반응기에 유입되기 이전에 분리가 발생된다. 산화된 화합물은 증착된 필름을 형성하기 위해 기판 등의 냉각면에 부착된다. 증착된 필름은 필름의 수분 장벽 특성을 개선하기 위하여 약 100 내지 약 500℃의 온도, 바람직하게 약 400℃의 이상의 온도에서 물을 제거하기 위해 증착 챔버 내에서 경화된다. 증착된 필름은 장벽 특성을 제공하기에 충분한 탄소 함량을 갖는다.
본 발명은 반응 영역, 반응 영역 내에 기판을 위치시키기 위한 기판 홀더, 및 진공 시스템을 갖춘 챔버를 구비한 기판 처리 시스템을 제공한다. 처리 시스템은 유기실란 또는 유기실록산 화합물, 산화 가스 및 비활성 가스의 공급원에 챔버의 반응 영역을 연결시키는 가스 분배 시스템, 및 반응 영역 내에 플라즈마를 발생시키기 위하여 가스 분배 시스템에 연결된 RF 발생기를 더 포함한다. 가스 분배 시스템은 디메틸디옥시란 등의 하이드록실 형성 화합물 공급원 또는 아세톤 및 칼륨 모노페록시 설페이트 등의 가스상 반응물을 혼합시키고, 산화되어 하이드록실 형성 화합물과 휘발성 부산물을 형성하는 물, 과산화수소 또는 유기 화합물 등의 액체 반응물을 기화시키기 위한 가스/액체 분사 시스템을 포함한다. 처리 시스템은 챔버 및 가스 분배 시스템을 제어하기 위한 컴퓨터를 포함하는 제어기, 및 제어기에 연결된 메모리를 더 포함하며, 상기 메모리는 유기실리콘 화합물과 하이드록실 형성 화합물을 반응시킴으로써 낮은 유전 상수 필름을 증착하는 공정 단계를 선택하기 위한 컴퓨터로 판독가능한 프로그램 코드를 포함하는 컴퓨터 이용가능한 매체를 포함한다.
본 발명에 대한 또 다른 설명은 본 발명의 실리콘 산화물 층을 증착하기 위한 특수 장치 및 바람직한 실리콘 산화물 필름에 관한 것이다.
예시적 CVD 플라즈마 반응기
도 2는 본 발명의 방법이 수행될 수 있는 하나의 적당한 CVD 반응기로서, 고 진공 영역(15)을 갖는 평행판 화학 기상 증착 반응기(10)의 수직 횡단면이 도시되어 있다. 반응기(10)는 리프트 모터(14)에 의해 상승되거나 하강되는 기판 지지판 또는 서셉터(12) 상에 놓여진 기판 또는 웨이퍼(미도시)에 매니폴드의 천공을 통해 공정 가스를 분산시키기 위한 가스 분배 매니폴드(11)를 포함한다. TEOS의 액체 분사에 통상적으로 이용되는 것과 같은 액체 분사 시스템(미도시)이 액체 유기실란 및/또는 유기실록산 화합물을 분사하기 위해 제공될 수도 있다. 바람직한 유기실리콘 화합물은 가스이다.
반응기(10)는 저항성 가열 코일(미도시) 또는 외부 램프(미도시)등에 의한 공정 가스 및 기판의 가열을 포함한다. 도 2를 참조하면, 서셉터(12)가 지지 스템(13) 상에 장착됨으로써, 서셉터(12)(및 서셉터(12)의 상부면 상에 지지된 기판)는 매니폴드(11)에 밀접하게 인접된 상부 처리 위치와 하부 로딩/오프-로딩 위치 사이에서 제어가능하게 이동될 수 있다.
서셉터(12) 및 기판이 처리 위치(14)에 놓여 있을 때, 이들은 절연체(17)에 의해 둘러싸이며, 공정 가스는 매니폴드(24)로 배기된다. 처리 중에, 매니폴드(11)에는 가스 입구가 기판의 표면을 가로질러 반경 방향으로 균일하게 분포된다. 트로틀 밸브를 갖는 진공 펌프(32)는 챔버로부터 가스의 배기 속도를 제어한다.
매니폴드(11)에 도달하기 이전에, 증착 및 캐리어 가스는 가스 라인(18)을 통해 혼합 시스템(19)으로 유입되며, 상기 혼합 시스템에서 가스들이 결합되어 매니폴드(11)로 발송된다. 선택적으로, 산화 가스는 오존을 분해하기 위한 UV 광 챔버, 과산화수소 또는 다른 유기 화합물을 기화시키기 위한 도 3에 기술된 액체 분사 시스템, 물 또는 N2O 등의 산화 가스를 분리시키기 위한 마이크로파 어플리케이터 또는 공정 가스의 활성화를 도와주는 원격의 RF 어플리케이터 등의 다른 에너지 소오스 등의 부가 챔버(28)를 통해 도입될 수 있다. 일반적으로, 각각의 공정 가스를 위한 공정 가스 공급 라인(18)은 (i) 챔버에 대한 공정 가스의 유동을 자동 또는 수동으로 차단하기 위해 이용될 수 있는 안전 차단 밸브(미도시), 및 (ii) 가스 공급 라인을 통과하는 가스의 유동을 측정하는 질량 유동 제어기(미도시)를 포함한다. 독성 가스가 공정에서 이용될 때, 수개의 안전 차단 밸브가 통상의 구조로 각각의 가스 공급 라인 상에 위치된다.
반응기(10) 내에서 수행되는 증착 공정은 열 공정 또는 RF 또는 마이크로파 강화 공정일 수 있다. 일실시에에서, 제어된 플라즈마는 (접지된 서셉터(12)와) RF 전원(25)으로부터 분배 매니폴드(11)에 인가된 RF 에너지에 의해 기판에 인접하여 형성된다. 선택적으로, RF 전력은 서셉터(12)에 제공될 수 있으며, RF 전력은 다른 주파수로 상이한 구성요소에 제공될 수 있다. RF 전원(25)은 고 진공 영역(15) 내부로 도입된 반응종의 분해를 강화시키기 위해 단일 또는 혼합된 주파수 RF 전력을 공급할 수 있다. 통상적으로, 혼합된 주파수 RF 전원은 13.56MHz의 고 RF 주파수(RF1)의 전력을 분포 매니폴드(11)에, 360KHz 의 저 RF 주파수(RF2)의 전력을 서셉터(12)에 공급한다. 본 발명의 실리콘 산화물 층은 일정한 고 주파수 RF 전력의 저 레벨 또는 고 주파수 RF 전력의 펄스화된 레벨을 이용하여 가장 바람직하게 생성된다. 펄스화된 RF 전력은 약 10% 내지 약 30%의 듀티 사이클 중에 바람직하게 약 20W 내지 500W, 가장 바람직하게는 20W 내지 250W의 13.56MHz의 RF 전력을 제공한다. 일정한 RF 전력은 바람직하게 약 10W 내지 200W, 가장 바람직하게는 20W 내지 100W의 13.56MHz의 RF 전력을 제공한다. 낮은 전력의 증착은 바람직하게 약 -20℃ 내지 약 40℃의 온도 범위에서 발생한다. 바람직한 온도 범위에서, 증착된 필름은 증착중에 부분적으로 중합되며, 중합은 이후에 계속된 필름의 경화중에 완료된다.
산화 가스 또는 하이드록실 형성 화합물의 분리가 필요할 때, 도 3에 보다 상세히 기술되어진 선택적 마이크로파 챔버(28) 또는 원격의 RF 플라즈마 챔버는 증착 챔버에 도입되기 이전에 물, O2, 또는 N2O 등의 산화 가스에 0 내지 3000W의 전력을 입력시킨다. 공정 가스에 대한 마이크로파 또는 RF 전력의 개별적인 부가는 분리된 가스와 반응하기 이전에 실리콘 화합물의 과도한 분리를 억제한다. 실리콘 화합물 및 공정 가스를 위한 별도의 통로를 갖는 가스 분배판은 마이크로파 전력이 공정 가스중의 하나에 부가될 때 바람직하다.
일반적으로, 챔버 라이닝, 분배 매니폴드(11), 서셉터(12) 및 다양한 다른 반응기 하드웨어중 일부 또는 전부는 알루미늄, 양극산화된 알루미늄 또는 세라믹 등의 재료로 제조된다. CVD 반응기의 예는 "A thermal CVD/PECVD reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-Situ Multi-step Planarized Process"란 명칭으로 왕(Wang) 등에 특허 허여된 미국 특허 제5,000,113호에 개시되어 있으며, 이는 본 발명의 양수인인 어플라이드 머티어리얼스 인코포레이티드에게 양도되었다.
리프트 모터(14)는 처리 위치와 하부의 기판 로딩 위치 사이로 서셉터(12)를 상승 및 하강시킨다. 모터, 가스 혼합 시스템(19) 및 RF 전원(25)은 제어 라인(36)을 통하여 시스템 제어기(34)에 의해 제어된다. 반응기는 질량 유동 제어기(MFCs) 및 표준 또는 펄스화된 RF 발생기 등의 아나로그 조립체를 포함하며, 이들은 바람직한 실시예에서는 하드 디스크 드라이브인 메모리(38) 내에 저장된 시스템 제어 소프트웨어를 실행시키는 시스템 제어기(34)에 의해 제어된다. 모터 및 광학 센서는 진공 펌프(32)의 트로틀 밸브 및 서셉터(12)를 위치시키기 위한 모터 등의 이동식 기계 조립체를 이동시키고 위치를 결정하는데 이용된다.
시스템 제어기(34)는 CVD 반응기의 모든 작동을 제어하며, 제어기(34)의 바람직한 예는 하드 디스크 드라이브, 플로피 디스크 드라이브, 및 카드 랙을 포함한다. 카드 랙은 싱글 보드 컴퓨터(SBC), 아나로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스테퍼 모터 제어기 보드를 포함한다. 시스템 제어기는 보드, 카드 케이지 및 커넥터의 치수 및 유형을 규정한 VME(Versa Modular European) 표준을 따른다.
시스템 제어기(34)는 하드 디스크 드라이브(38)에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, RF 전력 레벨, 서셉터 위치 및 특정 공정의 다른 변수를 나타낸다.
도 3은 본 발명의 실시예에 따라 반응기(10)에 도입되기 이전에 물 등의 공정 가스를 분리시키기 위한 원격의 마이크로파 챔버(28)를 개략적으로 도시하고 있다. 원격의 마이크로파 챔버(28)는 어플리케이터 튜브(92), 자외선(UV) 램프(131) 및 UV 전원(133)을 포함한 플라즈마 점화 시스템, 조인트(119)에서 함께 연결될 수 있는 다양한 길이의 직선 및 곡선형 도파관 섹션(115,117)을 포함하는 마이크로파 도파관 시스템, 출력 도파관 섹션(121), 자동 임피던스 정합을 위해 피드백이 필요한 실시예에 있어서 선택적 위상 검출기(103)를 포함할 수 있는 임피던스 정합 시스템(101)을 포함하는 최적화 요소, 로드(107)를 갖는 순환장치(105) 및 마그네트론(111)을 포함할 수 있다.
마그네트론(111)은 약 2.45 GHz 주파수의 마이크로파의 펄스화된 출력 또는 연속파(CW)에 대해 약 0 내지 3000 와트사이에서 작동가능한 통상의 마그네트론 소오스이다. 물론, 다른 마그네트론이 이용될 수도 있다. 순환장치(105)는 마그네트론(111)으로부터 어플리케이터 튜브(92)를 향해 순방향 마이크로파 전송만을 허용한다. 로드(107)는 도파관 시스템으로부터 마그네트론(711)을 향해 역반사될 수 있는 전력을 흡수한다. 순환장치(105)는 다른 도판관 섹션(115)에 연결된 위상 검출기(103)에 연결된 도파관 섹션(115)에 연결된다. 이용된면, 위상 검출기(103)는 굽어진 도파관 섹션(117)을 거쳐 부착된 튜닝 또는 정합 시스템(101)을 갖는 다른 도파관 섹션(115)에 결합된다. 스터브 튜너 또는 다른 튜닝 요소를 이용할 수 있는 튜닝 시스템(101)은 도파관 섹션(121)의 로드를 도파관의 특성 임피던스에 정합시키는 능력을 마이크로파 챔버(28)를 제공한다. 튜닝 시스템(101)은 특정 실시예에 따라 고정된 튜닝, 수동 튜닝, 또는 자동화된 튜닝을 제공할 수 있다. 자동화된 튜닝을 이용한 실시예에서, 위상 검출기(103)는 정합 시스템(101)에 대한 피드백을 위해 전송된 마이크로파의 위상을 탐지하는 3-다이오드 어레이며, 이는 지능적이고 동적으로 로드를 적절하게 정합시킨다. 특정 실시예에서, 도파관 섹션은 장방형 단면을 가지며, 다른 유형의 도파관이 이용될 수도 있다.
어플리케이터 튜브(92)는 복합 또는 세라믹 재료, 바람직하게, 알루미나 또는 라디컬에 의한 에칭에 저항성을 갖는 다른 재료로 제조된 원형(또는 다른 단면) 튜브이다. 특정 실시예에서, 어플리케이터 튜브(92)는 약 18 내지 24 인치의 길이, 및 약 3 내지 4인치의 단면 직경을 갖는다. 어플리케이터 튜브(92)는 도파관 섹션(121)을 통해 배치되며, 마이크로파를 전송하기 위해 한 단부가 개방되어 있으며, 금속 벽을 갖는 타단부에서 종결된다. 마이크로파는 도파관 섹션(121)의 개방 단부를 통해 어플리케이터 튜브(92)내의 가스에 전송되며, 어플리케이터 튜브는 마이크로파를 투과시킨다. 물론, 사파이어 등의 다른 재료가 어플리케이터 튜브(92)의 내부에 이용될 수 있다. 다른 실시예에서, 어플리케이터 튜브(92)는 복합 또는 세라믹 재료로 제조된 내부 및 금속 외부를 가질 수 있으며, 도파관 섹션(121)의 마이크로파는 가스를 활성화시키기 위해 어플리케이터 튜브(92)의 외부의 윈도우를 통해 튜브(92)의 노출된 내부로 유입된다.
도 4는 반응기(10)에 하이드록실 화합물을 제공하기 위한 대안의 방법을 도시하고 있다. 상기 실시예에 있어서, 도 2의 선택적 챔버(28)는 혼합 챔버(19) 내에서 산소 및/또는 오존 등의 다른 가스와 혼합 및 휘발되기 이전에 물, 과산화 수소, 또는 2-에틸-안트라하이드로퀴논, 벤즈하이드롤, 또는 이소프로필 알코올 등의 다른 액체 반응제의 유동을 정확히 측정하는 유동 제어기(44)를 갖는 액체 분사 시스템(42)이다. 분사 시스템(42)으로부터 액체의 균일한 유동은 비 반응성 가스, 통상적으로 헬륨을 시스템(42) 내부로 도입하고, 공지의 액체 체적을 변위시킴으로써 얻어진다. 액체는 혼합 챔버(19) 내에 도입되기 이전에 마이크로파 챔버 또는 UV 챔버 등의 에너지 챔버(44) 내에서 선택적으로 증발된다. 산화 가스 또는 하이드록실 형성 가스의 유동은 반응물의 유동을 정확하게 제어하기 위해 유동계(40)로 측정된다. 반응기 펌프(32)는 혼합 챔버(19)로부터 결합된 가스를 끌어낸다. 물론, 결합된 가스는 도 3에 도시된 바와 같이 가스를 분리시키기 위해 마이크로파 챔버(28) 또는 다른 챔버를 통과할 수도 있다.
도 5를 참조하면, 본 발명의 공정은 예를 들어 시스템 제어기(34)에 의해 작동되는 컴퓨터 프로그램(410)을 이용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리 언어, C,C++, 또는 파스칼 등의 통상의 컴퓨터로 판독가능한 프로그램 언어로 쓰여질 수 있다. 적합한 프로그램 코드는 종래의 텍스트 편집기를 이용하여 단일 파일 또는 복수 파일에 입력되며, 컴퓨터의 메모리 시스템 등의 컴퓨터 이용가능한 매체에 저장되거나 내장될 수 있다. 입력된 코드 텍스트가 고 레벨의 언어인 경우, 코드는 컴파일링되며, 최종적인 컴파일러 코드는 예비 컴파일링된 윈도우 라이브러리 루틴의 오브젝트 코드와 링크된다. 링크된 컴파일링 오브젝트 코드를 실행하기 위하여, 시스템 사용자는 오브젝트 코드를 불러냄으로써 컴퓨터 시스템이 메모리에 코드를 로딩하도록 한다. CPU는 메모리로부터 코드를 판독하여 프로그램 내에 확인된 업무를 수행하기 위해 코드를 실행한다.
도 5는 컴퓨터 프로그램(410)의 계급 제어 구조를 도시한 블록선도이다. 사용자는 공정 셀렉터 서브루틴(420)에 공정 세트 번호와 공정 챔버 번호를 입력한다. 공정 세트는 특정 공정을 수행하기 위해 필요한 미리지정된 공정 변수 세트이며 미리규정된 세트 번호에 의해 확인된다. 공정 셀렉터 서브루틴(420)은 (i) (어플라이드 머티어리얼스 인코포레이티드로부터 이용가능한) 센튜라R 플랫폼 등의 클러스터 툴 상의 소정의 공정 챔버를 선택하고, (ii) 소정의 공정을 수행하기 위하여 공정 챔버를 작동시키기 위해 필요한 소정의 공정 변수 세트를 선택한다. 특정 공정을 수행하기 위한 공정 변수는 예를 들어 공정 가스 조성과 유량, 온도, 압력, RF 바이어스 전력 레벨 및 자기장 전력 레벨 등의 플라즈마 상태, 냉각 가스 압력 및 챔버 벽 온도 등의 공정 조건과 관련되어 있으며, 레시피(recipe)의 형태로 사용자에게 제공된다. 레시피에 의해 특정된 변수는 라이트 펜/CRT 모니터 인퍼페이스를 이용하여 입력된다.
공정을 모니터링하기 위한 신호는 시스템 제어기의 아나로그 입력 및 디지털 입력 보오드에 의해 제공되며, 공정을 제어하기 위한 신호는 시스템 제어기(34)의 아나로그 출력 및 디지털 출력 보오드 상에 출력된다.
공정 시퀀서 서브루틴(430)은 공정 셀렉터 서브루틴(420)으로부터 공정 변수 세트 및 식별된 공정 챔버를 수용하고 다양한 공정 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 복수의 사용자가 공정 세트 번호 및 공정 챔버 번호를 입력할 수 있거나, 단일의 사용자가 복수의 공정 챔버 번호를 입력할 수 있음으로써, 시퀀서 서브루틴(430)은 선택된 공정을 소정의 시퀀스로 스케쥴링하기 위해 작동된다. 바람직하게, 시퀀서 서브루틴(430)은 (i) 챔버의 사용 여부를 결정하기 위해 공정 챔버의 작동을 모니터링 하는 단계; (ii) 사용중인 챔버내에서 어떠한 공정이 수행되는 지를 결정하는 단계; 및 (iii) 공정 챔버의 가용성 및 수행되어질 공정의 유형에 기초하여 소정의 공정을 실행하는 단계;를 수행하기 위한 컴퓨터로 판독가능한 프로그램 코드를 포함한다. 공정 챔버를 모니터링하기 위하여, 폴링(polling) 등의 통상의 방법이 이용될 수 있다. 수행될 공정을 스케쥴링할 때, 시퀀서 서브루틴(430)은 선택된 공정에 대한 소정의 공정 조건과 비교하여 사용중인 공정 챔버의 현재 조건 또는 리퀘스트를 입력한 각각의 특정 사용자의 "연령" 또는 스케쥴링 우선순위를 결정하기 위해 시스템 프로그래머가 포함시키기를 희망하는 기타 다른 관련 변수를 고려하도록 설계될 수 있다.
시퀀서 서브루틴(430)이 어떠한 공정 챔버 및 공정 세트 조합이 다음에 실행될 것인가를 결정하였다면, 시퀀서 서브루틴(430)은 챔버 매니저 서브루틴(440)에 특정 공정 세트 변수를 전송함으로써 공정 세트가 실행되도록 하며, 상기 챔버 매니저 서브루틴(440)은 시퀀서 서브루틴(430)에 의해 결정된 공정 세트에 따라 반응기(10)내에서의 복수의 처리 작업을 제어한다. 예를 들어, 챔버 매니저 서브루틴(440)은 공정 챔버(10)에서의 CVD 공정 작업을 제어하기 위한 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(440)은 선택된 공정 세트를 실행하기 위해 필요한 챔버 구성요소의 작동을 제어하는 다양한 챔버 구성요소 서브루틴의 실행을 제어한다. 챔버 구성요소 서브루틴의 예는 서셉터 제어 서브루틴(450), 공정 가스 제어 서브루틴(460), 압력 제어 서브루틴(470), 히터 제어 서브루틴(480) 및 플라즈마 제어 서브루틴(480)이다. 당업자들은 반응기(10) 내에서 수행되어질 공정에 따라 다른 챔버 제어 서브루틴이 포함될 수 있음을 용이하게 이해할 수 있을 것이다.
작동에 있어서, 챔버 매니저 서브루틴(440)은 실행되는 특정 공정 세트에 따라 공정 구성요소 서브루틴을 선택적으로 스케쥴링하거나 불러낸다. 챔버 매니저 서브루틴(440)은 시퀀서 서브루틴(430)이 어떠한 공정 세트 및 반응기(10)가 다음에 실행될지를 스케쥴링하는 방식과 유사하게 공정 구성요소 서브루틴을 스케쥴링한다. 일반적으로, 챔버 매니저 서브루틴(440)은 다양한 챔버 구성요소를 모니터링하는 단계, 실행되어질 공정 세트에 대한 공정 변수에 따라 작동되어질 필요가 있는 구성요소를 결정하는 단계, 및 상기 모니터링 및 결정 단계에 응답하여 챔버 구성요소 서브루틴의 실행을 유발하는 단계를 포함한다.
이하, 특정 챔버 구성요소 서브루틴의 작동을 도 5를 참조하여 설명한다. 서셉터 제어 위치선정 서브루틴(450)은 서셉터(12) 상에 기판을 로딩하고, 선택적으로 기판과 가스 분배 매니폴드(11) 사이의 간격을 제어하기 위해 반응기(10) 내의 소정의 높이로 기판을 상승시키기 위해 이용되는 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함한다. 기판이 반응기(10)로 로딩될 때, 서셉터(12)는 기판을 수용하기 위해 하강되며, 그리고 나서, 서셉터(12)는 CVD 공정 중에 가스 분배 매니폴드(11)로부터 제 1 거리 또는 간격에 기판을 유지시키기 위해 챔버 내에 소정의 높이로 상승된다. 작동중에, 서셉터 제어 서브루틴(450)은 챔버 매니저 서브루틴(440)으로부터 전달된 공정 세트 변수에 반응하여 서셉터(12)의 운동을 제어한다.
공정 가스 제어 서브루틴(460)은 공정 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 갖는다. 공정 가스 제어 서브루틴(460)은 안전 차단 밸브의 개방 및 폐쇄 위치를 제어하며, 소정의 가스 유량을 얻기 위해 질량 유동 제어기를 상승 및 하강시킨다. 공정 가스 제어 서브루틴(460)은 모든 챔버 구성요소 서브루틴에서와 같이 챔버 매니저 서브루틴(440)에 의해 작동되며, 소정의 가스 유량과 관련된 공정 변수를 챔버 매니저 서브루틴으로부터 수신한다. 일반적으로, 공정 가스 제어 서브루틴(460)은 가스 공급 라인을 개방하며, 반복적으로 (i) 필요한 질량 유동 제어기를 판독하고, (ii) 상기 판독 사항을 챔버 매니저 서브루틴(440)으로부터 수신한 소정의 유량과 비교하며, 그리고 (iii) 필요에 따라 가스 공급 라인의 유량을 조절함으로써, 작동된다. 더욱이, 공정 가스 제어 서브루틴(460)은 위험 속도에 대한 가스 유량을 모니터링하는 단계와, 위험한 상태가 탐지될 때 안전 차단 밸브를 작동시키는 단계를 포함한다.
일부 공정에서, 헬륨, 아르곤 또는 질소 등의 비반응성 가스는, 반응성 공정 가스가 챔버로 도입되기 이전에, 챔버의 압력을 안정화시키기 위해 반응기(10)로 유입된다. 이러한 공정에 있어서, 공정 가스 제어 서브루틴(460)은 챔버 압력을 안정화시키기 위해 필요한 시간동안 반응기(10)로 비활성 가스를 유입시키는 단계를 포함하도록 프로그래밍되며, 그 다음, 전술한 단계들이 실행될 수 있다. 부가적으로, 공정 가스가 예를 들어 이소프로필 알코올 등의 액체 선구가스로부터 증발될 때, 공정 가스 제어 서브루틴(460)은 버블러(42)에서 액체 선구가스를 통해 오존 등의 전달 가스를 버블링하는 단계를 포함하도록 기록될 수 있다. 이러한 유형의 공정에 있어서, 공정 가스 제어 서브루틴(460)은 소정의 공정 가스 유량을 얻기 위해 전달 가스의 유동, 버블러 내의 압력 및 버블러 온도를 조절한다. 전술한 바와 같이, 소정의 공정 가스 유량이 공정 변수와 같이 공정 가스 제어 서브루틴(460)에 전달된다. 더욱이, 공정 가스 제어 서브루틴(460)은 주어진 공정 가스 유량에 대한 필요 수치를 포함하는 저장된 표에 접근함으로써 소정의 공정 가스 유량에 대한 필수 전달 가스 유량, 버블러 압력 및 버블러 온도를 얻는 단계를 포함한다. 필요 수치가 얻어지면, 전달 가스 유량, 버블러 압력 및 버블러 온도는 모니터링되며, 필요 수치와 비교되어 그에 따라 조절된다.
압력 제어 서브루틴(470)은 배기 펌프(32) 내의 트로틀 밸브의 개구의 크기를 조절함으로써 반응기(13) 내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 트로틀 밸브의 개구의 크기는 전체 공정 가스 유량, 공정 챔버의 크기 및 배기 펌프(32)의 펌핑 세트 포인트 압력과 관련하여 챔버 압력을 소정 레벨로 제어하도록 설정된다. 압력 제어 서브루틴(470)이 실행될 때, 소정의 또는 타겟 압력 레벨이 챔버 매니저 서브루틴(440)으로부터 변수로서 수신된다. 압력 제어 서브루틴(470)은 챔버에 연결된 하나 이상의 통상의 압력 나노미터를 판독함으로써 반응기(10) 내의 압력을 측정하고, 측정된 수치를 타겟 압력과 비교하며, 타겟 압력에 상응하는 저장된 압력 표로부터 PID(proportional, integral, differential:비례, 적분 및 미분) 수치를 얻고, 압력 표로부터 얻어진 PID 수치에 따라 트로틀 밸브를 조절하도록 작동된다. 선택적으로, 압력 제어 서브루틴(470)은 반응기(10)를 소정의 압력으로 조절하기 위해 트로틀 밸브를 특정 개구 크기로 개방 또는 밀폐시키도록 기록될 수 있다.
히터 제어 서브루틴(480)은 서셉터(12)를 가열하기 위해 이용되는 방사열 또는 가열 모듈의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(480)은 챔버 매니저 서브루틴(440)에 의해 또한 실행되며, 타겟, 또는 세트 포인트, 온도 변수를 수신한다. 히터 제어 서브루틴(480)은 서셉터(12) 내에 위치된 열전쌍의 전압 출력을 측정함으로서 온도를 측정하며, 측정된 온도를 세트 포인트 온도와 비교하고, 세트 포인트 온도를 얻기 위해 가열 모듈에 인가된 전류를 증가 또는 감소시킨다. 온도는 저장된 변환표내에서 해당 온도를 찾거나, 4차 다항식을 이용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 히터 제어 서브루틴(480)은 가열 모듈에 인가되는 전류의 상승/하강을 점진적으로 제어한다. 점진적인 상승 및 하강은 가열 모듈의 수명 및 신뢰성을 증가시킨다. 또한, 공정 안전 컴플라이언스를 탐지하기 위해 빌트인 페일-세이프(built-in-fail-safe) 모드가 포함될 수 있으며, 이는 반응기(10)가 적절하게 설치되어 있지 않은 경우 가열 모듈의 작동을 차단시킬 수 있다.
플라즈마 제어 서브루틴(490)은 반응기(10) 내의 공정 전극에 인가되는 RF 바이어스 전압 전력 레벨을 설정하고, 선택적으로 반응기 내에 발생된 자기장의 레벨을 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 구성요소 서브루틴과 유사하게, 플라즈마 제어 서브루틴(490)은 챔버 매니저 서브루틴(440)에 의해 실행된다.
전술한 CVD 시스템에 대한 설명은 예시하기 위한 것이며, 전극 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도 결합된 RF 고밀도 플라즈마 CVD 장치 등의 다른 플라즈마 CVD 설비가 이용될 수 있다. 부가적으로, 서셉터 구조, 히터 구조, RF 전력 접속부의 위치 등과 같은 전술한 시스템에 대한 변형이 가능하다. 예를 들어, 기판이 저항 가열된 서셉터에 의해 지지되고 가열될 수 있다.
3층 갭 충전 공정에서 산화된 유기실란 또는 유기실록산 절연체의 증착
본 발명의 산화된 유기실란 또는 유기실록산층은 대부분의 분야에서 실리콘 산화물 층을 대체하기 위해 이용될 수 있다. 본 발명의 다용도를 나타내는 실시예는 도 2의 반응기를 이용하는 도 6에 도시된 바와 같은 3층 갭 충전 공정이다. 도 6을 참조하면, 기판이 반응기(10) 내에 위치되며(단계:200), 낮은 유전 상수를 가진 산화된 유기실란 층이 유기실란 화합물 및/또는 유기실록산 화합물, 및 O2, 또는 N2 등의 산화 가스를 포함하는 플라즈마로부터 PECVD 공정에 의해 증착된다(단계:205). 증착 단계(205)는 공지된 방법에 따라 챔버(15)내에 유도결합되고 정전결합된 플라즈마 또는 정전결합된 플라즈마를 포함할 수 있다. 헬륨 등의 비활성 가스가 플라즈마 발생을 보조하기 위해 PECVD 공정에 통상적으로 이용된다. 갭 충전 층은, 바람직하게 라이너 층을 생성하기 위해 이용되는 유기실란 또는 유기실록산 화합물을 하이드록실 형성 화합물과 반응시킴으로써, 본 발명에 따른 라이너 층 위에 증착된다(단계:210). 갭 충전층은 바람직하게 자체적으로 평탄해지며, 바람직하게 물을 제거하기 위해 경화된 후 소수성을 갖는다. 캡층은 바람직하게 라이너 층을 증착하는 동일한 공정을 이용하여 갭 충전 층위에 증착된다(단계:215). 그 후, 기판은 반응기(10)로부터 제거된다(단계:220).
도 7a 내지 도 7e를 참조하면, 3층 갭 충전 공정은 산화된 유기실란 또는 유기실록산 중합체의 PECVD 라이너 층(300)을 제공한다. 라이너 층(300)은 연속하는 갭 충전층(302)과 하부 기판 표면(304) 그리고 기판 표면 상에 형성된 금속 라인(306,308,310) 사이의 절연층으로서 작용한다. 갭 충전층(302)은 산화된 유기실란 또는 유기실록산 중합체로 이루어진 PECVD 캡 층(312)에 의해 덮혀진다. 이 공정은 CVD 반응기(10)용 컴퓨터 제어기(34)의 메모리(38) 내에 저장된 컴퓨터 프로그램을 이용하여 실행되며 제어된다. 도 7a를 참조하면, PECVD 라이너 층(300)은 N2O 등의 산화 가스, 메틸실란(CH3SiH3), 트리메틸실란((CH3)3SiH) 등의 유기실란 또는 유기실록산 화합물, 헬륨 등의 캐리어 가스를 도입시킴으로써, 반응기(10) 내에서 증착된다. 기판은 PECVD 라이너 층의 증착 과정에서 약 -20℃ 내지 약 400℃의 온도에서 유지되며, 바람직하게는 약 -20℃ 내지 40℃의 온도에서 유지된다. PECVD 라이너 층(300)은 약 5 sccm 내지 약 500 sccm의 유량의 유기실란 및/또는 유기실록산 화합물과, 약 5 sccm 내지 약 2000 sccm의 유량의 산화 가스의 혼합물을 포함하는 공정 가스로 증착된다. 공정 가스는 약 200 sccm 내지 20,000 sccm의 유량으로 필름에 합체되지 않는 He, Ar 등의 비활성 가스 또는 질소 등 상대적으로 비활성인 가스에 의해 운반된다. 공정 가스는 기판 표면(304) 및 금속 라인(306,308,310) 상에 정합(conformal) 실리콘 산화물 층을 형성하기 위해 약 0.2 내지 약 20 Torr의 압력, 바람직하게 약 10 Torr 미만의 압력에서 반응한다. 반응은 약 0.05 W/cm2 내지 1000 W/cm2, 바람직하게는 약 1 W/cm2 미만, 가장 바람직하게는 약 0.1 내지 약 0.3 W/cm2 의 전력 밀도로 플라즈마 강화된다.
8인치 단일 기판 챔버에 있어서, 바람직하게, 약 13.56 MHz의 고주파 RF 소오스가 가스 분배 시스템에 연결되며, 약 10 내지 500 W로 구동되며, 약 350 KHz 내지 1 MHz의 저주파 RF 소오스가 서셉터에 선택적으로 연결되며, 약 0 내지 약 100 W로 구동된다. 바람직한 실시예서, 고주파 RF 소오스는 약 20 내지 약 250W의 펄스화된 RF 전력에서 구동되며, 저주파 RF 소오스는 약 10% 내지 약 30%의 듀티 사이클에서 약 0 내지 약 50 W의 펄스화된 RF 전력에서 구동된다. 바람직하게, 펄스화된 RF 전력은 짧은 인터벌로 주기화되며, 가장 바람직하게 약 200 Hz 미만의 주파수를 갖는다. 고주파 RF 전력이 일정할 때, 바람직하게, 전력 레벨의 범위은 약 20W 내지 약 100W이다.
산화된 유기실란 또는 유기실록산 층은 약 300℃ 내지 약 500℃의 온도 및 약 10 Torr 미만의 압력에서 경화된다. 선택적으로, 부가적인 절연층이 증착된 이후에 경화가 수행될 수 있다.
전술한 공정 조건은 도 7b에 도시된 갭 충전층(302)의 연속적인 증착을 위한 개선된 장벽 특성을 갖는 분당 약 2000Å의 PECVD 라이너 층(300)의 증착을 유발한다. 메틸실란으로부터 얻어진 라이너 층은 소수성을 갖기에 충분한 C-H 결합을 가지며, 우수한 수분 장벽이다. 소수성 라이너 층의 증착은 연속하는 친수성 갭 충전층을 우수한 수분 장벽 특성을 갖는 소수성 층으로 변환시키는 의외의 우수한 결과를 나타낸다.
갭 충전층(302)용 공정 가스는 임의의 유기실리콘 화합물을 포함할 수 있으나, 바람직하게 라이너 층에 이용된 것과 동일한 유기실리콘 화합물을 포함한다. 공정 가스는 과산화수소, 디메틸디옥시란, 물, 및 이소프로필 알코올 등의 하이드록실 형성 화합물을 더 포함한다. 바람직하게, 과산화수소는 약 50 중량%의 과산화수소(H2O2)이며, 이는 증발되어 헬륨 등의 비활성 캐리어 가스와 혼합된다. 선택적으로, 하이드록실 형성 화합물은 전술한 바와 같은 반응 시스템 내에서 형성될 수 있다.
공정 가스 유동 범위는, He의 경우 0 내지 8000 sccm이고, (CH3)XSiH4-x 등의 유기실리콘 화합물의 경우 10 내지 1200 sccm이며, H2O2 또는 디메틸디옥시란 등의 하이드록실 형성 화합물의 경우 20 내지 3000 sccm이다. 바람직한 가스 유동 범위는 He의 경우 500 내지 4000 sccm이고, (CH3)XSiH4-x 의 경우 100 내지 500 sccm이며, 하이드록실 형성 화합물의 경우 100 내지 1000 sccm이다. 상기 유량은 약 5.5 내지 6.5 리터의 체적을 갖는 챔버의 경우이다. 하이드록실 형성 화합물이 물 또는 다른 화합물의 산화에 의해 생성될 때, 유동 범위는 약 6 내지 20 중량%의 오존을 함유한 산소 등의 산화 가스의 경우 2000 내지 6000 sccm이고, 물 또는 다른 하이드록실 소오스의 경우 500 내지 2000 mg/min이다.
바람직하게, 갭 충전층(302)의 증착중에, 반응기(10)는 약 0.2 내지 약 5 torr의 압력에서 유지되며, 약 40℃ 미만의 기판 온도를 유지한다. 반응기 내의 가스 분배 시스템의 온도는 약 70℃ 내지 약 130℃의 온도에서 유지된다. 갭 충전 층(302)은 도 7d에 도시된 캡층(312)의 증착 이전에 물 등의 용제를 제거하기 위해 도 7c에 도시된 것과 같이 부분적으로 경화될 수 있다. 바람직하게, 경화는 비활성 가스 분위기에서 10 Torr 이하의 진공을 유지함으로써 반응기(10) 내에서 또는 열 어닐링 챔버 내에서 이루어진다.
메틸실란으로부터 생성된 갭 충전층은 일반적으로 친수성을 가지며 열등한 수분 장벽 특성을 갖는다. 메틸실란으로부터 생성된 라이너 층 위에 증착될 때, 메틸실란으로부터 생성된 갭 충전층은 소수성을 가지며 우수한 수분 장벽 특성을 갖는다.
도 7d를 참조하면, 갭 충전 층(302)이 증착된 이후에, 반응기(10)는 캡층(312)의 증착을 위해 본 발명의 산화된 유기실란 또는 유기실록산 층의 증착을 선택적으로 재개할 수 있다. 도 7e를 참조하면, 캡층이 증착된 이후에. 증착된 층이 있다면, 이는 잔류 용제 또는 물을 제거하기 위해 약 300℃ 내지 약 500℃의 온도에서 로 또는 다른 챔버 내에서 경화된다. 물론, 처리 조건은 증착된 필름의 소정 특성에 따라 변동될 수 있다.
본 발명은 하기의 실시예에 의해 보다 상세히 기술되어질 것이다.
실시예
다음의 실시예는 낮은 유전 상수를 갖는 절연층을 증착시키기 위해 유기실리콘 화합물 및 하이드록실 형성 화합물의 반응을 나타낸다. 상기 실시예는 화학 기상 증착 챔버, 특히 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드에 의해 제조되고 시판된 두 부분의 석영 공정 키트를 갖는 고체상 RF 정합 유닛을 포함하는 "CENTURA DxZ" 시스템을 참조한다.
과산화물 소오스(가설)
산화된 트리메틸실란 필름은 다음과 같이 반응기로 유입되는 반응 가스로부터 3.0 Torr의 챔버 압력 및 0℃의 기판 온도로 증착된다.
트리메틸실란, (CH3)3SiH, 200 sccm
과산화수소, H2O2 500 sccm
헬륨, He, 1000 sccm
기판은 가스 분배 샤워헤드로부터 200 내지 1000 mils, 바람직하게 600 mils 이격되어 위치되며, 증착은 1000Å 내지 2㎛의 두께로 이루어진다. 그 후, 필름은 약 30 내지 약 120분동안 400℃의 온도에서 경화된다. 산화된 트리메틸실란 재료는 약 3.0 미만의 유전 상수를 갖는 것으로 추정된다.
제 1 하이드록실 소오스(가설)
산화된 트리메틸실란 층은 다음과 같이 반응기로 유입되는 반응 가스로부터 3.0 Torr의 챔버 압력 및 25℃의 기판 온도로 증착된다.
트리메틸실란, (CH3)3SiH, 500 sccm
이소프로필 알코올 1000 mg/min
오존, 12 중량%의 O3를 함유한 O2 5000 sccm
헬륨, He, 4000 sccm
이소프로필 알코올은 약간의 헬륨과 혼합되며 혼합 챔버 내에서 오존 및 부가의 헬륨과 결합된다. 기판은 가스 분배 샤워헤드로부터 600 mil 이격되어 위치된다. 층은 약 30 내지 약 120분동안 400℃의 온도에서 경화된다. 산화된 트리메틸실란 재료는 약 3.0 미만의 유전 상수를 갖는 것으로 추정된다.
제 2 하이드록실 소오스(가설)
디메틸실란 층은 다음과 같이 반응기로 유입되는 반응 가스로부터 3.0 Torr의 챔버 압력 및 25℃의 기판 온도로 증착된다.
디메틸실란, (CH3)2SiH2, 60 sccm
디메틸디옥시란 200 sccm
헬륨, He, 4000 sccm
디메틸디옥시란은 액체 분사 시스템 내에서 아세톤과 칼륨 모노페록시 설페이트의 반응에 의해 먼저 제조된다. 디메틸디옥시란은 실온에서 가스 상태이며, 혼합 챔버 내에서 헬륨과 결합된다. 기판은 가스 분배 샤워헤드로부터 600 mil 이격되어 위치된다. 층은 약 30 내지 약 120분동안 400℃의 온도에서 경화된다. 경화된 이후에, 산화된 디메틸실란 재료는 약 3.0 미만의 유전 상수를 갖는 것으로 추정된다.
상기 설명에서는 본 발명의 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
본 발명에 따라 낮은 유전 상수를 가지며 하이드록실 군을 함유하는 실리콘 산화물의 균일한 증착물을 제공할 수 있다.

Claims (20)

  1. 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법으로서,
    약 400℃ 미만의 온도에서 기판이 수용된 챔버 내에서 하이드록실 형성 화합물과 하나 이상의 실리콘-탄소 결합을 가진 하나 이상의 실리콘 화합물을 반응시키는 단계; 및
    상기 낮은 유전 상수 필름을 경화시키는 단계를 포함하고,
    상기 하이드록실 형성 화합물은 상기 기판 위에 실리콘-탄소 결합을 포함하는 낮은 유전 상수 필름을 증착하기 위해 6 내지 20 wt%의 오존(O3) 및 산소(O2) 가스를 포함하는 산화 가스로 물 또는 하이드록실을 형성하는 유기 화합물을 산화시킴에 의해 만들어지는,
    증착 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 화합물이 둘 이상의 실리콘-탄소 결합을 포함하는,
    증착 방법.
  3. 제 1 항에 있어서,
    상기 실리콘 화합물이 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 디실라노메탄, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸시라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라노-2,4,6-트리메틸렌, 1,3-디메틸디실록산, 1,1,3,3-테트라메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록사닐)-메탄, 2,2-비스(1-메틸디실록사닐)프로판, 2,4,6,8,10-펜타메틸-시클로펜타실록산, 1,3,5,7-테트라-실라노-2,6-디옥시-4,8-디메틸렌, 2,4,6-트리실란테트라-하이드로피란, 2,5-디실란-테트라하이드로푸란, 상기 물질들의 플루오르화된 탄소 유도체, 및 그 혼합물로 이루어진 군으로부터 선택되는,
    증착 방법.
  4. 제 1 항에 있어서,
    상기 하이드록실 형성 화합물이 오존과 물을 반응시킴에 의해 형성되는,
    증착 방법.
  5. 제 1 항에 있어서,
    상기 하이드록실 형성 화합물이 오존과 이소프로필 알코올을 반응시킴에 의해 형성되는,
    증착 방법.
  6. 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법으로서,
    일정한 RF 전력 레벨에서 하나 이상의 실리콘-탄소 결합을 포함하는 실리콘 화합물 및 산화 가스를 포함하는 제 1 공정 가스로부터 패턴화된 금속 층 위에 정합 라이너 층을 증착하는 단계; 및
    상기 실리콘 화합물과 6 내지 20 wt%의 오존(O3) 및 산소(O2) 가스를 포함하는 산화 가스로 물 또는 하이드록실을 형성하는 유기 화합물을 산화시킴에 의해 만들어지는 하이드록실 형성 화합물을 포함하는 제 2 공정 가스로부터 약 400℃ 미만의 기판 온도에서 상기 라이너 층 위에 갭 충전 층을 증착하는 단계;를 포함하는,
    증착 방법.
  7. 제 6 항에 있어서,
    상기 실리콘 화합물이 둘 이상의 실리콘-탄소 결합을 포함하는,
    증착 방법.
  8. 제 6 항에 있어서,
    상기 갭 충전 층이 약 40℃ 미만의 기판 온도에서 증착되는,
    증착 방법.
  9. 제 6 항에 있어서,
    상기 하이드록실 형성 화합물이 오존과 물을 반응시킴에 의해 만들어지는,
    증착 방법.
  10. 제 6 항에 있어서, 상기 하이드록실 형성 화합물이 오존과 이소프로필 알코올을 반응시킴에 의해 만들어지는,
    증착 방법.
  11. 제 10 항에 있어서, 상기 하이드록실 형성 화합물이 과산화수소인,
    증착 방법.
  12. 제 6 항에 있어서,
    상기 실리콘 화합물 및 산화 가스를 포함하는 제 3 공정 가스로부터 상기 갭 충전 층 위에 캡 층을 증착하는 단계;를 더 포함하는,
    증착 방법.
  13. 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법으로서,
    일정한 RF 전력 레벨에서 하나 이상의 실리콘-수소 결합 및 하나 이상의 탄소-실리콘 결합을 포함하는 제 1 실리콘 화합물 및 제 1 산화 가스를 포함하는 제 1 공정 가스로부터 패턴화된 금속 층 위에 정합 라이너 층을 증착하는 단계; 및
    하나 이상의 탄소-실리콘 결합 및 하나 이상의 실리콘-수소 결합을 포함하는 제 2 실리콘 화합물과 6 내지 20 wt%의 오존(O3) 및 산소(O2) 가스를 포함하는 제 2 산화 가스로 물 또는 하이드록실을 형성하는 유기 화합물을 산화시킴에 의해 만들어지는 하이드록실 형성 화합물을 포함하는 제 2 공정 가스로부터 약 400℃ 미만의 기판 온도에서 상기 라이너 층 위에 갭 충전 층을 증착하는 단계;를 포함하는,
    증착 방법.
  14. 제 13 항에 있어서,
    상기 제 2 실리콘 화합물이 둘 이상의 실리콘-탄소 결합을 포함하는,
    증착 방법.
  15. 제 13 항에 있어서,
    상기 제 1 실리콘 화합물 및 제 1 산화 가스를 포함하는 제 3 공정 가스로부터 상기 갭 충전 층 위에 캡 층을 증착하는 단계;를 더 포함하는,
    증착 방법.
  16. 제 13 항에 있어서,
    상기 하이드록실 형성 화합물이 물의 산화에 의해 만들어지는,
    증착 방법.
  17. 제 13 항에 있어서,
    상기 하이드록실 형성 화합물이 하이드로겐 페록사이드(hydrogen peroxide)인,
    증착 방법.
  18. 제 13 항에 있어서,
    상기 제 2 실리콘 화합물이 트리메틸실란인,
    증착 방법.
  19. 제 13 항에 있어서,
    상기 하이드록실 형성 화합물이 이소프로필 알코올의 산화에 의해 만들어지는,
    증착 방법.
  20. 제 19 항에 있어서,
    상기 하이드록실 형성 화합물이 과산화수소인,
    증착 방법.
KR1020000034509A 1999-06-22 2000-06-22 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법 KR100787657B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/338,470 US6413583B1 (en) 1998-02-11 1999-06-22 Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US09/338,470 1999-06-22

Publications (2)

Publication Number Publication Date
KR20010069210A KR20010069210A (ko) 2001-07-23
KR100787657B1 true KR100787657B1 (ko) 2007-12-21

Family

ID=23324944

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000034509A KR100787657B1 (ko) 1999-06-22 2000-06-22 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법

Country Status (5)

Country Link
US (1) US6413583B1 (ko)
EP (1) EP1063692A1 (ko)
JP (2) JP2001148382A (ko)
KR (1) KR100787657B1 (ko)
TW (1) TW457630B (ko)

Families Citing this family (462)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6689284B1 (en) * 1999-09-29 2004-02-10 Kabushiki Kaisha Toshiba Surface treating method
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6649219B2 (en) * 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6624091B2 (en) 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6559033B1 (en) * 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
KR20060097768A (ko) * 2001-08-30 2006-09-15 동경 엘렉트론 주식회사 성막 방법 및 성막 장치
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
JP2004109888A (ja) * 2002-09-20 2004-04-08 Yasuo Kokubu 光導波路及びその製造方法
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP4344841B2 (ja) * 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
US20070231485A1 (en) * 2003-09-05 2007-10-04 Moffat William A Silane process chamber with double door seal
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US6909934B1 (en) * 2004-01-05 2005-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Efficient method of dynamic formulation of chamber selections for multiple chamber tools
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
DE102004020328A1 (de) * 2004-04-26 2005-11-03 Infineon Technologies Ag Verfahren zur Abscheidung einer mit Kohlenstoff dotierten siliziumhaltigen dielektrischen Schicht
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
EP2024532A4 (en) * 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR20090045936A (ko) * 2006-08-15 2009-05-08 제이에스알 가부시끼가이샤 막 형성용 재료, 및 규소 함유 절연막 및 그의 형성 방법
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
JP5170445B2 (ja) * 2007-02-14 2013-03-27 Jsr株式会社 ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009008424A1 (ja) * 2007-07-10 2009-01-15 Jsr Corporation ケイ素化合物の製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9365924B2 (en) * 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11393711B2 (en) * 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022230944A1 (ko) * 2021-04-29 2022-11-03
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5360646A (en) * 1992-09-10 1994-11-01 Applied Materials, Inc. Chemical vapor deposition method of silicon dioxide film
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4303632A (en) 1979-12-14 1981-12-01 E. I. Du Pont De Nemours And Company Preparation of hydrogen peroxide
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
CA1317740C (en) 1988-10-24 1993-05-18 Karl T. Chuang Production of hydrogen peroxide
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP3319014B2 (ja) * 1992-04-03 2002-08-26 ソニー株式会社 成膜方法、成膜装置、及び半導体装置の製造方法
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP2826787B2 (ja) * 1992-08-26 1998-11-18 富士通株式会社 半導体装置
US5610105A (en) 1992-10-23 1997-03-11 Vlsi Technology, Inc. Densification in an intermetal dielectric film
GB9402486D0 (en) 1994-02-09 1994-03-30 Electrotech Ltd Forming a layer
US5503882A (en) * 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
US5534462A (en) 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
FR2734402B1 (fr) 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) * 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09212535A (ja) 1996-01-31 1997-08-15 Hitachi Ltd プリント基板への部品実装設計方法およびその支援装置
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
WO1998008249A1 (en) 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
GB9618620D0 (en) 1996-09-06 1996-10-16 Electrotech Equipments Ltd A method of forming a layer
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5691247A (en) 1996-12-19 1997-11-25 Tower Semiconductor Ltd. Method for depositing a flow fill layer on an integrated circuit wafer
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH10313003A (ja) * 1997-05-13 1998-11-24 Sony Corp 酸化シリコン系誘電体膜の形成方法
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6114032A (en) * 1998-04-10 2000-09-05 The University Of North Texas Films for use in microelectronic devices and methods of producing same
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6255221B1 (en) * 1998-12-17 2001-07-03 Lam Research Corporation Methods for running a high density plasma etcher to achieve reduced transistor device damage
JP3088714B1 (ja) * 1999-03-05 2000-09-18 キヤノン販売株式会社 基板表面の清浄化方法及び半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5360646A (en) * 1992-09-10 1994-11-01 Applied Materials, Inc. Chemical vapor deposition method of silicon dioxide film
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process

Also Published As

Publication number Publication date
JP2011071555A (ja) 2011-04-07
JP2001148382A (ja) 2001-05-29
KR20010069210A (ko) 2001-07-23
TW457630B (en) 2001-10-01
US6413583B1 (en) 2002-07-02
EP1063692A1 (en) 2000-12-27

Similar Documents

Publication Publication Date Title
KR100787657B1 (ko) 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법
US6596655B1 (en) Plasma processes for depositing low dielectric constant films
US6537929B1 (en) CVD plasma assisted low dielectric constant films
US7205249B2 (en) CVD plasma assisted low dielectric constant films
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
US6593247B1 (en) Method of depositing low k films using an oxidizing plasma
US6171945B1 (en) CVD nanoporous silica low dielectric constant films
US6890639B2 (en) Very low dielectric constant plasma-enhanced CVD films
KR100605770B1 (ko) 저 유전상수 필름을 증착하는 플라즈마 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee