JP2006502586A - 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜 - Google Patents

耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜 Download PDF

Info

Publication number
JP2006502586A
JP2006502586A JP2004543368A JP2004543368A JP2006502586A JP 2006502586 A JP2006502586 A JP 2006502586A JP 2004543368 A JP2004543368 A JP 2004543368A JP 2004543368 A JP2004543368 A JP 2004543368A JP 2006502586 A JP2006502586 A JP 2006502586A
Authority
JP
Japan
Prior art keywords
process gas
silicon carbide
gas mixture
oxygen
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004543368A
Other languages
English (en)
Other versions
JP5031987B2 (ja
JP2006502586A5 (ja
Inventor
イー チェン,
スリニヴァ, ディー. ネマニ,
リ−クン シャー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006502586A publication Critical patent/JP2006502586A/ja
Publication of JP2006502586A5 publication Critical patent/JP2006502586A5/ja
Application granted granted Critical
Publication of JP5031987B2 publication Critical patent/JP5031987B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Abstract

基板を処理する方法であって、フェニル基を含む有機シリコン化合物を含む処理ガスを処理チャンバに供給するステップと、処理ガスを反応させて低k誘電物質によるダマシン又はデュアルダマシン適用においてバリヤ層として用いられる低kシリコンカーバイドバリヤ層を堆積させるステップとを含む、前記方法が提供される。低kシリコンカーバイドバリヤ層上に酸素を含まない有機シリコン化合物を含む処理ガスからのシリコン原子にフェニル基がほとんど結合されていないシリコンカーバイドキャップ層を堆積する方法が提供される。

Description

発明の背景
発明の分野
[0001]本発明は、集積回路の製造、更に詳細には、基板上に誘電体層を堆積させる方法、及び誘電体層によって形成された構造に関する。本発明は、更に、基板上にバリヤ層を堆積させる方法、およびバリヤ層によって形成された構造に関する。
関連技術の説明
[0002]半導体デバイスの形は、数十年前にそのようなデバイスが最初に導入されてから、サイズが劇的に縮小してきた。それ以来、集積回路は、一般に二年/半分のサイズという法則(しばしばムーアの法則と呼ばれる)が続き、それはチップ上で適合するデバイスの数が二年毎に2倍になることを意味している。今日の製造プラントは、特徴部サイズが0.35μm、更に0.18μmであるデバイスを日常的に製造している。未来のプラントはまもなく更に形が小さいなデバイスを製造するであろう。
[0003]集積回路についてデバイスのサイズを更に縮小させるために、抵抗率の低い導電物質を用い、隣接した金属ライン間の容量結合を更に低下させるために誘電率が低い(4.0未満の誘電率)の絶縁物質を用いる必要がある。そのような低k物質は、化学気相堆積プロセスによって堆積されるシリコンオキシカーバイドおよびシリコンカーバイドを含み、これらのいずれもがダマシン特徴部を製造するのに絶縁物質として用いることができる。
[0004]抵抗率が低い一導電物質銅やその合金であり、銅がアルミニウムより抵抗率が低く(アルミニウムの3.1μΩ-cmと比べて1.7μΩ-cm)、電流が大きく、送電力が大きいことから、サブクウォータミクロン相互接続技術に選択される物質になった。これらの特性は、高レベルの集積化と高デバイス速度で経験した高い電流密度を支持するために重要である。更に、銅は熱導電性が良好であり、高度に純粋な状態で用いうる。
[0005]半導体デバイスにおいて銅を用いる際の一つの難しさは、銅がエッチングしにくく正確なパターンを得にくいことである。相互接続部を形成する従来の堆積/エッチングプロセスを用いて銅をエッチングすることは満足なものではなかった。それ故、銅含有物質と低k誘電物質を有する相互接続部の新規な製造方法が開発されている。
[0006]垂直な相互接続部や水平な相互接続部を形成するための一方法は、ダマシン法又はデュアルダマシン法によるものである。ダマシン法においては、1種以上の誘電物質、例えば、低k誘電物質を堆積しパターンエッチングして垂直な相互接続部、例えば、バイア、水平な相互接続部、例えば、ラインを形成する。その後、銅含有物質のような導電材料や他の材料、例えば、銅含有物質の周囲の低k誘電体への拡散を防止するために用いられるバリヤ層物質が、エッチングパターンへはめ込まれる。その後、エッチングされたパターン外部の、例えば、基板のフィールド上のあらゆる過剰の銅含有物質や過剰のバリヤ層物質が除去される。
[0007]しかしながら、低k誘電物質は、しばしば多孔性であり、銅のような導電材料の中間層拡散を受けやすく、短絡の形成やデバイスの故障を引き起こし得る。更に、低k誘電物質はしばしば酸化を受けやすい。誘電バリヤ層物質は、しばしば中間層拡散を防止するために銅物質と周囲の低k物質間にしばしば配置されている。しかしながら、窒化シリコンのような従来の誘電バリヤ層物質は、しばしば7以上の高誘電率を有する。そのような高k誘電物質と周囲の低k絶縁物質との組合わせによって、所望の誘電率より高い誘電体の積み重ね絶縁物の積層が生じる。
[0008]それ故、低誘電率とダマシン適用に対して良好な耐酸化性をもった誘電バリヤ層物質の改善された堆積方法が依然として求められている。
発明の概要
[0009]本発明の態様は、一般的には、低誘電率とバリヤ層に隣接したキャップ層を有するバリヤ層を堆積させる方法を提供する。一態様においては、本発明は、基板を処理する方法であって、有機シリコン化合物を含む第一処理ガスを処理チャンバへ導入し、ここで、有機シリコン化合物は式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する、その処理ガス混合物を反応させてバリヤ層を堆積させることにより基板上にシリコンカーバイドバリヤ層を堆積させるステップであって、該バリヤ層の誘電率は4未満であり、シリコン原子に結合したフェニル基を含有する、前記ステップと、酸素を含まない有機シリコン化合物を含む第二処理ガス混合物を処理チャンバへ導入し、第二処理ガス混合物を反応させてシリコンカーバイドキャップ層を堆積させることによりバリヤ層上にシリコンカーバイドキャップ層を堆積させるステップであって、シリコンカーバイドキャップ層がシリコン原子に結合したフェニル基をほとんど有しない、前記ステップと、を含む、前記方法を提供する。
[00010]他の態様においては、基板を処理する方法であって、第一有機シリコン化合物を含む第一処理ガス混合物を処理チャンバへ導入し、ここで、第一有機シリコン化合物は式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する、その処理ガスを反応させてバリヤ層を堆積させることにより基板上にシリコンカーバイドバリヤ層を堆積させるステップであって、バリヤ層の誘電率が4未満である、前記ステップと、式SiHx(CH3)y(C25)z(式中、xは1〜3であり、yは0〜3であり、zは0〜3である。)を有する第二有機シリコン化合物を含む第二処理ガス混合物を処理チャンバへ導入し、第二処理ガス混合物を反応させてシリコンカーバイドキャップ層を堆積させることにより該バリヤ層上にシリコンカーバイドキャップ層を堆積させるステップと、を含む、前記方法が提供される。
[0011]本発明の上記態様が達成される方法が詳細に理解され得るように、上で簡単に纏めた本発明のより具体的な説明は、添付の図面で示されるその実施形態によってなされるものである。
[0012]しかしながら、添付の図面には本発明の典型的な実施形態のみ示されているので、本発明の範囲を制限するものとみなすべきでなく、本発明が他の等しく有効な実施形態を許容することができることは留意すべきである。
[0015]本発明の態様を更に理解するために、次の詳細な説明を参照しなければならない。
好適実施形態の詳細な説明
[0016]本明細書に記載される本発明の態様は、低誘電率を有するシリコンカーバイドバリヤ層を堆積させるための方法および前駆物質に言及する。シリコンカーバイドバリヤ層は水素を含むことができ、膜特性を改善するために酸素、窒素、臭素、リン、又はその組合わせでドープすることができる。ドープされたシリコンカーバイドは、一般的には約15原子パーセント(原子%)以下のドーパント、例えば、酸素、窒素、臭素、リン、又はその組合わせを含んでいる。
[0017]シリコンカーバイドバリヤ層は、式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する有機シリコン化合物を含む処理ガスをプラズマ内で反応させて炭素-シリコン結合を含み且つ誘電率が4未満、好ましくは約3.5未満である誘電体層を形成することにより堆積させる。酸素(O2)又は酸素をドープするシロキサン化合物、又は臭素をドープするボラン(BH3)のようなドーパント物質も堆積プロセス中に存在させることができる。
[0018]予想外にまた驚くべきことに、シリコンカーバイド物質を式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する有機シリコン化合物で本明細書に記載される処理パラメータによって堆積させると、トリメチルシラン(TMS)のような市販のアルキルシラン前駆物質によって製造されたシリコンカーバイド膜より中間層拡散抵抗が約100%高いようなバリヤ層特性が改善された誘電率が4未満のシリコンカーバイド膜を製造することが発見がされた。これは、フェニル基が堆積した誘電物質の多孔性を増大させ、それにより堆積した誘電物質の中間層拡散抵抗が減少することが見出されたことから、予期しないものである。バリヤ層を誘電体層に隣接して堆積させる実施形態においては、バリヤ層はシリコン、酸素、炭素、および水素を含む誘電体層に隣接して堆積させることが好ましく、その誘電率は約4未満、例えば、約3未満である。
[0019]バリヤ層物質に用いられる有機シリコン化合物は、一般的には下記の構造を含んでいる。
Figure 2006502586
(式中、Rはフェニル基であり、R1、R2、R3はH、C、および/又はSiを含む基である。)有機シリコン化合物は、式SiHa(CH3)b(C65)c、(式中、aは0〜3であり、bは0〜3であり、cは1〜4であり、a+b+cは4である。)を含む。この式から得られる適切な前駆物質の例は、ジフェニルシラン、ジメチルフェニルシラン、ジフェニルメチルシラン、フェニルメチルシラン、およびその組合わせを含んでいる。好ましくは、bは1〜3であり、cは1〜3である。バリヤ層物質として堆積に最も好ましい有機シリコン化合物は、式SiHa(CH3)b(C65)c(式中、aは1又は2であり、bは1又は2であり、cは1又は2である。)を有する有機シリコン化合物を含んでいる。好ましい前駆物質の例は、ジメチルフェニルシランやジフェニルメチルシランを含んでいる。処理ガスは、不活性ガス、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、窒素(N2)、およびその組合わせを含むことができる。
[0020]処理ガスは、酸素および窒素を堆積したシリコンカーバイド物質をドープするために、それぞれSi-O-Si結合基を有する化合物、例えば、有機シロキサン化合物、Si-N-Si結合基を有する化合物、例えば、シラザン化合物、又はその組合わせを更に含むことができる。アルキルシラン前駆物質、例えば、トリメチルシラン(TMS)は、所望の膜特性を修正又は変更させるように本明細書に記載される有機シリコン前駆物質と用いることもできる。
[0021]シロキサン結合を有する前駆物質は、膜の誘電率を低下させるとともに膜の電流漏れを減少させることができる酸素を結合したシリコンカーバイド膜を与える。適切なシロキサン前駆物質の例としては、環状化合物、例えば、1,3,5,7-テトラメチルシクロテトラシロコキサン(TMCTS)又はオクタメチルシクロテトラシロキサン(OMCTS)、脂肪族化合物、例えば、1,1,3,3-テトラメチルジシロキサン(TMDSO)が含まれる。
[0022]シラザン化合物中のように結合窒素を有する化合物は、膜の硬度を改善させるとともに膜の電流漏れを減少させることができる。適切なシリザン前駆物質の例としては、脂肪族化合物、例えば、ヘキサメチルジシラザンやジビニルテトラメチルジシリザン、環状化合物、例えば、ヘキサメチルシクロトリシラザンが含まれる。
[0023]バリヤ層は、堆積物質の誘電率を低下させるために酸素、臭素、又はリンで更にドープされてもよい。処理ガス中のドーパントと有機シリコン化合物の原子比は、約1:5以上、例えば、約1:5〜約1:100である。低kシリコンカーバイド層のリンおよび/又は臭素ドーピングは、堆積プロセスの間チャンバへのような、ホスフィン(PH3)又はボラン(BH3)、又はそのボラン誘導体、例えば、ジボラン(B26)を導入することにより行うことができる。
[0024]酸素ドーピングは、酸素含有ガス、例えば、酸素(O2)、オゾン(O3)、亜酸化窒素(N2O)、一酸化炭素(CO)、二酸化炭素(CO2)、又はその組合わせを任意により含むことにより行うことができる。シロキサン化合物は、堆積した物質の酸素ドーピングのために処理ガスにおいて用いることができる。窒素ドーピングは、窒素含有ガス、例えば、アンモニア(NH3)、窒素(N2)、シラザン化合物、又はその組合わせを任意により含むことによって行うことができる。
[0025]シリコンカーバイドバリヤ層は、一実施形態においては、有機シリコン化合物、例えば、ジフェニルシランをプラズマ処理チャンバに約10ミリグラム/分(mgm)〜約1500mgmの流量で供給し、任意によりドーパントを約10sccm〜約2000sccmの流量で供給し、不活性ガスを約1sccm〜約10000sccmで供給し、基板温度を約0℃〜約500℃に維持し、チャンバ圧を約500Torrより低く、RF電力を約0.03ワット/cm2〜約1500ワット/cm2に維持することにより堆積させることができる。
[0026]RF電力は、約13MHz〜14MHzの高周波、および/又は100kHz〜1000kHzの低周波で供給され得る。RF電力は、電力が約200Hz未満の前述のサイクルレベルでオンであり、全デューティサイクルの約10%〜30%の全体のオンサイクルである持続サイクルを連続的に又は短く供給することができる。処理ガスはガス分配器によってチャンバへ導入することができ、ガス分配器は基板表面から約200ミル〜約1200ミルに位置することができる。ガス分配器は、堆積プロセスの間の約300ミル〜約800ミルに配置することができる。
[0027]本明細書に記載されるプロセスを行うのに適したリアクタは、アプライドマテリアルズ社、カリフォルニア州サンタクララから市販されているDxZ(登録商標)化学気相堆積チャンバである。本明細書のプロセスにおいて用いることができるCVDリアクタの例は、Wangらに発行され本発明の譲受人であるアプライドマテリアルズ社に譲渡された、熱CVD/PECVDリアクタおよび二酸化シリコンの熱化学気相堆積のための使用およびインサイチュ多段平坦化プロセスと称する米国特許第5,000,113号に記載されている。
[0028]アプライドマテリアルズ社、カリフォルニア州サンタクララから入手できる堆積チャンバで200mm(ミリメートル)基板上に具備された場合、上記プロセスパラメータは、約50オングストローム/分〜約20,000オングストローム/分の範囲、例えば、約100オングストローム/分〜約3000オングストローム/分の範囲内にシリコンカーバイド層の堆積割合を与える。
[0029]好ましいシリコンカーバイドバリヤ層堆積プロセスの例としては、ジメチルフェニルシランを約500mg/分で処理チャンバへ導入するステップと、ヘリウムを約1000sccmで処理チャンバへ導入するステップと、200ワットのRF電力を印加することにより処理チャンバ内にプラズマを生成させるステップと、基板温度を約350℃に維持するステップと、チャンバ圧を約6Torrに維持してシリコンカーバイドバリヤ層を堆積させるステップが含まれる。ガス分配器と基板表面の間隔は、450ミルであった。シリコンカーバイド層バリヤは、このプロセスによって約1500オングストローム/分で堆積させることができる。堆積したシリコンカーバイドバリヤ層は、誘電率約3.4を示した。
[0030]好ましいシリコンカーバイドバリヤ層堆積プロセスの他の例は、ジメチルフェニルシランを約100mg/分で処理チャンバへ導入するステップと、ヘリウムを約2000sccmで処理チャンバへ導入するステップと、100、200、又は300ワットのRF電力を印加することにより処理チャンバ内でプラズマを生成させるステップと、基板温度を約350℃に維持するステップと、チャンバ圧を約6Torrに維持してシリコンカーバイドバリヤ層を堆積させるステップとを含んでいる。ガス分配器と基板表面との間隔は、650ミルであった。堆積したシリコンカーバイドバリヤ層は、誘電率が約3.42〜約3.96を示した。
[0031]堆積後、堆積した誘電物質、即ち、堆積したシリコンカーバイドバリヤ層は、所望される場合には誘電物質の水分を減少させ、固体性と硬度を増加させるために約100℃〜約450℃の温度で、約1分〜約60分間、好ましくは約30分間アニールされてもよい。アニールは、好ましくは、誘電体層の収縮又は変形を防止する次層の堆積前に行われる。不活性ガス、例えば、アルゴンやヘリウムをアニール雰囲気に添加することができる。
[0032]堆積したシリコンカーバイドバリヤ層は、次の堆積物質がその上に堆積される前に、シリコンカーバイド層の露出した表面の汚染物質を除去、さもなければ洗浄するためにプラズマ処理されてもよい。プラズマ処理は、シリコンと炭素含有物質を堆積するために用いた同様のチャンバで行うことができる。プラズマ処理は、未処理のシリコンカーバイド物質より高密度物質の保護層を形成することにより膜の安定性を改善すると考えられる。プラズマ処理は、また、次の層の膜接着を改善すると考えられる。更に高密度のシリコンカーバイド物質は、未処理のシリコンカーバイド物質より、酸素に晒された時に酸化物を形成するように化学反応に対する耐性が大きくなると考えられる。
[0033]プラズマ処理は、一般的には、処理チャンバにヘリウム、アルゴン、ネオン、キセノン、クリプトン、又はその組合わせを含む不活性ガス、好ましくはヘリウム、および/又は水素、アンモニア、又はその組合わせを含む還元ガスを供給することを含んでいる。不活性ガス又は還元ガスは、約500sccm〜約3000sccmの流量で処理チャンバへ導入され、処理チャンバ内でプラズマを生成する。
[0034]プラズマは、約0.03W/cm2〜約3.2W/cm2の間の範囲の電力密度を用いて生成することができ、それは200mm基板に対して約10W〜約1000WのRF電力レベルである。好ましくは、電力レベルは200mm基板上のシリコンカーバイドに対して約100ワットである。RF電力は、13MHz〜14MHzのような高周波および/又は100kHz〜1000kHzのような低周波で供給することができる。RF電力は、電力が約200Hz未満のサイクルに対して述べたレベルでオンであり、全デューティサイクルの約10%〜約30%の全体のオンサイクルである持続サイクルを連続的に又は短く供給することができる。
[0035]処理チャンバは、好ましくは約1Torr〜約12Torrの間のチャンバ圧、例えば、約3Torrで維持される。基板は、好ましくは、プラズマ処理の間約200℃〜約450℃の間の温度で維持される。シリコンカーバイド堆積プロセスとほぼ同じ温度の基板温度、例えば、約290℃をプラズマ処理の間に用いることができる。プラズマ処理は約2秒〜約100秒間行うことができ、約15秒〜約60秒間のプラズマ処理が好ましく用いられる。処理ガスは、ガス分配器によってチャンバへ導入することができ、ガス分配器は基板表面から約200ミル〜約1200ミルに配置されてもよい。ガス分配器は、プラズマ処理の間、約300ミル〜約800ミルの間に配置されてもよい。
[0036]しかしながら、各々のパラメータは、様々なチャンバで異なる基板サイズ、例えば、300mm基板にプラズマプロセスを行うように修正することができることは留意すべきである。シリコンと炭素を含有する膜に対するプラズマ処理の例は、1999年6月18日出願の“接着を高め且つ炭素含有層の酸化を最少にするためのプラズマ処理”と称する米国特許出願第09/336,525号で更に開示され、この開示内容は開示と本明細書に記載される本発明の特許請求した態様と一致する程度によって本明細書に援用されている。
[0037]バリヤ層は、好ましくは、隣接したキャップ層と任意により、誘電率が約4未満、例えば、約3未満のシリコンの酸素、炭素を含む誘電体層を堆積させる。本明細書に記載されるバリヤ層材料と用いられる隣接又は近傍の誘電体層の炭素含量は、水素原子を除く約1原子パーセントであり、好ましくは水素原子を除く約5〜約30原子パーセントである。本明細書で用いられるような、“近傍”誘電体層は、介在保護層によってバリヤ層から分離される誘電体層を意味する。隣接又は近傍誘電体層は、プラズマ増強化学気相堆積技術において有機シラン化合物を酸化させることにより堆積させることができる。例えば、適切な隣接又は近傍誘電物質は、プラズマ増強化学気相堆積技術においてトリメチルシランと酸素を反応させることにより堆積させることができ、プラズマは約0.16W/cm2〜約0.48W/cm2の高周波RF電力密度を含む条件下で形成される。
[0038] 誘電率が約3未満である、シリコン、酸素、炭素を含む隣接又は近傍誘電体層の方法および使用の例は、2000年5月25日発行の米国特許第6,054,379号、2001年9月11日発行の米国特許第6,287,990号、2001年10月16日発行の米国特許第6,303,523号に更に詳述され、本明細書に記載される開示内容と特許請求の範囲と一致する程度まで本明細書に援用されている。
[0039]隣接したキャップ層は、プラズマ中に酸素を含有しない有機シリコン化合物を含む処理ガスを反応させシリコンカーバイドキャップ層を形成することにより本明細書に記載されたバリヤ層に隣接して堆積される。一実施形態においては、酸素を含まない有機シリコン化合物は、式SiHx(CH3)y(C25)z(式中、xは1〜3であり、yは0〜3であり、zは0〜3であり、x+y+zは4である。)酸素を含まない有機シリコン化合物は、アルキルシラン、例えば、メチルシラン、ジメチルシラン、トリメチルシラン、又はテトラメチルシランであってもよい。好ましくは、酸素を含まない有機シリコン化合物はトリメチルシランである。シリコンカーバイドキャップ層は、窒素を含むこともできる。
[0040]他の実施形態においては、酸素を含まない有機シリコン化合物は、フェニル含有有機シリコン化合物であってもよい。フェニル含有有機シリコン化合物は、キャップ層が堆積されるその上にシリコンカーバイドバリヤ層を堆積させるために用いられる有機シリコン化合物であってもよい。しかしながら、バリヤ層は、堆積したバリヤ層においてフェニル基をシリコン原子と結合したままにすることを可能にする処理条件を用いて堆積させる。シリコンカーバイドキャップ層は、実質的にフェニル基が堆積したキャップ層のシリコン原子に結合されないような処理条件を用いて堆積させる。
[0041]シリコンカーバイドキャップ層は、一実施形態においては、プラズマ処理チャンバに約50sccm〜約800sccmの流量で酸素を含まない有機シリコン化合物、例えば、トリメチルシランを供給するステップと、酸素を含まないキャリアガス、例えば、ヘリウム、アルゴン、窒素、又はアンモニアを約50sccm〜約2000sccmの流量で供給するステップと、基板温度を約250℃〜約450℃に維持し、チャンバ圧を約3Torr〜約15Torr、RF電力を約0.02ワット/cm2〜約5ワット/cm2、又は約200ワット〜約800ワットに維持するステップにより堆積することができる。
[0042]RF電力は、13MHz〜14MHzのような高周波および/又は100kHz〜1000kHzのような低周波で供給し得る。RF電力は、電力が約200Hz未満のサイクルに対して述べたレベルでオンであり、全体のデューティサイクルの約10%〜30%の全体のオンサイクルにある持続サイクルを連続的に又は短く供給することができる。処理ガスはガス分配器によってチャンバへ導入することができ、ガス分配器は基板表面から約200ミル〜約1200ミルに配置することができる。ガス分配器は、堆積プロセスの間、約300ミル〜約800ミルの間に配置することができる。
[0043]キャップ層は、バリヤ層が堆積されるのと同様のチャンバ内に堆積させることができる。一実施形態において、キャップ層は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手できるProducer(登録商標)チャンバ内で堆積させ、この開示内容は本明細書に援用されている。
[0044]上記のプロセスパラメータは、堆積チャンバ内に200mm基板上に具備された場合、キャップ層の堆積速度を約100オングストローム/分〜約1000オングストローム/分の範囲で与える。
[0045]好ましいシリコンカーバイドキャップ層堆積プロセスの例は、トリメチルシランを約320sccmで処理チャンバへ導入するステップと、ヘリウムを約800sccmで処理チャンバへ導入するステップと、約400ワットのRF電力を印加することにより処理チャンバにプラズマを生成させるステップと、基板温度を約350℃に維持するステップと、チャンバ圧を約12Torrに維持してシリコンカーバイドキャップ層を堆積させるステップとを含んでいる。ガス分配器と基板表面との間隔は、約400ミルである。シリコンカーバイド保護層は、このプロセスにより約370オングストローム/分で堆積させることができ、誘電率は約4.2である。
[0046]堆積したシリコンカーバイド保護層は、堆積されたシリコンカーバイドバリヤ層に関して記載されたように、プラズマ処理することができる。
[0047]低k誘電体層に隣接したシリコンカーバイド層を堆積するために本明細書に記載される実施形態は本発明を具体的に説明するために示され、示された具体的な実施形態は本発明の範囲を制限するために用いるべきではない。
デュアルダマシン構造に対するバリヤ層の堆積
[0048]バリヤ層として本明細書に記載されるシリコンカーバイド物質を用いて形成されたダマシン構造50の例を図1に示す。シリコンカーバイドバリヤ層10は、一般的には、基板と続いて堆積した物質との間の中間レベルの拡散を排除するために基板表面上に本明細書に記載されたプロセスに従って前駆物質を用いて堆積される。基板表面は、誘電物質5中に形成された金属特徴部7を含むことができる。
[0049]第一シリコンカーバイドキャップ層11は、一般的には、シリコンカーバイドキャップ層を堆積させるために本明細書に記載される前駆物質を用いてシリコンカーバイドバリヤ層10上に堆積させる。本明細書に記載される、シリコン、酸素、炭素を含む第一誘電体層12は、シリコンカーバイドキャップ層11上に堆積させる。その後、シリコンカーバイド物質又は酸化された有機シラン層のエッチングストップ(又は第二バリヤ層)14は、第一誘電体層12上に堆積させる。エッチングストップ14は、本明細書に記載される有機シリコン前駆物質又は酸化された有機シラン層から堆積されたシリコンカーバイド物質を含むことができる。或いは、エッチングストップ14は、窒素含有シリコンカーバイド物質であってもよい。その後、エッチングストップ14は、相互接続部又はコンタクト/バイア16の開口を画成するためにパターンエッチングされる。
[0050]その後、第二誘電体層18をパターン形成エッチングストップ上に堆積させる。その後、フォトレジストが、当該技術において既知の従来の手段によって堆積、パターン形成されたコンタクト/バイア16が画成される。その後、単一エッチングプロセスが、エッチングストップの下にコンタクト/バイア16を画成するとともにパターン形成エッチングストップによって晒された保護されていない誘電体をエッチングしてコンタクト/バイア16を画成する。その後、1種以上の導電材料20、例えば、銅を堆積させてコンタクト/バイア16を充填する。
[0051]本明細書に記載されたプロセスによって堆積されたシリコンカーバイドバリヤ層とシリコンカーバイドキャップ層を含む本発明に従って製造された好ましいデュアルダマシン構造は図2A-図2Hに連続して概略図で示され、これらはそこで行われた本発明のステップを有する基板の断面図である。
[0052]図2Aに示されるように、シリコンカーバイドバリヤ層110はシリコンカーバイドバリヤ層を堆積するために本明細書に記載された有機シリコン前駆物質から基板表面上に堆積される。シリコンカーバイドバリヤ層110は、酸素、臭素、リン、又はその組合わせをドープすることができる。シリコンカーバイドバリヤ層110は、ジフェニルシランを約500mg/分で処理チャンバへ導入するステップと、ヘリウムを約500sccmで導入するステップと、100ワットのRFエネルギーを印加することにより処理チャンバ内にプラズマを生成させるステップと、基板温度を約290℃に維持するステップと、チャンバ圧を約3Torrに維持することによりシリコンカーバイド層を堆積させるステップにより堆積させることができる。シリコンカーバイド物質は、このプロセスにより約1500オングストローム/分で堆積させる。堆積したシリコンカーバイド層の誘電率は約3.4である。
[0053]シリコンカーバイドのキャップ層111は、バリヤ層110上に堆積させる。シリコンカーバイドキャップ層は、堆積プロセスの間窒素源が最少又は排除された窒素ドープシリコンカーバイドプロセスによりインサイチュで堆積させることができる。好ましくは、キャップ層111は酸素を含まない有機シリコン化合物を含み、反応させてプラズマを形成するガス混合物からシリコンカーバイドキャップ層を堆積させるために本明細書に記載されるプロセスによって堆積される。
[0054]シリコンカーバイドバリヤ層110とキャップ層111は、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、又はその組合わせを含む不活性ガス、および/又は水素、アンモニア、又はその組合わせを含む還元ガスでプラズマ処理することができる。プラズマ処理は、バリヤ層とキャップ層の堆積とインサイチュで行われてもよい。上に形成される層との密着性だけでなく、大気条件下で水分および/又は酸素との反応をほぼ無くさせるので、そのようなプラズマ処理はシリコンカーバイド物質の露出した表面から汚染物質を洗浄すると考えられ、層を安定させるために用いることができる。
[0055]プラズマプロセスは、200ミリメートル基板に対して約5秒〜約60秒間、約200ワット〜約800ワットの電力レベルでヘリウムの処理ガス又は水素のような還元ガス含んでいる。処理チャンバは、反応性洗浄プロセスの間、ジメチルフェニルシランが約8.7Torr以下の圧力で維持され、層の堆積温度付近の基板温度、例えば、約350℃に維持される。
[0056]中間層誘電物質の第一誘電体層112は、トリメチルシランのような、有機シラン又は有機シロキサン、例えば、トリメチルシランを製造すべき構造のサイズによっては厚さが約5,000〜約15,000オングストロームまで酸化することによってキャップ層111上に堆積させる。中間層誘電物質として用いることができる低誘電率物質の例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されているBlack Diamond(登録商標)膜である。或いは、第一誘電体層は、パレリンを含む低k高分子材料又はドープされていないシリコンガラス(USG)又はフッ素ドープシリコンガラス(FSG)のような低kスピンオンガラスのような他の低k誘電物質を含むこともできる。
[0057]その後、第一誘電体層112は、200ミリメートル基板に対して約200ワット〜約800ワットの電力レベルで約5秒〜約60秒間、ヘリウム又は水素のような還元ガスを含むプラズマプロセスによって処理することができる。処理チャンバは、反応性洗浄プロセスの間、第一誘電体層112のほぼ堆積圧力と温度の圧力と基板温度に維持される。
[0058]図2Bに示されるように、その後、シリコンカーバイド物質であってもよい低kエッチングストップ114は、約200〜約1000オングストロームの厚さまで第一誘電体層上に堆積させる。低kエッチングストップ114は、シリコンカーバイドバリヤ層110と同様の前駆物質から同様のプロセスによって堆積させることができる。低kエッチングストップ114は、シリコンカーバイドバリヤ層110に対して本明細書に記載されるようにプラズマ処理することができる。その後、低kエッチングストップ114は、図2Cに示されるようにコンタクト/バイアが形成される領域にコンタクト/バイア開口部116を画成するとともに第一誘電体層112を晒すためにパターンエッチングされる。好ましくは、低kエッチングストップ114は、従来のフォトリソグラフィとフッ素イオン、炭素イオン、酸素イオンを用いたエッチングプロセスを用いてパターンエッチングされる。約100オングストローム〜約500オングストロームの厚さ、例えば、約30オングストローム〜約100オングストロームの窒素を含まないシリコンカーバイド、又は酸化シリコンキャップ層115は、その他の物質を堆積させる前にエッチングストップ114上に堆積させることができる。窒素を含まないシリコンカーバイドキャップ層115は、酸素を含まない有機シリコン化合物を含むガス混合物からキャップ層を堆積するために本明細書に記載されるプロセスによって堆積させることができる。
[0059]低kエッチングストップ114と、存在する場合には、キャップ層115はコンタクト/バイアをパターン形成するためにエッチングされ、フォトレジストが除去された後、シリコンオキシカーバイドの第二誘電体層118が図2Dに示されるように厚さが約5,000オングストローム〜約15,000オングストロームまで堆積させる。第二誘電体層118は、200ミリメートル基板に対して約600ワット〜約800ワットの電力レベルで約15秒〜約60秒間、ヘリウム又は水素のような還元ガスでプラズマ処理することができる。処理チャンバは、反応性洗浄処理の間、約20Torr以下の圧力で約450℃以下の基板温度に維持される。プラズマ処理は、続いての堆積物質に対する層118の表面の反応性を低下させると考えられる。
[0060]代替的実施形態においては、約100オングストローム〜約500オングストロームの窒素を含まないシリコンカーバイド又は酸化シリコンキャップ層(図示せず)は、フォトレジスト物質のような追加の物質を堆積させる前に第二誘電体層118上に堆積されてもよい。その他の代替的実施形態においては、シリコンカーバイドキャップ層(図示せず)は、フォトレジスト物質のような追加の物質を堆積させる前に第二誘電体層118上にシリコンカーバイドバリヤ層110と同様の前駆物質と同様のプロセスによって堆積させることができる。
[0061]その後、フォトレジスト物質122は第二誘電体層118(又はキャップ層)上に堆積され、好ましくは従来のフォトリソグラフィプロセスを用いてパターン形成して図2Eに示されるように相互接続ライン120を画成する。フォトレジスト物質122は、当該技術において慣用的に既知の物質、好ましくは高活性化エネルギーフォトレジスト、例えば、マサチューセッツ州マールボロウのシプレイ社から市販されているUV-5を含んでいる。その後、相互接続部とコンタクト/バイアを反応性イオンエッチング又は他の異方性エッチング技術を用いてエッチングして図2Fに示されるメタライゼーション構造(即ち、相互接続部とコンタクト/バイア)を画成する。エッチングストップ114、キャップ層115、又は第二誘電体層118をパターン形成するために用いられるあらゆるフォトレジスト又は他の物質は、酸素ストリップ又は他の適切なプロセスを用いて除去される。
[0062]その後、メタライゼーション構造は、導電材料、例えば、アルミニウム、銅、タングステン又はその組合わせで形成される。現在、銅が低抵抗率(アルミニウムの3.1μΩ-cmに比べて1.7μΩ-cm)であるために、より小さな特徴部を形成するために銅を用いる傾向がある。図2Gに示されるように、窒化タンタルのような適切なバリヤ層124は、まず、周囲のシリコンおよび/又は誘電物質への銅マイグレーションを防止するためにメタライゼーションパターン内に等角に堆積される。その後、銅126が化学気相堆積、物理気相堆積、電気めっき、又はその組み合わせを用いて堆積されて導電構造が形成される。構造が銅又は他の金属で充填されるとすぐに、その表面は図2Hに示されるように化学機械的研磨を用いて平坦化される。
実施例
[0063]本明細書に記載された有機シリコン化合物を、基板表面上にバリヤ層として堆積させ、解析した。一例においては、シリコンカーバイドバリヤ膜を、ジフェニルシラン化合物から堆積させ、従来のシリコンカーバイド前駆物質であるトリメチルシランからのシリコンカーバイドバリヤ膜と比較した。
[0064]ジフェニルシランとトリメチルシランの両前駆物質は、ジフェニルシラン又はトリメチルシランを約500mg/分で処理チャンバへ導入し、ヘリウムを約500sccmで導入し、100ワットのRFエネルギーを印加することにより処理チャンバ内でプラズマを生成させ、基板温度を約290℃に維持し、チャンバ圧を約3Torrに維持してシリコンカーバイド層を堆積させることにより堆積させた。ヒータの間隔は、基板表面から約450ミルとした。
[0065]堆積した膜を調べ、誘電率とバリヤ層拡散を解析した。ジフェニルシランシリコンカーバイド膜の計測した誘電率は約3.4であり、トリメチルシランシリコンカーバイド膜の計測した誘電率は約4.3であった。
[0066]バリヤ層特性は、バイアス温度試験によって試験し、これは上記シリコンカーバイド膜を用いたダマシンデバイスを形成し、堆積した膜をデバイスの漏れ電流を測定しつつ約275℃の基板温度に供することにより行った。漏れ電流は、バリヤ層特性が低下するにつれて増加する。漏れ電流が約10-3amp/cm2に達したときに、バリヤ層が故障したとみなされる。これらの処理条件下でデバイスの50%が故障したときに、時間を計って堆積した膜のバリヤ有効性とした。膜の比較から、ジフェニルシランシリコンカーバイドの漏れ電流が約1メガボルト/cm(MV/cm)において約1e-09amp/cm2、約2MV/cmにおいて約1e-8amp/cm2であり、50%故障速度が約7.9時間後であり、トリメチルシランシリコンカーバイド膜の漏れ電流が約1MV/cmにおいて約1e-09amp/cm2、約2MV/cmにおいて約1e-6amp/cm2であり、50%故障速度が約4.4時間後であることが示された。
[0067]その他の実施例においては、ジフェニルメチルシランを約500mg/分で処理チャンバへ導入し、ヘリウムを約500sccmで処理チャンバへ導入し、100ワットのRFエネルギーを印加することにより処理チャンバ内でプラズマを生成させ、ジフェニルシラン堆積膜をについて基板温度を約290℃に維持し、チャンバ圧を約3Torrに維持してシリコンカーバイド層を堆積することにより、ジフェニルメチルシランを用いてシリコンカーバイドバリヤ層を堆積した。ヒータの間隔は、基板表面から約450ミルであった。
[0068]堆積された膜を調べ、誘電率とバリヤ層拡散を解析した。ジフェニルメチルシランシリコンカーバイド膜は、計測した誘電率がトリメチルシラン堆積シリコンカーバイド膜の4.3に比べて約3.6の誘電率であった。膜の比較から、ジフェニルメチルシランシリコンカーバイドの漏れ電流が約1MV/cmにおいて約3e-9amp/cm2、約2MV/cmにおいて漏れ電流が約4e-8amp/cm2であり、50%故障速度が約10時間後であり、トリメチルシランシリコンカーバイド膜の漏れ電流が約1MV/cmにおいて約1e-09amp/cm2、約2MV/cmにおいて約1e-6amp/cm2であり、50%故障速度が約4.4時間後であることが示された。
[0069]その他の実施例においては、ジメチルフェニルシランを約500mg/分で処理チャンバへ導入し、ヘリウムを約1000sccmで処理チャンバへ導入し、200ワットのRFエネルギーを印加することにより処理チャンバ内にプラズマを生成させ、ジフェニルシラン堆積膜に対して基板温度を約350℃に維持し、シリコンカーバイド層を堆積するためチャンバ圧を約6Torrに維持してシリコンカーバイドバリヤ層を堆積させることによりジメチルフェニルシランを用いてシリコンカーバイドバリヤ層を堆積させた。ヒータの間隔は、基板表面から約450ミルであった。
[0070]堆積した膜を調べ、誘電率とバリヤ層拡散を解析した。ジメチルフェニルシランシリコンカーバイド膜の測定した誘電率はトリメチルシラン堆積シリコンカーバイド膜の約4.3に比べて約3.5であった。膜の比較から、ジメチルフェニルシランシリコンカーバイドの漏れ電流が約1MV/cmにおいて約1e-9amp/cm2、約2MV/cmにおいて漏れ電流が約2e-8amp/cm2であり、50%故障速度が約11時間後であり、トリメチルシランシリコンカーバイド膜の漏れ電流が約1MV/cmにおいて約1e-09amp/cm2、約2MV/cmにおいて約1e-6amp/cm2であり、50%故障速度が約4.4時間後であることが示された。
[0071]このデータは、用いうるバリヤ層プロセスと比較して、バリヤ層特性とジフェニルシラン又はアルキル置換ジフェニルシラン化合物の誘電率が顕著にかつ予想外に改善されたことを示している。
[0072]また、本明細書に記載されたバリヤ層の特性と本明細書に記載されたバリヤ層とキャップ層の組み合わせの特性を比較するためにデータを集めた。バリヤ層を、ジメチルフェニルシラン流量が約100mg/分〜約300mg/分、ヘリウム流量が約500sccm〜約2000sccm、間隔が約350ミル〜約600ミル、RF電力が約200ワット〜約500ワットから生成されるプラズマを用いてProducer(登録商標)チャンバ内で堆積させた。バリヤ層の誘電率は3.4、1MV/cmにおける漏れ電流が約2e-9amp/cm2であった。シリコンカーバイド保護層は、トリメチルシランの流量を320sccmで、ヘリウム流量を約800sccmで、約400ミルの間隔、12Torrの圧力、400ワットのRF電力からのプラズマを用いたProducer(登録商標)チャンバを用いて堆積させた。シリコンカーバイドキャップ層は、誘電率k4.2、1MV/cmにおける漏れ電流3.5e-9amp/cm2であった。組み合わせ、即ち、バリヤ層とキャップ層の積み重ねの誘電率kは3.43、1MV/cmにおける漏れ電流2e-9amp/cm2であった。このように、バリヤ層と保護層の積み重ねは、バリヤ層単独よりkがほとんど高くなかった。
[0073]本明細書に記載されるバリヤ層、キャップ層、バリヤ層と保護層の積み重ねを酸素(O2)プラズマで処理して層の酸化を測定した。結果を表1に示す。
Figure 2006502586
[0074]データをProducer(登録商標)チャンバの2処理領域のそれぞれにおいて堆積された層から集めたので、2組の数値を各タイプの堆積した層について示されている。酸素プラズマ処理後の酸化層の厚さは、表1に示されるように、バリヤ層とキャップ層よりキャップ層を含まないバリヤ層が著しく大きい。このように、本明細書に記載されたキャップ層は、本明細書に記載されたバリヤ層の酸化量、即ち、深さを減少させると考えられる。
[0075]上記は本発明の好適実施形態に関するが、更に多くの実施形態を本発明の基本的な範囲から逸脱することなく構成させることができ、本発明の範囲は、次の特許請求の範囲によって決定される。
図1は本明細書に記載された低kバリヤ層と低k誘電体層を含むデュアルダマシン構造を示す断面図である。 図2Aは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Bは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Cは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Dは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Eは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Fは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Gは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 図2Hは本発明のデュアルダマシン堆積シーケンスの一実施形態を示す断面図である。
符号の説明
5…誘電物質、7…特徴部、10…バリヤ層、11…キャップ層、12…誘電体層、14…エッチングストップ、16…コンタクト/バイア、18…誘電体層、20…導電材料,
50…ダマシン構造。

Claims (32)

  1. 基板を処理する方法であって、
    有機シリコン化合物を含む第一処理ガスを処理チャンバへ導入し、ここで、該有機シリコン化合物は式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する、該第一処理ガス混合物を反応させてバリヤ層を堆積させることにより、シリコンカーバイドバリヤ層を堆積させるステップであって、該バリヤ層の誘電率は4未満であり、シリコン原子に結合したフェニル基を含有する、前記ステップと、
    酸素を含まない有機シリコン化合物を含む第二処理ガス混合物を処理チャンバへ導入し、該第二処理ガス混合物を反応させてシリコンカーバイドキャップ層を堆積させることにより、該バリヤ層を堆積させる前又は後に該バリヤ層に隣接してシリコンカーバイドキャップ層を堆積させるステップであって、該シリコンカーバイドキャップ層がシリコン原子に結合したフェニル基をほとんど有しない、前記ステップと、
    を含む、前記方法。
  2. 該第二処理ガス混合物の該酸素を含まない有機シリコン化合物がトリメチルシランであり、該第二処理ガス混合物がヘリウムを更に含んでいる、請求項1記載の方法。
  3. 該シリコンカーバイドキャップ層を、約0.02W/cm2〜約5W/cm2の高周波RF電力密度を含むプラズマ条件下で堆積させる、請求項1記載の方法。
  4. 該第二処理ガス混合物の該酸素を含まない有機シリコン化合物が約50sccm〜約800sccmの流量で該チャンバへ流される、請求項1記載の方法。
  5. 該第二処理ガス混合物が、アルゴン、ヘリウム、窒素、及びアンモニアの群より選ばれた、酸素を含まないガスを更に含んでいる、請求項1記載の方法。
  6. 該第二処理ガス混合物の該酸素を含まないガスが約50sccm〜約2000sccmの流量で該チャンバへ流される、請求項5記載の方法。
  7. 該第一処理ガス混合物の該有機シリコン化合物がジフェニルメチルシラン、ジメチルフェニルシラン、ジフェニルシラン、又はその混合物を含んでいる、請求項1記載の方法。
  8. 該第一処理ガス混合物が、酸素含有化合物、窒素含有化合物、ホウ素含有化合物、リン含有化合物、及びその組合わせの群より選ばれたドーパント成分を更に含んでいる、請求項1記載の方法。
  9. 該酸素含有化合物が、酸素、オゾン、シロキサン、及びその組合わせの群より選ばれる、請求項8記載の方法。
  10. 該窒素含有化合物が、窒素ガス、アンモニア、シラザン、及びその組合わせの群より選ばれる、請求項8記載の方法。
  11. 該第一処理ガス混合物が、アルゴン、ヘリウム、ネオン、キセノン、クリプトン、又はその組合わせの群より選ばれた不活性ガスを更に含んでいる、請求項1記載の方法。
  12. 該バリヤ層が約15原子パーセント未満の酸素を含んでいる、請求項1記載の方法。
  13. aが1又は2であり、bが1又は2であり、cが1又は2である、請求項1記載の方法。
  14. 基板を処理する方法であって、第一有機シリコン化合物を含む第一処理ガス混合物を処理チャンバへ導入し、ここで、該第一有機シリコン化合物は式SiHa(CH3)b(C65)c(式中、aは0〜3であり、bは0〜3であり、cは1〜4である。)を有する、該処理ガスを反応させてバリヤ層を堆積させることにより、該基板上にシリコンカーバイドバリヤ層を堆積させるステップであって、該バリヤ層の誘電率が4未満である、前記ステップと、式SiHx(CH3)y(C25)z(式中、xは1〜3であり、yは0〜3であり、zは0〜3である。)を有する第二有機シリコン化合物を含む第二処理ガス混合物を該処理チャンバへ導入し、該第二処理ガス混合物を反応させてシリコンカーバイドキャップ層を堆積させることにより、該バリヤ層上にシリコンカーバイドキャップ層を堆積させるステップと、
    を含む、前記方法。
  15. 該第二処理ガス混合物の第二有機シリコン化合物がトリメチルシランであり、該第二処理ガス混合物がヘリウムを更に含んでいる、請求項14記載の方法。
  16. 該シリコンカーバイドキャップ層を、約0.02W/cm2〜約5W/cm2の高周波RF電力密度を含むプラズマ条件下で堆積させる、請求項14記載の方法。
  17. 該第二処理ガス混合物の該第二有機シリコン化合物が約50sccm〜約800sccmの流量で該チャンバへ流される、請求項14記載の方法。
  18. 該第二処理ガス混合物が、アルゴン、ヘリウム、窒素、及びアンモニアの群より選ばれた酸素を含まないガスを更に含んでいる、請求項14記載の方法。
  19. 該第二処理ガス混合物の該酸素を含まないガスが約50sccm〜約2000sccmの流量で該チャンバへ流される、請求項18記載の方法。
  20. 該第一処理ガス混合物の該第一有機シリコン化合物がジフェニルメチルシラン、ジメチルフェニルシラン、ジフェニルシラン、又はその組合わせを含んでいる、請求項14記載の方法。
  21. 該第一処理ガス混合物を反応させるステップが、該第一処理ガス混合物の該第一有機シリコン化合物と酸素、オゾン、シロキサン、及びその組合わせの群より選ばれた酸素含有化合物とを反応させる工程を含んでいる、請求項14記載の方法。
  22. 該酸素含有化合物が、酸素、オゾン、シロキサン、及びその組合わせの群より選ばれる、請求項21記載の方法。
  23. 該第一処理ガス混合物が、窒素含有化合物、ホウ素含有化合物、リン含有化合物、及びその組合わせの群より選ばれたドーパント成分を更に含んでいる、請求項14記載の方法。
  24. 該窒素含有化合物が、窒素ガス、アンモニア、シラザン、及びその組合わせの群より選ばれる、請求項23記載の方法。
  25. 該第一処理ガス混合物が、アルゴン、ヘリウム、ネオン、キセノン、又はクリプトン、及びその組合わせの群より選ばれた不活性ガスを更に含んでいる、請求項14記載の方法。
  26. 該バリヤ層が約15原子パーセント未満の酸素を含んでいる、請求項14記載の方法。
  27. aが1又は2であり、bが1又は2であり、cが1又は2である、請求項14記載の方法。
  28. 該キャップ層上に誘電体層を堆積させるステップを更に含み、該誘電体層の誘電率が約4未満である、請求項14記載の方法。
  29. 該誘電体層の炭素含量が水素原子を除く約5〜約30原子パーセントである、請求項28記載の方法。
  30. 該誘電体層を、有機シラン又は有機シロキサン化合物をプラズマ増強化学気相堆積技術において酸化することにより堆積させる、請求項29記載の方法。
  31. 該誘電体層を、トリメチルシランと酸素をプラズマ増強化学気相堆積技術において反応させることにより堆積させる、請求項30記載の方法。
  32. 該誘電体層を、約0.16W/cm2〜約0.48W/cm2の高周波RF電力密度を含むプラズマ条件下で堆積させる、請求項31記載の方法。
JP2004543368A 2002-10-07 2003-10-07 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜 Expired - Fee Related JP5031987B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/266,551 US7749563B2 (en) 2002-10-07 2002-10-07 Two-layer film for next generation damascene barrier application with good oxidation resistance
US10/266,551 2002-10-07
PCT/US2003/031559 WO2004033752A2 (en) 2002-10-07 2003-10-07 Two-layer film for next generation damascene barrier application with good oxidation resistance

Publications (3)

Publication Number Publication Date
JP2006502586A true JP2006502586A (ja) 2006-01-19
JP2006502586A5 JP2006502586A5 (ja) 2011-07-07
JP5031987B2 JP5031987B2 (ja) 2012-09-26

Family

ID=32042704

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004543368A Expired - Fee Related JP5031987B2 (ja) 2002-10-07 2003-10-07 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜

Country Status (7)

Country Link
US (1) US7749563B2 (ja)
EP (1) EP1558784A2 (ja)
JP (1) JP5031987B2 (ja)
KR (2) KR101214995B1 (ja)
CN (1) CN100510168C (ja)
AU (1) AU2003279839A1 (ja)
WO (1) WO2004033752A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006190872A (ja) * 2005-01-07 2006-07-20 Fujitsu Ltd 半導体装置の製造方法
WO2009008376A1 (ja) * 2007-07-06 2009-01-15 Tokyo Electron Limited 半導体装置の製造方法、半導体装置、半導体製造装置、および、記憶媒体
JP2010003894A (ja) * 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
JP2014053341A (ja) * 2012-09-05 2014-03-20 Renesas Electronics Corp 半導体装置およびその製造方法
JP2017085176A (ja) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) * 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US6949472B1 (en) * 2004-05-03 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for high kinetic energy plasma barrier deposition
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JPWO2008096616A1 (ja) * 2007-02-05 2010-05-20 コニカミノルタホールディングス株式会社 透明ガスバリア性フィルム及びその製造方法
US20100009147A1 (en) * 2007-02-05 2010-01-14 Kazuhiro Fukuda Transparent gas barrier film
JPWO2008096617A1 (ja) * 2007-02-06 2010-05-20 コニカミノルタホールディングス株式会社 透明ガスバリア性フィルム及び透明ガスバリア性フィルムの製造方法
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102110639B (zh) * 2009-12-23 2013-12-04 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
FR2970110B1 (fr) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 Procede de fabrication d'une couche de dielectrique polycristalline
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017088916A (ja) * 2015-11-04 2017-05-25 株式会社神戸製鋼所 シリコン原料を用いる成膜装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN117660941A (zh) * 2018-07-24 2024-03-08 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP7183423B2 (ja) * 2019-07-18 2022-12-05 東京エレクトロン株式会社 絶縁膜の形成方法
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) * 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) * 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
EP0449117A3 (en) * 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4126759A1 (de) 1991-08-13 1993-02-18 Siemens Ag Verfahren zur erzeugung siliciumhaltiger organischer schichten
US5238866A (en) * 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
DE69221152T2 (de) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod Vertikale wärmebehandlungsvorrichtung und wärmeisolationsmaterial
US5298597A (en) * 1992-09-18 1994-03-29 Industrial Technology Research Institute Aqueous preparation of polyamide with catalyst mixture
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) * 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) * 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) * 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) * 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
DE19637334A1 (de) * 1996-09-13 1998-03-19 Bayer Ag Stabilisierte blockierte Isocyanate
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5789316A (en) * 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6555476B1 (en) * 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6169039B1 (en) * 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
EP1153062B1 (fr) * 1998-12-22 2002-11-27 Firmenich Sa Polymethylsilsesquioxane poreux ayant des proprietes adsorbantes
IT1309709B1 (it) 1999-02-19 2002-01-30 Autocar S P A Carrello scorrevole per telone per autocarro
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6592890B1 (en) * 1999-10-20 2003-07-15 Oxibio, Inc. Conveyance of anti-infective activity to wound dressings
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6576980B1 (en) 1999-11-30 2003-06-10 Agere Systems, Inc. Surface treatment anneal of hydrogenated silicon-oxy-carbide dielectric layer
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US7122900B2 (en) * 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6548599B2 (en) * 2001-03-14 2003-04-15 The Goodyear Tire & Rubber Company Rubber compositions containing silicon coupled oligomers
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6532150B2 (en) * 2001-05-31 2003-03-11 American Megatrends, Inc. Disk drive carrier apparatus and associated method
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030040195A1 (en) 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006190872A (ja) * 2005-01-07 2006-07-20 Fujitsu Ltd 半導体装置の製造方法
WO2009008376A1 (ja) * 2007-07-06 2009-01-15 Tokyo Electron Limited 半導体装置の製造方法、半導体装置、半導体製造装置、および、記憶媒体
KR101139175B1 (ko) 2007-07-06 2012-04-26 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 및 기억 매체
US8378464B2 (en) 2007-07-06 2013-02-19 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium
JP2010003894A (ja) * 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
JP2014053341A (ja) * 2012-09-05 2014-03-20 Renesas Electronics Corp 半導体装置およびその製造方法
US9337081B2 (en) 2012-09-05 2016-05-10 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US9607884B2 (en) 2012-09-05 2017-03-28 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP2017085176A (ja) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR101122458B1 (ko) 2012-02-29
CN1714168A (zh) 2005-12-28
JP5031987B2 (ja) 2012-09-26
WO2004033752A2 (en) 2004-04-22
EP1558784A2 (en) 2005-08-03
KR101214995B1 (ko) 2012-12-26
US7749563B2 (en) 2010-07-06
US20040067308A1 (en) 2004-04-08
KR20110134521A (ko) 2011-12-14
AU2003279839A8 (en) 2004-05-04
WO2004033752A3 (en) 2004-12-09
CN100510168C (zh) 2009-07-08
KR20050062596A (ko) 2005-06-23
AU2003279839A1 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
JP5031987B2 (ja) 耐酸化性が良好な次世代ダマシンバリヤ適用のための二層膜
US6759327B2 (en) Method of depositing low k barrier layers
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
US7960294B2 (en) Method of modifying interlayer adhesion
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
US20030113995A1 (en) Method for depositing a low k dielectric film (k<3.5) for hard mask application

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101124

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110223

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110302

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20110519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120229

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120509

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120605

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120628

R150 Certificate of patent or registration of utility model

Ref document number: 5031987

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees