CN1714168A - 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜 - Google Patents

用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜 Download PDF

Info

Publication number
CN1714168A
CN1714168A CNA2003801004692A CN200380100469A CN1714168A CN 1714168 A CN1714168 A CN 1714168A CN A2003801004692 A CNA2003801004692 A CN A2003801004692A CN 200380100469 A CN200380100469 A CN 200380100469A CN 1714168 A CN1714168 A CN 1714168A
Authority
CN
China
Prior art keywords
gaseous mixture
layer
silicon carbide
compound
silicoorganic compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003801004692A
Other languages
English (en)
Other versions
CN100510168C (zh
Inventor
郑毅
斯里尼瓦斯·D·内马尼
夏立群
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1714168A publication Critical patent/CN1714168A/zh
Application granted granted Critical
Publication of CN100510168C publication Critical patent/CN100510168C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供了用于处理衬底的方法,包括:向处理室提供包括含有苯基的有机硅化合物的处理气,并使处理气进行反应以沉积低k值碳化硅阻挡层,该阻挡层可用作具有低k值介电材料的镶嵌或双镶嵌应用中的阻挡层。本发明还提供了用于由包括无氧有机硅化合物的处理气在低k值碳化硅阻挡层上沉积基本没有与硅原子连接的苯基的碳化硅帽层的方法。

Description

用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜
技术领域
本发明涉及集成电路的制备,更具体地涉及在衬底上沉积介电层的方法,以及由该介电层所形成的结构。本发明还涉及在衬底上沉积阻挡层的方法,以及由该阻挡层所形成的结构。
背景技术
自从几十年前半导体器件首次引入市场,这种器件的几何尺寸已经大幅减小了。自那时起,集成电路一般已经遵循“两年/一半大小”的规律(经常被称为Moore法则),这意味着固定在芯片上的器件数量每两年加倍。当今的制造厂家通常生产0.35μm、甚至0.18μm特征大小的器件,很快将来的制造厂家将能生产几何尺寸更小的器件。
为了进一步减小集成电路上的器件大小,有必要使用低电阻率的导电材料和使用低介电常数(介电常数小于4.0)的绝缘体,以减小相邻金属线之间的电容耦合。这种低k值材料包括由化学气相沉积工艺所沉积的碳氧化硅和碳化硅,二者都可用作制备波纹镶嵌(damascene)特征的介电材料。
一种低电阻率的导电材料是铜及其合金,由于铜比铝具有更低的电阻率(铜为1.7μΩ-cm,铝为3.1μΩ-cm)、更高的电流和更高的承载能力,所以它们已经成为亚四分之一微米互连技术中的首选材料。这些性质对于支持更高的电流密度而言较重要,这种高电流密度在高度集成以及器件速度增加的情况下会遇到。而且,铜具有良好的导热率,并且能以高纯态使用。
在半导体器件中使用铜的一大困难是:铜较难蚀刻并难于获得精确的图案。利用形成互连的传统沉积/蚀刻工艺蚀刻铜不能令人满意。因此,生产具有含铜材料和低k值介电材料的互连的新方法正在发展中。
一种形成垂直和水平互连的方法是通过镶嵌(damascene)或双镶嵌(dual damascene)方法。在镶嵌方法中,例如低k值介电材料的一种或多种介电材料被沉积,并被蚀刻图案以形成例如通孔的垂直互连和例如线的水平互连。导电材料(例如含铜材料)和其他材料(例如用来防止含铜材料扩散进周围低k值介电材料内的阻挡层材料)接着被充填到蚀刻出的图案内。接着,去除任何在蚀刻图案外(例如在衬底区域上)的多余的含铜材料和多余的阻挡层材料。
但是,低k值介电材料经常是多孔的,容易造成例如铜的导电材料发生层间扩散,这样可能导致形成短路和器件故障。而且,低k值介电材料经常容易氧化。介电阻挡层材料经常被设置在铜材料和周围的低k值材料之间来防止层间扩散。但是,例如氮化硅的传统介电阻挡层材料的介电常数经常高达7或更大。这种高k值介电材料和周围低k值介电材料的组合导致介电叠层的介电常数高于所期望的值。
因此,一直需要一种改进的方法来沉积用于镶嵌应用的且其介电常数较低并且能较好地抗氧化的介电阻挡层材料。
发明内容
本发明一般地提供了用于沉积低介电常数的阻挡层和邻近该阻挡层的帽层的方法。一方面,本发明提供了一种处理衬底的方法,包括:通过将包括有机硅化合物的处理气体导入处理室中,并将该处理气体进行反应以沉积阻挡层,从而在衬底上沉积出碳化硅阻挡层,其中有机硅化合物具有结构式SiHa(CH3)b(C6H5)c,a为0-3,b为0-3,c为1-4,阻挡层的介电常数小于4,并包含与硅原子连接的苯基基团;以及通过将包括无氧有机硅化合物的第二处理气体混合物导入所述处理室中,并将第二处理气体混合物进行反应以沉积碳化硅帽层,从而在阻挡层上沉积出碳化硅帽层,其中碳化硅帽层基本没有与硅原子连接的苯基基团。
另一方面,本发明提供了一种处理衬底的方法,包括:通过将包括第一有机硅化合物的第一处理气体混合物导入处理室中,并将该处理气体进行反应以沉积阻挡层,从而在衬底上沉积出碳化硅阻挡层,其中所述第一有机硅化合物具有结构式SiHa(CH3)b(C6H5)c,a为0-3,b为0-3,c为1-4,阻挡层的介电常数小于4;以及通过将包括第二有机硅化合物的第二处理气体混合物导入处理室中,并将第二处理气体混合物进行反应以沉积碳化硅帽层,从而在阻挡层上沉积出碳化硅帽层,其中第二有机硅化合物具有结构式SiHx(CH3)y(C6H5)z,x为1-3,y为0-3,z为0-3。
附图说明
为使实现本发明上述方面的方式能够被详细理解,通过参照附图中所说明的其实施例,给出了本发明的更具体描述。
但是,应该注意到附图仅图示了本发明的典型实施例,因此不应认为是限制其范围,本发明承认其他等同有效的实施例。
图1是表示包括这里所述的低k值阻挡层和低k值介电层的双镶嵌结构的横截面视图;和
图2A-2H是表示本发明的双镶嵌沉积顺序的横截面视图。
为进一步理解本发明的方面,应该参照随后的详细描述。
具体实施方式
这里所述的本发明的一方面涉及用于沉积低介电常数的碳化硅阻挡层的方法和前驱体(precursor)。碳化硅阻挡层也可含有氢,并且可掺杂氧、氮、硼、磷及其组合,从而改善膜的性能。经掺杂的碳化硅一般包括少于约15原子百分比(atomic%)或更少的掺杂剂,例如氧、氮、硼、磷及其组合。
碳化硅阻挡层是这样沉积的:通过将包括结构式为SiHa(CH3)b(C6H5)c有机硅化合物的处理气在等离子体中进行反应,以形成包括碳-硅键并且介电常数小于4、优选小于约3.5的介电层,其中结构式中a为0-3,b为0-3,c为1-4。该沉积工艺过程中也可以存在含掺杂剂材料,例如用于掺杂氧的氧气(O2)或硅氧烷化合物,或用于掺杂硼的硼烷(BH3)。
意外并令人惊讶地发现:在这里所述的处理参数下,用结构式为SiHa(CH3)b(C6H5)c(其中a为0-3,b为0-3,c为1-4)有机硅化合物沉积碳化硅材料,生成介电常数小于4且阻挡层性能得以改善的碳化硅膜,例如其层间扩散阻力要比通过商用烷基硅烷前驱体(例如三甲基硅烷(TMS))生成的碳化硅膜约大100%。这一点是未预料到的,因为已观察到苯基增加了所沉积的介电材料的孔隙率,从而减小了所沉积的介电材料的层间扩散阻力。在阻挡层邻近介电层沉积的实施例中,阻挡层优选地邻近这样的介电层而沉积,该介电层包括硅、氧、碳和氢,其介电常数小于约4,例如小于约3。
用于阻挡层材料的有机硅化合物一般包括如下结构:
Figure A20038010046900081
其中R是苯基,R1、R2和R3是含H、C和/或Si的基团。该有机硅化合物包括结构式SiHa(CH3)b(C6H5)c,其中a为0-3,b为0-3,c为1-4,并且a+b+c等于4。由这种结构式所衍生的合适前驱体实例包括二苯基硅烷、二甲基苯基硅烷、二苯基甲基硅烷、苯基甲基硅烷及其组合。优选地,b为1-3,且c为1-3。用于作为阻挡层材料沉积的最优选有机硅化合物包括结构式SiHa(CH3)b(C6H5)c的有机硅化合物,其中a为1或2,b为1或2,c为1或2。优选的前驱体实例包括二甲基苯基硅烷和二苯基甲基硅烷。处理气也可以包括惰性气体,例如氩气(Ar)、氦气(He)、氖气(Ne)、氙气(Xe)、氮气(N2)及其组合。
处理气还可以包括具有Si-O-Si键基团的化合物(例如有机硅氧烷化合物),具有Si-N-Si键基团的化合物(例如硅氮烷化合物),以及它们的组合,从而分别用氧和氮来掺杂所沉积的碳化硅材料。例如三甲基硅烷(TMS)的烷基硅烷前驱体也可以与这里所述的有机硅前驱体一块使用,以改进或改变所期望的膜性能。
具有硅氧烷键的前驱体为碳化硅膜提供了键合的氧,这样可以减小膜的介电常数,并减小膜的漏电流。合适的硅氧烷前驱体实例包括例如1,3,5,7-四甲基环四硅氧烷(TMCTS)或八甲基环四硅氧烷(OMCTS)的环状化合物和例如1,1,3,3-四甲基二硅氧烷(TMDSO)的脂肪族化合物。
具有成键的氮的化合物可以提高膜的硬度,并减小膜的漏电流,例如在硅氮烷化合物中。合适的硅氮烷前驱体实例包括例如六甲基二硅氮烷和二乙烯基四甲基二硅氮烷的脂肪族化合物以及例如六甲基环三硅氮烷的环状化合物。
阻挡层还可以掺杂氧、硼或磷来减小所沉积材料的介电常数。处理气中掺杂剂与有机硅化合物的原子比约为1∶5或更大,例如在约1∶5与约1∶100之间。将磷和/或硼掺杂到低k值碳化硅层可以这样进行:即在沉积工艺过程中,将膦(PH3)或硼烷(BH3),或例如二硼烷(B2H6)的其硼烷衍生物引入室内。
氧掺杂可以通过任选地包括含氧气体来进行,例如氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)或它们的组合。硅氧烷化合物也可以与处理气一起使用以对所沉积材料进行氧掺杂。氮掺杂可以通过任选地包括含氮气体来进行,例如氨气(NH3)、氮气(N2)、硅氮烷化合物或它们的组合。
在一个实施例中,碳化硅阻挡层可以是这样沉积的:即以约10mg/min(mgm)-约1500mgm之间的流速向等离子处理室中提供例如二苯基硅烷的有机硅化合物,任选地以约10sccm-约2000sccm之间的流速提供掺杂剂,以约1sccm-约10000sccm之间的流速提供惰性气体,保持衬底温度在约0℃-约500℃之间,保持室压力在约500Torr以下,RF(射频)功率在约0.03W/cm2-约1500W/cm2之间。
RF功率可以以例如在13MHz-14MHz之间的高频和/或例如在100KHz-1000KHz之间的低频提供。RF功率可以被连续提供或者以短的持续周期提供,其中对于小于约200Hz的周期,所提供的功率都处在规定水平(stated level),并且提供功率的周期总和占总的工作周期的约10%-约30%。处理气可以通过气体分配器而被引入室内,该气体分配器可以放置在距离衬底表面约200mil-约1200mil处。在沉积工艺过程中,该气体分配器可以放置在约300mil-约800mil处。
用于进行这里所述工艺的合适反应器是来自Applied Materials,Inc.,Santa Clara,California的商用DxZTM化学气相沉积室。可应用这里所述工艺的CVD反应器实例在授权给Wang等并被转让给Applied Materials,Inc.的、题为“A Thermal CVD/PECVD Reator and Use for Thermal ChemicalVapor Deposition of Silicon Dioxide and In-situ Multi-step PlanarizedProcess”的美国专利No.5,000,113中描述了。
当在Applied Materials,Inc.,Santa Clara,California的沉积室中200mm(毫米)衬底上实现时,以上工艺参数提供了在约50/min-约20,000/min范围内的碳化硅层沉积速率,例如在约100/min-约3,000/min范围内。
优选的碳化硅阻挡层沉积工艺实例包括:以约500mg/min的流速将二甲基苯基硅烷引入处理室内,以约1000sccm的流速将氦气引入处理室内,通过施加200W的RF功率在处理室中生成等离子体,保持衬底温度约为350℃,保持室压力约为6Torr,从而沉积碳化硅阻挡层。气体分配器与衬底表面之间的间距为450mil。通过这种工艺,可以以约1500/min的速率沉积碳化硅阻挡层。所沉积的碳化硅阻挡层的介电常数约为3.4。
优选的碳化硅阻挡层沉积工艺的其他实例包括:以约100mg/min的流速将二甲基苯基硅烷引入处理室内,以约2000sccm的流速将氦气引入处理室内,通过施加100W、200W或300W的RF功率在处理室中生成等离子体,保持衬底温度约为350℃,保持室压力约为6Torr,从而沉积碳化硅阻挡层。气体分配器与衬底表面之间的间距为650mil。所沉积的碳化硅阻挡层的介电常数约为3.42-约3.96。
沉积之后,所沉积的介电材料,即所沉积的碳化硅阻挡层可以在约100℃-约450℃之间的温度下被退火约1分钟-约60分钟,优选退火约30分钟,以减少水分含量并增加介电材料的坚固性和硬度(如果希望的话)。退火优选在沉积下一层之前进行,这是防止介电层发生收缩和变形的。例如氩气和氦气的惰性气体可以被加入退火环境中。
所沉积的碳化硅阻挡层可以经等离子处理以去除污染物,或者在后续的在其上沉积材料步骤之前,清洗碳化硅层的暴露表面。等离子处理可以在用来沉积含硅和碳材料的相同室中进行。等离子处理被认为通过形成比未经处理的碳化硅材料更高密度的材料保护层而提高了膜的稳定性。等离子处理还被认为提高了膜对相邻层的粘附。这种更高密度的碳化硅材料被认为比未经处理的碳化硅材料更能防止化学反应(例如当在氧气中暴露时,形成氧化物)的发生。
等离子处理一般包括将惰性气体和/或还原气体引入处理室中,其中惰性气体包括氦气、氩气、氖气、氙气、氪气及其组合,其中氦气是优选的,还原气体包括氢气、氨气及其组合。惰性气体或还原气体被以约500sccm-约3000sccm的流速引入处理室中,并在处理室中生成等离子体。
利用在约0.03W/cm2-约3.2W/cm2之间的功率密度,可以生成该等离子体,这个功率密度是对于200mm衬底,在约10W-约1000W之间的RF功率水平。优选地,对于200mm衬底上的碳化硅材料,功率水平约为100W。RF功率可以以例如在13MHz-14MHz之间的高频和/或例如在100KHz-1000KHz之间的低频提供。RF功率可以被连续提供或者以短的持续周期提供,其中对于小于约200Hz的周期,所提供的功率都处在规定水平,并且提供功率的周期总和占总的工作周期的约10%-约30%。
优选地,处理室保持在约1Torr-约12Torr的室压力下,例如约3Torr。优选地,在等离子处理过程中,衬底保持在约200℃-450℃的温度下。在等离子处理过程中,可以使用与碳化硅沉积过程大致相同的衬底温度,例如约290℃。等离子处理可以进行约2秒-约100秒,并且等离子处理优选进行约15秒-约60秒。处理气可以通过气体分配器而被引入室内,该气体分配器可以放置在距离衬底表面约200mil-约1200mil处。在等离子处理过程中,该气体分配器可以放置在约300mil-约800mil处。
但是,应该注意到,各个参数都可以变化,以在各种室中、对不同大小的衬底进行等离子处理,例如300mm的衬底。用于含硅和碳的膜的等离子处理实例在1999年6月18日提交的、题为“Plasma treatment toEnhance adhesion and to Minimize Oxidation of Carbon-Containing Layers”的美国专利申请No.09/336,525中进一步公开了,以与这里所述的公开内容和所要求的方面相一致的程度,通过引用将其内容结合于此。
优选地,阻挡层邻近帽层(cap layer)而沉积,并任选地,邻近其介电常数小于约4(例如小于约3)的、包括硅、氧和碳的介电层而沉积。与这里所述的阻挡层材料一起使用的邻近或附近的介电层含有约1原子百分比(不包括氢原子)的碳,优选含有在约5-约30原子百分比(不包括氢原子)之间的碳。如这里所用的,“附近”介电层指通过介于其间的帽层而与阻挡层隔开的介电层。这种邻近或附近的介电层可以通过在等离子增强化学气相沉积技术中氧化有机硅烷化合物而被沉积。例如,合适的邻近或附近的介电层可以是这样沉积的:在等离子增强化学气相沉积技术中,利用在包括约0.16W/cm2-约0.48W/cm2的高频RF功率密度的条件下形成的等离子体,使三甲基硅烷和氧气进行反应。
在2000年5月25日授权的美国专利No.6,054,379、2001年9月11日授权的美国专利No.6,287,990和2001年10月16日授权的美国专利No.6,303,523中都更进一步地描述了这种其介电常数约小于3的、包括硅、氧和碳的邻近或附近介电层的方法和应用实例,并以与这里所述的公开内容和权利要求相一致的程度,通过引用将其内容结合于此。
通过使包括无氧有机硅化合物的处理气在等离子体中进行反应以形成碳化硅帽层,从而邻接这里所述的阻挡层而沉积出邻近帽层。在一个实施例中,该无氧有机硅化合物的结构式为SiHx(CH3)y(C6H5)z,其中x为1-3,v为0-3,z为0-3,并且x+y+z等于4。这种无氧有机硅化合物可以是烷基硅烷,例如甲基硅烷、二甲基硅烷、三甲基硅烷或四甲基硅烷。优选地,这种无氧有机硅化合物是三甲基硅烷。这种碳化硅帽层也可以包括氮。
在另一个实施例中,该无氧有机硅化合物可以是含苯基的有机硅化合物。这种含苯基的有机硅化合物可以是用于沉积碳化硅阻挡层(该帽层沉积在其上)的有机硅化合物。但是,阻挡层是利用这样的处理条件沉积的,即在所沉积的阻挡层中允许苯基保持连接到硅原子上。碳化硅帽层是利用这样的处理条件沉积的,即在所沉积的帽层中基本没有苯基连接到硅原子上。
在一个实施例中,碳化硅帽层可以是这样沉积的:即以约50sccm-约800sccm之间的流速向等离子处理室中提供例如三甲基硅烷的无氧有机硅化合物,以约50sccm-约2000sccm之间的流速提供无氧载气,例如氦气、氩气、氮气或氨气,保持衬底温度在约250℃-约450℃之间,保持室压力在约3Torr-约15Torr之间,RF功率在约0.02W/cm2-约5W/cm2之间,或者在约200W-约800W之间。
RF功率可以以例如在13MHz-14MHz之间的高频和/或例如在100KHz-1000KHz之间的低频提供。RF功率可以被连续提供或者以短的持续周期提供,其中对于小于约200Hz的周期,所提供的功率都处在规定水平,并且提供功率的周期总和占总工作周期的约10%-约30%。处理气可以通过气体分配器而被引入室内,该气体分配器可以放置在距离衬底表面约200mil-约1200mil处。在沉积工艺过程中,该气体分配器可以放置在约300mil-约800mil处。
该帽层可以在沉积阻挡层的相同室中被沉积。在一个实施例中,该帽层在Applied Materials,Inc.,Santa Clara,California的Producer室中被沉积。Producer室的实例在美国专利No.5,855,681中描述了,这里通过引用将其内容结合于此。
当在沉积室中200mm衬底上实现时,以上工艺参数提供了在约100/min-约1000/min范围内的帽层沉积速率。
优选的碳化硅帽层沉积工艺实例包括:以约320sccm的流速将三甲基硅烷引入处理室中,以约800sccm的流速将氦气引入处理室中,通过施加约400W的RF功率而在处理室中生成等离子体,保持衬底温度约为350℃,保持室压力约为12Torr,从而沉积碳化硅帽层。气体分配器与衬底表面之间的间距约为400mil。通过这种工艺,可以以约370/min的速率沉积碳化硅帽层,并且所沉积的碳化硅帽层的介电常数约为4.2。
如对所沉积的碳化硅阻挡层所述的,所沉积的碳化硅帽层可以被等离子处理。
这里所述的邻近低k值介电层而沉积碳化硅层的实施例被提供来说明本发明,所示的具体实施例不应被用来限制本发明的范围。
双镶嵌结构的阻挡层的沉积
图1示出了利用这里所述的碳化硅材料作为阻挡层而形成的镶嵌结构50的实例。碳化硅阻挡层10一般是根据这里所述的工艺、利用前驱体而沉积在衬底表面上的,从而去除衬底与随后沉积的材料之间的层间扩散。衬底表面可以包括形成在介电层5中的金属特征7。
利用这里所述的用于沉积碳化硅帽层的前驱体,一般在碳化硅阻挡层10上沉积第一碳化硅帽层11。如这里所述的包括硅、氧和碳的第一介电层12沉积在碳化硅帽层11上。接着,碳化硅材料的蚀刻终止层(或第二阻挡层)14或被氧化的有机硅烷层被沉积在第一介电层12上。蚀刻终止层14可以包括由这里所述的有机硅前驱体沉积的碳化硅材料或被氧化的有机硅烷层。或者,蚀刻终止层14可以是含氮的碳化硅材料。接着,蚀刻终止层14被图案化蚀刻,以限定出互连或接头/通孔16的开口。
接着,第二介电层18被沉积在经图案化的蚀刻终止层上。接着,通过本领域已知的常规方法来沉积光刻胶,并将其图案化,以定义接头/通孔16。接着,进行单独的蚀刻工艺来将接头/通孔16向下定义到蚀刻终止层,并蚀刻被经图案化的蚀刻终止层所暴露的未被保护的电介质来定义接头/通孔16。接着,例如铜的一种或多种导电材料被沉积填充所形成的接头/通孔16。
根据本发明所制得的优选双镶嵌结构包括通过这里所述的工艺而沉积的碳化硅阻挡层和碳化硅帽层,该结构在图2A-2H中被依次示意性地描绘,这些图是其上进行本发明步骤的衬底的横截面视图。
如图2A所示,碳化硅阻挡层110由这里所述的、用于沉积碳化硅阻挡层的有机硅前驱体而被沉积在衬底表面上。碳化硅阻挡层110可以掺杂氧、硼、磷及其组合。碳化硅阻挡层110可以是这样沉积的:即以约500mg/min的流速将二苯基硅烷引入处理室中,以约500sccm的流速将氦气引入处理室中,通过施加100W的RF能量而在处理室中生成等离子体,保持衬底温度在约290℃,保持室压力在约3Torr,从而沉积出碳化硅阻挡层。通过这种工艺,可以以约1500/min的速率沉积碳化硅材料。所沉积的碳化硅层的介电常数约为3.4。
碳化硅帽层111被沉积在阻挡层110上。利用在沉积工艺过程中被最小化或被消除的氮气源、通过氮掺杂碳化硅工艺,可以原位沉积该碳化硅帽层。优选地,通过这里所描述的由包括无氧有机硅化合物、并被反应形成等离子体的气体混合物沉积碳化硅帽层的工艺来沉积帽层111。
用包括氦气(He)、氩气(Ar)、氖气(Ne)及其组合的惰性气体和/或包括氢气、氨气及其组合的还原气体,可以等离子处理碳化硅阻挡层110和帽层111。该等离子处理可以与阻挡层和帽层的沉积原位地进行。这种等离子处理被认为清除了碳化硅材料暴露表面的污染物,并可用来稳定这些层,这样使得它们在大气条件下变得不易与水分和/或氧气进行反应,以及形成在其上的层的粘附。
等离子体工艺包括在对200mm衬底施加约5秒-约60秒的约200W-约800W之间的功率水平条件下的氦气处理气或例如氢气的还原气。在反应清洗工艺过程中,处理室保持在约8.7Torr或更低的压力下,在大约是该层沉积温度的衬底温度下,例如对二甲基苯基硅烷而言约是350℃。
通过氧化有机硅烷或有机硅氧烷,例如三甲基硅烷,而在帽层111上沉积层间介电材料的第一介电层112,其厚度为约5,000-约15,000,这取决于要制备的结构大小。可用作层间介电材料的低介电常数材料实例有Applied Materials,Inc.,Santa Clara,California的商用Black DiamondTM膜。或者,第一介电层也可以包括其他低k值介电材料,例如包括聚对二甲苯基的低k值聚合物材料或例如未掺杂硅玻璃(USG)和掺氟硅玻璃(FSG)的低k值旋涂(spin-on)玻璃。
接着,第一介电层112可以被等离子体工艺处理,该工艺包括在对200mm衬底施加约5秒-约60秒的约200W-约800W之间的功率水平下的氦气或还原气(例如氢气)。在反应清洗工艺过程中;处理室保持在大约是第一介电层112的沉积压力和沉积温度的压力和衬底温度下。
如图2B所示,可以是碳化硅材料的低k值蚀刻终止层114接着被沉积到第一介电层上,沉积厚度约为200-1000。低k值蚀刻终止层114可以由与碳化硅阻挡层110相同的前驱体和相同的工艺来沉积。如这里对碳化硅阻挡层110所述的,可以对低k值蚀刻终止层114进行等离子处理。接着,低k值蚀刻终止层114被图案化蚀刻,以定义出接头/通孔116,并在要形成接头/通孔的区域中暴露第一介电层112,如图2C所示。优选地,利用常规光刻法和使用氟离子、碳离子和氧离子的蚀刻工艺,图案化蚀刻低k值蚀刻终止层114。在沉积其他材料之前,可以在蚀刻终止层114上沉积厚度约在100-500之间的无氮碳化硅或氧化硅帽层115,例如厚约30-100。通过由这里所述的由包括无氧有机硅化合物的气体混合物沉积帽层的工艺,可以沉积无氮碳化硅帽层115。
在低k值蚀刻终止层114和帽层115(如果存在)已经被蚀刻以图案化接头/通孔,并且光刻胶已经被去除之后,沉积厚约为5,000-15,000的碳氧化硅第二介电层118,如图2D所示。在对200mm衬底施加约15秒-约60秒的约600W-约800W之间的功率水平下,用氦气或例如氢气的还原气等离子处理第二介电层118。在反应清洗工艺过程中,处理室保持在约20Torr或更低的压力和约450℃或更低的衬底温度下。该等离子处理被认为降低了层118的表面与随后沉积材料之间的反应性。
在另一实施例中,在沉积其他材料之前,例如光刻胶材料,可以在第二介电层118上沉积厚在约100-500之间的无氮碳化硅或氧化硅帽层(未示出)。在又一可替换的实施例中,在沉积其他材料之前,例如光刻胶材料,可以由与沉积碳化硅阻挡层110相同的前驱体和相同的工艺在第二介电层118上沉积无氮碳化硅或氧化硅帽层。
接着,在第二介电层118(或帽层)上沉积光刻胶材料122,并优选利用常规光刻工艺将其图案化以定义互连线120,如图2E所示。光刻胶材料122包括本领域常规已知的材料,优选是高活化能的光刻胶,例如来自Shipley Company Inc.of Marlborough,Massachusetts的商用UV-5。接着,利用反应性离子蚀刻或其他各向异性蚀刻技术来蚀刻互连和接头/通孔,以定义金属化结构(即,互连和接头/通孔),如图2F所示。利用氧气抽提或其他合适工艺,去除用于图案化蚀刻终止层114、帽层115或第二介电层118的任意光刻胶或其他材料。
接着,用例如铝、铜、钨或其组合的导电材料形成金属化结构。目前,由于铜的电阻率较低(铜为1.7μΩ-cm,铝为3.1μΩ-cm),往往趋向于使用铜形成更小的特征结构。优选地,如图2G所示的,例如氮化钽的合适阻挡层124首先被共形地沉积在金属化图案中,以防止铜向周围的硅和/或介电材料内迁移。随后,利用化学气相沉积、物理气相沉积、电镀或其组合沉积铜126,以形成导电结构。一旦该结构已经被填充了铜或其他金属,即利用化学机械抛光将表面平坦化,如图2H所示。
实例
这里所述的有机硅化合物被作为阻挡层沉积在衬底表面上,并被分析。在一个实例中,由二苯基硅烷化合物沉积碳化硅阻挡膜,并将其与由常规碳化硅前驱体三甲基硅烷沉积的碳化硅阻挡膜进行比较。
二苯基硅烷和三甲基硅烷前驱体都是这样被沉积的:通过以约500mg/min的流速将二苯基硅烷或三甲基硅烷引入处理室中,以约500sccm的流速将氦气引入处理室中,通过施加100W的RF能量而在处理室中生成等离子体,保持衬底温度在约290℃,保持室压力在约3Torr,从而沉积出碳化硅阻挡层。加热器与衬底表面的间距约为450mil。
所沉积的膜被检查并分析其介电常数和阻挡层扩散。所测得的二苯基硅烷碳化硅膜的介电常数约为3.4,三甲基硅烷碳化硅膜的介电常数约为4.3。
通过偏压温度测试法来测试阻挡层的性能,这种测试法是这样进行的:利用上述碳化硅膜形成镶嵌器件,并在使所沉积的膜经历约275℃的衬底温度的同时,测量器件漏电流。漏电流随阻挡层性能的降低而增加。当漏电流达到约10-3A/cm2时,阻挡层被认为已经失效。当在这些处理条件下,50%器件出现故障时,测量该时间来表示所沉积膜的阻挡效率。对膜的比较表明:二苯基硅烷碳化硅的漏电流在1MV/cm下约为1e-09A/cm2,在2MV/cm下约为1e-8A/cm2,在约7.9h后达50%的故障率,而三甲基硅烷碳化硅的漏电流在1MV/cm下约为1e-09A/cm2,在2MV/cm下约为1e-6A/cm2,在约4.4h后达50%的故障率。
在另一实施例中,二苯基甲基硅烷被用来沉积碳化硅阻挡层:通过以约500mg/min的流速将二苯基甲基硅烷引入处理室中,以约500sccm的流速将氦气引入处理室中,通过施加100W的RF能量而在处理室中生成等离子体,保持衬底温度在约290℃(对二苯基硅烷沉积膜的),保持室压力在约3Torr,从而沉积出碳化硅层。加热器与衬底表面的间距约为450mil。
所沉积的膜被检查并分析其介电常数和阻挡层扩散。相比较三甲基硅烷所沉积的碳化硅膜约为4.3的介电常数,所测得的二苯基甲基硅烷碳化硅膜的介电常数约为3.6。对膜的比较表明:二苯基甲基硅烷碳化硅的漏电流在1MV/cm下约为3e-9A/cm2,在2MV/cm下约为4e-8A/cm2,在约10h后达50%的故障率,而三甲基硅烷碳化硅的漏电流在1MV/cm下约为1e-09A/cm2,在2MV/cm下约为1e-6A/cm2,在约4.4h后达50%的故障率。
在另一实施例中,二甲基苯基硅烷被用来沉积碳化硅阻挡层:通过以约500mg/min的流速将二甲基苯基硅烷引入处理室中,以约1000sccm的流速将氦气引入处理室中,通过施加200W的RF能量而在处理室中生成等离子体,保持衬底温度在约350℃下(对二苯基硅烷沉积膜的),保持室压力在约6Torr,从而沉积出碳化硅层。加热器与衬底表面的间距约为450mil。
所沉积的膜被检查并分析其介电常数和阻挡层扩散。相比较三甲基硅烷所沉积的碳化硅膜约为4.3的介电常数,所测得的二甲基苯基硅烷碳化硅膜的介电常数约为3.5。对膜的比较表明:二甲基苯基硅烷碳化硅的漏电流在1MV/cm下约为1e-9A/cm2,在2MV/cm下约为2e-8A/cm2,在约11h后达50%的故障率,而三甲基硅烷碳化硅的漏电流在1MV/cm下约为1e-09A/cm2,在2MV/cm下约为1e-6A/cm2,在约4.4h后达50%的故障率。
这些数据表明了与现有的阻挡层工艺相比较,二苯基硅烷或烷基取代的二苯基硅烷化合物的阻挡层性能和介电常数都得到了显著的且出人意料的改善。
数据还被采集来将这里所述阻挡层的性能与这里所述阻挡层和帽层结合的性能进行比较。在Producer室中,利用等离子体,由在约100mg/min和约300mg/min之间的二甲基苯基硅烷流,在约500sccm到约2000sccm之间的氦气流,在约350mil和约600mil之间的间距,以及在约200W和约500W之间的RF能量来沉积阻挡层。该阻挡层的介电常数k为3.4,在1MV/cm下漏电流为2e-9A/cm2。利用Producer室,利用等离子体,由约320sccm的三甲基硅烷流,800sccm的氦气流,400mil的间距,12Torr的压力以及400W的RF能量来沉积碳化硅帽层。该碳化硅帽层的介电常数k为4.2,在1MV/cm下漏电流为3.5e-9A/cm2。阻挡层和帽层的叠层组合的介电常数k为3.43,在1MV/cm下漏电流为2e-9A/cm2。这样,阻挡层和帽层的叠层并不具有明显高于单个阻挡层的k值。
用氧气(O2)等离子体来处理这里所述的阻挡层、帽层以及阻挡层和帽层的组合叠层以测量这些层的氧化。结果在表1中示出了。
表1
  层   厚层()   O2处理前介电常数   O2处理后氧化层厚度()   O2处理后非氧化层厚度()   O2处理后介电常数
  ~1000帽层   11341001   4.184.24   108117   936810   4.1324.285
  ~3000帽层   35582930   102116   33902714
  阻挡层+~50帽层   11041028   3.4333.417   101122   936873   3.4523.536
  阻挡层+~100帽层   11811096   3.4283.486   99108   1045961   3.5543.583
  ~1000阻挡层   10351120   3.4293.438   340392   558614
由于采集了Producer室两个处理区域的其中每个中所沉积层的数据,所以对于每种类型的沉积层都示出了两组值。在氧气等离子体处理之后,无帽层的阻挡层的氧化层厚度远远大于带帽层的阻挡层的氧化层厚度,如表1中所示的。这样,我们相信这里所述的帽层减少了这里所述阻挡层的氧化量,即氧化深度。
虽然前面涉及本发明的优选实施例,但在不偏离本发明的基本范围以及由下面权利要求所确定范围的情况下,可以设计本发明的其他实施例。

Claims (32)

1.一种处理衬底的方法,包括:
通过将包括有机硅化合物的第一处理气体混合物导入处理室中,并将所述第一处理气体混合物进行反应以沉积阻挡层,从而沉积出碳化硅阻挡层,其中所述有机硅化合物具有结构式SiHa(CH3)b(C6H5)c,其中a为0-3,b为0-3,c为1-4,所述阻挡层的介电常数小于4,并包含与硅原子连接的苯基基团;以及
在沉积所述阻挡层之前或之后,通过将包括无氧有机硅化合物的第二处理气体混合物导入所述处理室中,并将所述第二处理气体混合物进行反应以沉积碳化硅帽层,从而沉积出邻近所述阻挡层的碳化硅帽层,其中所述碳化硅帽层基本没有与硅原子连接的苯基基团。
2.如权利要求1所述的方法,其中所述第二处理气体混合物的无氧有机硅化合物是三甲基硅烷,并且所述第二处理气体混合物还包括氦气。
3.如权利要求1所述的方法,其中所述碳化硅帽层是在包括约0.02W/cm2-约5W/cm2的高频射频功率密度的等离子条件下被沉积的。
4.如权利要求1所述的方法,其中所述第二处理气体混合物的无氧有机硅化合物以在约50sccm与约800sccm之间的流速流进所述室内。
5.如权利要求1所述的方法,其中所述第二处理气体混合物还包括选自氩气、氦气、氮气或氨气的无氧气体。
6.如权利要求5所述的方法,其中所述第二处理气体混合物的无氧气体以在约50sccm与约2000sccm之间的流速流进所述室内。
7.如权利要求1所述的方法,其中所述第一处理气体混合物的有机硅化合物包括二苯基甲基硅烷、二甲基苯基硅烷、二苯基硅烷或它们的组合。
8.如权利要求1所述的方法,其中所述第一处理气体混合物还包括选自含氧化合物、含氮化合物、含硼化合物、含磷化合物或它们的组合的掺杂剂组分。
9.如权利要求8所述的方法,其中所述含氧化合物选自氧气、臭氧、硅氧烷或它们的组合。
10.如权利要求8所述的方法,其中所述含氮化合物选自氮气、氨气、硅氮烷或它们的组合。
11.如权利要求1所述的方法,其中所述第一处理气体混合物还包括选自氩气、氦气、氖气、氙气、氪气或它们的组合的惰性气体。
12.如权利要求1所述的方法,其中所述阻挡层包括小于约15原子百分比的氧。
13.如权利要求1所述的方法,其中a为1或2,b为1或2,并且c为1或2。
14.一种处理衬底的方法,包括:
通过将包括第一有机硅化合物的第一处理气体混合物导入处理室中,并将所述处理气体进行反应以沉积阻挡层,从而在衬底上沉积出碳化硅阻挡层,其中所述第一有机硅化合物具有结构式SiHa(CH3)b(C6H5)c,其中a为0-3,b为0-3,c为1-4,所述阻挡层的介电常数小于4;以及
通过将包括第二有机硅化合物的第二处理气体混合物导入所述处理室中,并将所述第二处理气体混合物进行反应以沉积碳化硅帽层,从而在所述阻挡层上沉积出碳化硅帽层,其中所述第二有机硅化合物具有结构式SiHx(CH3)y(C6H5)z,其中x为1-3,y为0-3,z为0-3。
15.如权利要求14所述的方法,其中所述第二处理气体混合物的第二有机硅化合物是三甲基硅烷,并且所述第二处理气体混合物还包括氦气。
16.如权利要求14所述的方法,其中所述碳化硅帽层是在包括约0.02W/cm2-约5W/cm2的高频射频功率密度的等离子条件下被沉积的。
17.如权利要求14所述的方法,其中所述第二处理气体混合物的第二有机硅化合物以在约50sccm与约800sccm之间的流速流进所述室内。
18.如权利要求14所述的方法,其中所述第二处理气体混合物还包括选自氩气、氦气、氮气或氨气的无氧气体。
19.如权利要求18所述的方法,其中所述第二处理气体混合物的无氧气体以在约50sccm与约2000sccm之间的流速流进所述室内。
20.如权利要求14所述的方法,其中所述第一处理气体混合物的第一有机硅化合物包括二苯基甲基硅烷、二甲基苯基硅烷、二苯基硅烷或它们的组合。
21.如权利要求14所述的方法,其中将所述第一处理气体混合物进行反应包括将所述第一处理气体混合物的第一有机硅化合物与选自氧气、臭氧、硅氧烷或它们的组合的含氧化合物进行反应。
22.如权利要求21所述的方法,其中所述含氧化合物选自氧气、臭氧、硅氧烷或它们的组合。
23.如权利要求14所述的方法,其中所述第一处理气体混合物还包括选自含氮化合物、含硼化合物、含磷化合物或它们的组合的掺杂剂组分。
24.如权利要求23所述的方法,其中所述含氮化合物选自氮气、氨气、硅氮烷或它们的组合。
25.如权利要求14所述的方法,其中所述第一处理气体混合物还包括选自氩气、氦气、氖气、氙气、氪气或它们的组合的惰性气体。
26.如权利要求14所述的方法,其中所述阻挡层包括小于约15原子百分比的氧。
27.如权利要求14所述的方法,其中a为1或2,b为1或2,并且c为1或2。
28.如权利要求14所述的方法,还包括在所述帽层上沉积介电层,其中所述介电层的介电常数小于约4。
29.如权利要求28所述的方法,其中所述介电层的碳含量在约5和约30原子百分比之间,该原子百分比的计算不包括氢原子。
30.如权利要求29所述的方法,其中所述介电层是通过在等离子增强化学气相沉积技术中氧化有机硅烷或有机硅氧烷化合物而被沉积的。
31.如权利要求30所述的方法,其中所述介电层是通过在等离子增强化学气相沉积技术中将三甲基硅烷与氧气进行反应而被沉积的。
32.如权利要求31所述的方法,其中所述介电层是在包括约0.16W/cm2-约0.48W/cm2的高频射频功率密度的等离子条件下被沉积的。
CNB2003801004692A 2002-10-07 2003-10-07 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜 Expired - Fee Related CN100510168C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/266,551 US7749563B2 (en) 2002-10-07 2002-10-07 Two-layer film for next generation damascene barrier application with good oxidation resistance
US10/266,551 2002-10-07

Publications (2)

Publication Number Publication Date
CN1714168A true CN1714168A (zh) 2005-12-28
CN100510168C CN100510168C (zh) 2009-07-08

Family

ID=32042704

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801004692A Expired - Fee Related CN100510168C (zh) 2002-10-07 2003-10-07 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜

Country Status (7)

Country Link
US (1) US7749563B2 (zh)
EP (1) EP1558784A2 (zh)
JP (1) JP5031987B2 (zh)
KR (2) KR101122458B1 (zh)
CN (1) CN100510168C (zh)
AU (1) AU2003279839A1 (zh)
WO (1) WO2004033752A2 (zh)

Cited By (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110639A (zh) * 2009-12-23 2011-06-29 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
CN106637131A (zh) * 2015-11-04 2017-05-10 株式会社神户制钢所 使用硅原料的成膜装置
CN107393867A (zh) * 2016-05-17 2017-11-24 Asm知识产权私人控股有限公司 形成金属内连线的方法和使用其制造半导体装置的方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
TWI640647B (zh) * 2013-05-31 2018-11-11 美商諾發系統有限公司 具有所欲成分及膜特性之矽碳化物類薄膜的取得方法
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US6949472B1 (en) * 2004-05-03 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for high kinetic energy plasma barrier deposition
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
JP4408816B2 (ja) * 2005-01-07 2010-02-03 富士通株式会社 半導体装置の製造方法
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
EP2116368A4 (en) * 2007-02-05 2012-04-11 Konica Minolta Holdings Inc TRANSLUCENT GASPERRFILM AND MANUFACTURING METHOD THEREFOR
US20100003483A1 (en) * 2007-02-05 2010-01-07 Kazuhiro Fukuda Transparent gas barrier film
JPWO2008096617A1 (ja) * 2007-02-06 2010-05-20 コニカミノルタホールディングス株式会社 透明ガスバリア性フィルム及び透明ガスバリア性フィルムの製造方法
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
JP2009016672A (ja) 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
JP2010003894A (ja) * 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
FR2970110B1 (fr) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 Procede de fabrication d'une couche de dielectrique polycristalline
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6138439B2 (ja) * 2012-09-05 2017-05-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP2017085176A (ja) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
WO2021010004A1 (ja) * 2019-07-18 2021-01-21 東京エレクトロン株式会社 絶縁膜の形成方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) * 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) * 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
EP0449117A3 (en) * 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4126759A1 (de) 1991-08-13 1993-02-18 Siemens Ag Verfahren zur erzeugung siliciumhaltiger organischer schichten
US5238866A (en) * 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
KR970003646B1 (ko) * 1992-05-15 1997-03-20 신에쯔 세끼에이 가부시끼가이샤 종형열처리장치 및 보온체와 그 제조방법
US5298597A (en) * 1992-09-18 1994-03-29 Industrial Technology Research Institute Aqueous preparation of polyamide with catalyst mixture
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) * 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) * 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) * 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) * 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
DE19637334A1 (de) * 1996-09-13 1998-03-19 Bayer Ag Stabilisierte blockierte Isocyanate
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5789316A (en) * 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6555476B1 (en) * 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6169039B1 (en) * 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
ATE228539T1 (de) * 1998-12-22 2002-12-15 Firmenich & Cie Poröse polymethylsilsesquioxane mit adsorbierenden eigenschaften
IT1309709B1 (it) 1999-02-19 2002-01-30 Autocar S P A Carrello scorrevole per telone per autocarro
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6592890B1 (en) * 1999-10-20 2003-07-15 Oxibio, Inc. Conveyance of anti-infective activity to wound dressings
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6576980B1 (en) 1999-11-30 2003-06-10 Agere Systems, Inc. Surface treatment anneal of hydrogenated silicon-oxy-carbide dielectric layer
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
JP4659329B2 (ja) * 2000-06-26 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6548599B2 (en) * 2001-03-14 2003-04-15 The Goodyear Tire & Rubber Company Rubber compositions containing silicon coupled oligomers
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6532150B2 (en) * 2001-05-31 2003-03-11 American Megatrends, Inc. Disk drive carrier apparatus and associated method
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030040195A1 (en) 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material

Cited By (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110639A (zh) * 2009-12-23 2011-06-29 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
CN102110639B (zh) * 2009-12-23 2013-12-04 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
TWI640647B (zh) * 2013-05-31 2018-11-11 美商諾發系統有限公司 具有所欲成分及膜特性之矽碳化物類薄膜的取得方法
TWI659122B (zh) * 2013-05-31 2019-05-11 美商諾發系統有限公司 具有所欲成分及膜特性之矽碳化物類薄膜的取得方法
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
CN106637131A (zh) * 2015-11-04 2017-05-10 株式会社神户制钢所 使用硅原料的成膜装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN107393867A (zh) * 2016-05-17 2017-11-24 Asm知识产权私人控股有限公司 形成金属内连线的方法和使用其制造半导体装置的方法
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
CN107393867B (zh) * 2016-05-17 2019-03-19 Asm知识产权私人控股有限公司 形成金属内连线的方法和使用其制造半导体装置的方法
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
KR20110134521A (ko) 2011-12-14
WO2004033752A3 (en) 2004-12-09
AU2003279839A8 (en) 2004-05-04
AU2003279839A1 (en) 2004-05-04
KR20050062596A (ko) 2005-06-23
EP1558784A2 (en) 2005-08-03
JP2006502586A (ja) 2006-01-19
KR101214995B1 (ko) 2012-12-26
US20040067308A1 (en) 2004-04-08
US7749563B2 (en) 2010-07-06
CN100510168C (zh) 2009-07-08
JP5031987B2 (ja) 2012-09-26
KR101122458B1 (ko) 2012-02-29
WO2004033752A2 (en) 2004-04-22

Similar Documents

Publication Publication Date Title
CN100510168C (zh) 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜
CN100437933C (zh) 改善层间附着的方法
CN100481379C (zh) 改善低k电介质对导电材料粘附性的方法
US6759327B2 (en) Method of depositing low k barrier layers
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
CN100483645C (zh) 改善低k电介质粘附性的等离子体处理方法
CN101690420B (zh) 氮化硼和氮化硼导出材料的沉积方法
CN113707542A (zh) 使用远程等离子体处理使碳化硅膜致密化
US20050277302A1 (en) Advanced low dielectric constant barrier layers
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090708

Termination date: 20141007

EXPY Termination of patent right or utility model