JP2009016672A - 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。 - Google Patents

半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。 Download PDF

Info

Publication number
JP2009016672A
JP2009016672A JP2007178755A JP2007178755A JP2009016672A JP 2009016672 A JP2009016672 A JP 2009016672A JP 2007178755 A JP2007178755 A JP 2007178755A JP 2007178755 A JP2007178755 A JP 2007178755A JP 2009016672 A JP2009016672 A JP 2009016672A
Authority
JP
Japan
Prior art keywords
gas
film
substrate
plasma
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007178755A
Other languages
English (en)
Inventor
Yoshihiro Kato
良裕 加藤
Yusaku Kashiwagi
勇作 柏木
Takashi Matsumoto
貴士 松本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007178755A priority Critical patent/JP2009016672A/ja
Priority to PCT/JP2008/062203 priority patent/WO2009008376A1/ja
Priority to CN200880023655A priority patent/CN101689501A/zh
Priority to KR1020097027386A priority patent/KR101139175B1/ko
Publication of JP2009016672A publication Critical patent/JP2009016672A/ja
Priority to US12/683,073 priority patent/US8378464B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】有機物を含むシリコン酸化物系の低誘電率膜に対してエッチング処理やアッシング処理などのプラズマ処理を行った時に、有機物が脱離することによって受けるダメージの少ない低誘電率膜及びこの低誘電率膜を備えた半導体装置を得ること。
【解決手段】フェニル基とシリコンとを含み、窒素を含まないガスを用いて低誘電率膜を形成した後、後処理工程として、この層間絶縁膜に対して熱処理、UV照射処理あるいはSPAプラズマ処理などによりエネルギーを加えることによって、層間絶縁膜から水分を脱離させて、Si−O−Si骨格構造を形成する。
【選択図】図2

Description

本発明は、基板上にプラズマ耐性に優れた低誘電率膜を形成する技術に関する。
半導体デバイスの高集積化に伴い、配線の微細化が進んでいるので、配線遅延を抑えるために、層間絶縁膜の低誘電率化が求められている。
層間絶縁膜として従来から用いられているSiO2膜は、比誘電率が4.0程度と高いことから、種々の低誘電率膜が検討されており、その一つとして比誘電率が3.2程度のSiCOH膜が知られている。このSiCOH膜は、図13に示すように、SiO2膜中に有機成分として主にCH3基を取り込んだ構造となっており、例えばメチル基を有するシラン系のガスを原料として成膜される。この膜は、有機成分を取り込んでいることから、膜密度が小さくなり、このため比誘電率が低くなっている。一方、層間絶縁膜は、配線の埋め込み溝や接続孔を形成するために、プラズマによりエッチングが行われ、その後フォトレジストマスクのアッシングが行われる。しかし、このSiCOH膜は、膜密度が小さいことから、上記のプラズマによりダメージを受けやすく、プラズマに曝されると、有機物が脱離してしまうといった問題がある。
このようなSiCOH膜において、より低誘電率化を図るためには、例えば有機物の含有量を更に増やす必要があるが、その場合にはプラズマ耐性が一層低くなり、プラズマによるダメージが増えてしまう。また、有機成分が多くなると、膜強度が低下してしまう。このように、このSiCOH膜では、低誘電率化とプラズマ耐性あるいは機械的強度とは、トレードオフの関係になっている。そこで、比誘電率が低く、且つプラズマ耐性及び機械的強度に優れた層間絶縁膜が求められている。
特許文献1には、ビスジメチルアミノジフェニルシランを原料ガスとして、熱CVD法により低温にてフェニル基を含んだ有機シリカ膜を形成し、その後このフェニル基を膜中から除去することによって、フェニル基の存在している部位が空洞化して多孔質化し、これにより比誘電率の低い多孔質膜を得る技術が記載されている。しかし、原料ガスには窒素が含まれており、膜中に窒素が残った場合には比誘電率が上昇してしまうことから、この特許文献1に記載の技術は、本発明の課題を解決することはできない。
特開2000−269208((0022)〜(0023))
本発明はこのような事情の下になされたものであり、その目的は、プラズマ耐性及び機械的強度に優れた低誘電率膜を形成する技術及びその低誘電率膜を備えた半導体装置を提供することにある。
本発明の半導体装置の製造方法は、
フェニル基とシリコンとを含み、不可避的不純物以外の窒素を含まない有機シランガスを活性化してプラズマを得ると共に基板の温度を200℃以下の処理温度に設定した状態で前記プラズマにより基板上にフェニル基とシリコンとを含む薄膜を成膜する工程(a)と、
その後、前記基板に対してエネルギーを加え、前記薄膜から水分を脱離させて低誘電率膜を得る工程(b)と、を含むことを特徴とする。
前記薄膜を成膜する工程(a)は、有機シランガスと酸素ガスとの混合ガスを活性化する工程を含んでいても良い。
前記薄膜から水分を脱離させて低誘電率膜を得る工程(b)は、前記基板の温度を200℃よりも高い温度に加熱する工程、前記基板の表面に紫外線を照射する工程及び前記基板の表面を水素ガスを活性化して得たプラズマに曝す工程から選択される工程であることが好ましい。
前記低誘電率膜は、比誘電率が3.2以下であることが好ましい。
本発明の半導体装置は、
上記の半導体装置の製造方法により形成された低誘電率膜を備えたことを特徴とする。
本発明の半導体製造装置は、
基板を載置する載置台を備えた処理容器と、
この処理容器内に、フェニル基とシリコンとを含み、不可避成分である窒素以外の窒素を含まない有機シランガスを供給する手段と、この手段により供給された有機シランガスを活性化してプラズマを得る手段と、前記載置台上の基板を、前記プラズマに曝されている状態で200℃以下の処理温度に設定する手段と、を備えたプラズマCVD装置と、
このプラズマCVD装置により薄膜が形成された基板に対して、当該薄膜から水分を脱離させるためにエネルギーを加えて低誘電率膜を得る後処理装置と、を備えたことを特徴とする。
酸素ガスを供給するための手段を更に備え、この酸素ガスは有機シランガスと共に活性化されるようにしても良い。
前記後処理装置は、前記基板の温度を200℃よりも高い温度に加熱する装置、前記基板の表面に紫外線を照射する装置及び前記基板の表面を水素ガスを活性化して得たプラズマに曝す装置から選択される装置であることが好ましい。
前記プラズマCVD装置と後処理装置とは、共通の真空搬送モジュールに接続されていることが好ましい。
前記プラズマCVD装置は、後処理装置を兼用していても良い。
本発明の記憶媒体は、
基板の処理を行う半導体製造装置に用いられ、コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
前記コンピュータプログラムは、上記の半導体装置の製造方法を実施するようにステップが組まれていることを特徴とする。
本発明は、フェニル基とシリコンとを含み、不可避成分の窒素以外の窒素を含まない有機シランガスを原料とし、200℃以下のプロセス温度でプラズマCVDにより活性種を利用してフェニル基を含有した膜を成膜し、その後この膜から水分を脱離させているので、プラズマ耐性及び機械的強度の大きい低誘電率膜を得ることができる。
[第1の実施の形態]
本発明の半導体装置に係る製造方法の第1の実施の形態を図1を参照して説明する。図1(a)は、基板であるウェハW上に形成されたn番目(下段側)の回路層を示している。この回路層は、層間絶縁膜であるSiCOH膜10内に、例えばCuなどの金属である配線11が埋め込まれた構成となっている。尚、このSiCOH膜10と配線11との間には、金属の拡散を抑えるためのバリア膜が形成されており、またこのn番目の回路層の上層には、このSiCOH膜10から(n+1)番目の回路層への金属の拡散を抑えるためのバリア膜が形成されているが、いずれについても図示を省略する。
先ず、図1(b)に示すように、n番目の回路層の表面にSiCOH膜12をプラズマCVDにより成膜する。原料ガスとしては、フェニル基とシリコンとを含み、また窒素を含まない有機シランガス例えばジメチルフェニルシラン(DMPS:Dimethyl−Phenyl−Silane)ガスと酸素ガスとからなる処理ガスを用い、この処理ガスを例えば平行平板型のプラズマ処理装置によりプラズマ化し(活性種にし)、このプラズマに例えば200℃以下の低温に設定されたウェハWを曝すことにより、ウェハW上にSiCOH膜12が成膜される。このように低温で成膜することによって、成膜時の表面反応において原料ガスの成分であるDMPSガスのフェニル基がSiCOH膜12中に多く取り込まれ、このため、図2(a)に示すように、SiCOH膜12は、シリコンと酸素とからなる骨格構造内のシリコンにフェニル基が結合した構造となる。SiCOH膜12中にフェニル基を取り込むことで、SiCOH膜12の密度が下がり、低い比誘電率例えば2.8〜3.2程度のSiCOH膜12が得られる。尚、窒素を含まない有機シランガスにおける「窒素を含まない」とは、ガスの製造工程において不可避的に不純物として取り込まれる窒素ではなく、化合物の本来の成分である窒素については含まれないという意味である。
上記のように低温で成膜することによりフェニル基の膜中への取り込み量は多くなるが、水分の取り込み量も多くなる。その理由は、200℃以下もの低温の成膜プロセスでは膜密度が低くなるが、そうすると処理雰囲気中に不可避的に含まれる水分が吸湿されて水酸基が形成され、また原料ガスであるDMPSガス中の水素と酸素ガスとの反応により生成される水分が取り込まれるからであり、こうして膜が吸湿した状態、即ちSi−OHが形成された状態となると考えられる。このように膜中に水酸基が存在すると比誘電率の上昇の要因となることから、次に述べる後処理(改質処理)を行うようにしている。
この改質処理は、膜中の水分を除去するのに十分なエネルギーをSiCOH膜12に対して印加する工程であり、本実施の形態では、このエネルギーとして熱を印加することとしている。具体的には、ウェハWを例えば不活性ガス雰囲気に置いて、200℃よりも高く例えば400℃以下の温度範囲の中から設定された温度に加熱する。
尚、低温でフェニル基が取り込まれる理由としては、以下のように考えられる。即ち、後述の実施例から、一度膜中にフェニル基が取り込まれたウェハWを200℃以上に加熱しても、フェニル基が膜中に安定的に残っていることが確認されている。このことから、200℃以上の高温ではフェニル基のウェハWへの吸着反応が起こりにくく、また遊離のフェニル基の開環反応が起こりやすくなっていると考えられ、そのため低温では膜中にフェニル基が取り込まれやすくなっていると考えられる。
次に、図1(c)に示すように、このSiCOH膜12に対して、後処理工程としてエネルギー例えば熱を加える。この改質処理により、SiCOH膜12中におけるシリコンと水酸基との結合が切れて、下記のように、脱水縮合を起こし、
Si−OH + Si−OH → Si−O−Si + H2O
図2(b)に示すように、SiCOH膜12から水分として脱離し、この結果同図(c)に示すように、Si−O−Siの骨格構造(結合)が形成される。また、膜中に取り込まれていた水分についても、この改質処理によりSiCOH膜12から脱離していく。
尚、既にSiCOH膜12中に取り込まれているフェニル基は、この程度のエネルギーであれば、後述の実施例からも明らかなようにそのまま安定的に膜中にとどまることとなる。
続いて、図1(d)に示すように、SiCOH膜12の表面に、ビアホール及び配線埋め込み用の溝を形成するためのマスクとして機能する犠牲膜13を積層し、この犠牲膜13の表面に、パターニングされたフォトレジストマスク14を形成する。尚、この犠牲膜13は、例えば複数種類の膜の積層体からなる。
そして、例えば公知の平行平板型のプラズマエッチング装置を用いて、炭素及びフッ素を含むガス例えばCF4ガスと、酸素ガスと、からなるエッチングガスをプラズマ化して、このプラズマをウェハWに供給することにより、図1(e)に示すように、犠牲膜13及びSiCOH膜12をエッチングしてビアホールとなるホール15を形成する。
既述のように、SiCOH膜12(10)には、フェニル基が多く取り込まれており、このフェニル基はプラズマに曝されても脱離しにくいことから、エッチング工程において膜のダメージが小さい。
次に、例えば酸素ガスなどのアッシングガスをプラズマ化して、図1(f)に示すように、フォトレジストマスク14を灰化して除去する。この時も、SiCOH膜12がプラズマに曝されるが、やはり膜のダメージが小さい。
その後、犠牲膜13を利用して、SiCOH膜12に配線埋め込み用の溝(トレンチ)をプラズマエッチングにより形成して、この溝及びホール15に金属例えばCuを埋め込む。そして、CMP加工により余剰の金属を除去して、図3に示すように、配線16を形成する。このCMP加工において、SiCOH膜12に機械的な負荷が加わったとしても、上記のように、SiCOH膜12は、Si−O−Siの骨格構造が形成されており、機械的強度が高いので、ひび割れや欠損などの損傷が生じない。
上述の実施の形態によれば、フェニル基を有する有機シランガス及び酸素ガスを用いて200℃以下の低温でプラズマCVDによりSiCOH膜12を成膜しているので、既述のようにプラズマ処理で脱離しにくいフェニル基が活性種を介して多く取り込まれ、プラズマ処理で脱離しやすいメチル基の含有量が抑えられる。そして、成膜時の温度が低いので、既述のように比誘電率の上昇の要因となる水分が膜中に取り込まれるが、加熱による改質処理を実施して脱水縮合反応を含む脱水処理を行い、Si−O−Siの骨格形成が進行するので、結局プラズマ耐性が大きく、機械的強度の大きい低誘電率膜が得られる。従って、この低誘電率膜をプラズマエッチング、プラズマアッシングが施されることとなる層間絶縁膜として用いることにより、良好な特性の半導体装置を得ることができる。
上記の例では、処理ガスとして有機シランガスと酸素ガスとを用いているが、例えば有機シランガスを単独で用いることにより、SiCH膜を成膜しても良い。このようなSiCH膜であっても、上記のSiCOH膜12と同様に耐プラズマ性や機械的強度が向上し、またSiCOH膜12に近い比誘電率が得られる。
この有機シランガスとしては、上記のDMPSガス以外にも、MPS(Methyl−Phenyl−Silane)ガス、TMPS(Torimethyl−Phenyl−Silane)ガスなどであっても良い。
上記の各処理が行われる装置の一例について、以下に説明する。図4は、成膜工程を行うためのプラズマCVD装置2の一例を示している。20は例えばアルミニウムからなるキノコ形状の処理容器(真空チャンバ)であり、その内壁を加熱するための図示しない加熱機構が設けられている。処理容器20内には、ウエハWを水平に載置するための下部電極をなすステージ21が接地した状態で支持部材22を介して支持されている。
ステージ21内にはヒータ21aが処理温度に設定する手段として設けられている。23は昇降ピン、24は支持部材、25は昇降機構である。処理容器20の底部には排気管26を介して真空ポンプ27が接続されている。Gはゲートバルブである。
更に処理容器20の天井部にはステージ21に対向するように、且つ処理容器20と絶縁された状態でシャワーヘッド32が設けられており、このシャワーヘッド32には、有機シランガスを供給する手段であるシランガス供給路41及び酸素ガスを供給する手段である酸素ガス供給路51を介して夫々DMPSガス源42及び酸素ガス源52が接続されている。
また、シャワーヘッド32は上部電極を兼用し、その上面には整合器35を介してプラズマを得る手段である高周波(RF)電源36が接続されている。
次に、このプラズマCVD装置2の作用について説明する。先ずウェハWが予め設定された温度例えば55℃となるように、ヒータ21aによりステージ21を所定の温度に加熱すると共に、処理容器20の不図示のヒータを加熱し、処理容器20内を所定の温度に維持する。
その後、ゲートバルブGを開いて、図示しない搬送手段によりウエハWを処理容器20内に搬入する。そして、昇降ピン23を介してウエハWをステージ21の上面に載置して、ゲートバルブGを閉じると共に、真空ポンプ27により所定の真空度に設定する。次いで、DMPSガス源42及び酸素ガス供給源52から所定の流量で処理ガスを供給すると共に、RF電源36から処理容器20内に高周波を供給する。この高周波により、既述のように処理ガスがプラズマ化されて、このプラズマを所定の時間ウェハWに供給することで、SiCOH膜12の成膜が行われる。
そして、処理ガスの供給及びRFの印加を停止し、処理容器20内の残留ガスを排出して、ウェハWを処理容器20から取り出す。
次に、図5を参照して、後処理工程であるアニール及びアニールを行う後処理装置である加熱装置3の一例について簡単に説明する。この加熱装置3は、処理容器60と載置台61とを備え、載置台61は、ヒーター61aを備えている。ヒーター61aは、電源61bに接続されており、ウェハWを例えば200℃〜400℃に加熱できるように構成されている。この載置台61には、図示しないピンなどの昇降手段が設けられており、この昇降手段により、処理容器60の側壁の搬送口62を介して載置台61と図示しない搬送手段との間でウェハWの受け渡しを行うように構成されている。尚、Gはゲートバルブ、63は排気口、63aは真空ポンプ、64はガス供給路、65はArガス源である。
このような加熱装置3では、先ず、ウェハWが所定の温度例えば400℃となるように、ヒーター61aにより載置台61を加熱する。次いで、ゲートバルブGを開き、図示しない搬送手段によりウェハWを載置台61に載置すると共に、真空ポンプ63aにより処理容器60内を所定の真空度に設定する。そして、例えば1時間ウェハWをこの温度で加熱することにより、既述のように、ウェハWから水分が脱離する。この時、ウェハWに対してArガスを供給することにより、ウェハWから脱離した水分が強制的に真空ポンプ63aに向かって排出されるようにしても良い。その後、図示しない搬送手段により、ウェハWを処理容器60から取り出す。
上記のプラズマCVD装置2及び加熱装置3は、それぞれ単独の装置としても良いが、図6に示すように、例えばマルチチャンバシステムである基板処理装置4の一部として構成しても良い。この基板処理装置4について以下に簡単に説明すると、この基板処理装置4は、第1の搬送室72、ロードロック室73及び真空搬送モジュールである第2の搬送室74を備えている。第1の搬送室72の正面側には、複数枚例えば25枚のウェハWが収納された密閉型のキャリアCが載置されるロードポート71が設けられている。また、第1の搬送室72の正面壁には、ロードポート71に載置されたキャリアCが接続されて、このキャリアCの蓋と共に開閉されるゲートドアGTが設けられている。第2の搬送室74には、プラズマCVD装置2及び加熱装置3が気密に接続されており、この第2の搬送室74内は、例えば真空雰囲気となるように設定されている。
第1の搬送室72及び第2の搬送室74には、それぞれ第1の搬送手段75及び第2の搬送手段76が設けられている。第1の搬送手段75は、ロードポート71とロードロック室73との間でウェハWの受け渡しを行うための搬送アームである。第2の搬送手段76は、ロードロック室73とプラズマCVD装置2及び加熱装置3との間でウェハWの受け渡しを行うための搬送アームである。
この基板処理装置4には、例えばコンピュータからなる制御部2Aが設けられている。この制御部2Aはプログラム、メモリ、CPUからなるデータ処理部などを備えており、前記プログラムには制御部2Aから基板処理装置4の各部に制御信号を送り、既述の各ステップを進行させるように命令(各ステップ)が組み込まれている。また、例えばメモリには処理圧力、処理温度、処理時間、ガス流量または電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの基板処理装置4の各部位に送られることになる。このプログラム(処理パラメータの入力操作や表示に関するプログラムも含む)は、コンピュータ記憶媒体例えばフレキシブルディスク、コンパクトディスク、ハードディスク、MO(光磁気ディスク)などの記憶部2Bに格納されて制御部2Aにインストールされる。
この基板処理装置4の作用について説明する。まず、キャリアCがロードポート71に載置され、第1の搬送室72に接続されると、ゲートドアGTと共にキャリアCの蓋が開かれる。次いで、キャリアC内のウエハWは、第1の搬送手段75によって第1の搬送室72内に取り出されて、ロードロック室73に搬入される。次いで、ウェハWは、第2の搬送手段76により、第2の搬送室74を介してプラズマCVD装置2に搬送される。プラズマCVD装置2において上述の成膜工程が行われた後、ウェハWは第2の搬送手段76によりプラズマCVD装置2から取り出されて、加熱装置3に搬送され、上述の後処理工程が行われる。その後、ウェハWは、搬入された経路と逆の経路でキャリアに戻される。
尚、上記の例では、プラズマCVD装置2及び加熱装置3を別個の装置としたが、プラズマCVD装置2において後処理を行うようにしても良い。
[第2の実施の形態]
次に、本発明の第2の実施の形態について説明する。この例では、後処理工程だけが上記の第1の実施の形態と違っている。
先ず、この後処理工程に用いられる後処理装置の一例であるUV照射装置80について、図7を参照して簡単に説明する。UV照射装置80は、処理容器81内の下方に設置された載置台82を備えている。処理容器81の外側の上方には、載置台82上のウェハWに対向するように、例えば波長172nm、ウェハWの表面積に対する出力が12W/cmのUV(紫外線)を透明窓81aを介してウェハWに照射するためのUV照射ユニット83が設けられている。84は不活性ガス例えば窒素ガスの供給源、86は排気口、87は真空ポンプである。
このようなUV照射装置80では、ウェハWが載置台82に載置されると、真空ポンプ87により処理容器81内が所定の真空度に真空排気されると共に、窒素ガスの供給源84から例えば窒素ガスが供給される。そして、ウェハWに対して所定の波長のUVを所定の時間例えば5分照射することにより、後処理工程が行われる。その後、UVの照射を停止して、ウェハWが処理容器81から搬出される。
後処理工程として、UVを照射することで、第1の実施の形態におけるウェハWの加熱と同様に、SiCOH膜12から水分が脱離して、同様にSi−O−Siの骨格構造が形成されて、同様にSiCOH膜12のプラズマ耐性及び機械的強度が向上する。
[第3の実施の形態]
次に、本発明の第3の実施の形態について説明する。この例についても、後処理工程だけが上記の第1の実施の形態と違っている。
先ず、この工程に用いられる後処理装置の一例である公知のマイクロ波プラズマ装置90について、図8を参照して簡単に説明する。マイクロ波プラズマ装置90は、真空チャンバである処理容器91、温調手段を備えた載置台92及び載置台92に接続された例えば13.56MHzのバイアス用の高周波電源93を備えている。
処理容器91の天井部には、絶縁材からなる第1のガス供給部94をなすシャワーヘッドが設けられている。また、この第1のガス供給部94の下方には、ガス供給路100が格子状に組まれた、全体形状が概ね円形の導電性のシャワーヘッド(第2のガス供給部98)が設けられている。これらの第1のガス供給部94及び第2のガス供給部98から、それぞれプラズマ発生用のガス例えばArガス及びH2ガスが供給されるように構成されている。
このマイクロ波プラズマ装置90では、第1のガス供給部94から例えばArガスが供給されて活性化(プラズマ化)し、そのプラズマが第2のガス供給部98の格子の隙間である開口部102から下降して、当該ガス供給部98から供給されたH2ガスが活性化されてプラズマが生成されるようになっている。また、処理容器91の下端側の排気口106aは、排気管106を介して真空排気手段107に接続されている。
前記第1のガス供給部94の上方には、アンテナ部110が設けられている。このアンテナ部110は、同軸導波管115を介して例えば2.45GHzあるいは8.4GHzの周波数のマイクロ波を発生するマイクロ波発生手段114に接続されている。
このマイクロ波プラズマ装置90では、マイクロ波発生手段114から周波数が2.45GHzの高周波(マイクロ波)をアンテナ部110から下方側の処理空間に向けて放射する。
このマイクロ波により、第1のガス供給部94と第2のガス供給部98との間の空間にArガスのプラズマが励起される。そして既述のようにして処理空間にH2ガスの活性種が生成され、この活性種がウェハWの表面に供給されることにより、SiCOH膜12に対して脱水処理が行われる。このため、第1の実施の形態及び第2の実施の形態と同様にウェハWから水分が脱離して、Si−Oの骨格構造が形成される。このようなマイクロ波プラズマ装置90は、電子密度の低いいわばソフトなプラズマが得られるので、薄膜の改質処理といったプロセスには好適である。
以上のUV照射装置80やマイクロ波プラズマ装置90についても、加熱装置3と同様に、既述の基板処理装置4の第2の搬送室74に接続するようにしても良い。また、上記の加熱処理、UV照射処理及びマイクロ波によるプラズマ処理の複数を組み合わせて後処理工程としても良い。
本発明の効果を確かめるために行った実験について、以下に説明する。
(実験例1)
処理ガスとして酸素ガスを用いずに、既述のDMPSガスを用いてウェハW上にSiCH膜を以下の成膜条件において成膜して、それらのSiCH膜について、FTIR測定装置により赤外線吸収スペクトルを測定した。また、200℃において成膜したウェハWについては、別途後処理工程として以下の条件において熱処理を行い、同様にFTIRスペクトルを測定した。尚、複数の試料において測定したFTIRスペクトルを比較するにあたり、各試料について得られた結果を相対的に評価するために、各試料の膜厚に基づいて各々の結果の補正を行った。以下の実験結果についても同様である。
(成膜条件)
成膜温度:200℃、300℃、350℃
処理ガス:DMPSガス/Heガス=75/75mL/min(sccm)
RF電力:80W
圧力 :10Pa(75mTorr)
(熱処理条件)
使用ガス :Arガス=500mL/min(sccm)
熱処理温度:400℃
圧力 :267Pa(2.0Torr)
処理時間 :1時間
(実験結果)
図9に示すように、各試料について、波数が3000〜3100cm−1付近にフェニル基に起因するピークが確認された。また、このピークは、成膜温度が高くなるにつれて、減少していったが、200℃で成膜した後に熱処理を行った場合には減少していなかった。
このことから、ウェハWに取り込まれるフェニル基の量を多くするためには、200℃以下の低温で成膜することが好ましいことが分かった。また、一度成膜してウェハW内に取り込まれたフェニル基は、後処理工程において400℃といった高い温度の熱処理を行っても、ウェハW中に安定的に取り込まれており、脱離しないことが分かった。
(実験例2)
次に、上記の200℃で成膜して後処理なしの試料と、後処理ありの試料(200℃での成膜)と、について、広い波数の範囲で同様にFTIRスペクトルの測定を行った。
その結果、図10に示すように、熱処理前の試料では、3200〜3700cm−1の水酸基に起因するピークが確認されたが、熱処理後の試料ではそのピークが極めて小さくなっていた。一方、1000cm−1付近のSi−O−Si結合に起因するピークについては、熱処理を行うことによって、熱処理前に比べて極めて大きくなっていた。
このことから、既述のように、熱処理により、膜中の水分が脱離して、Si−Oの結合による骨格構造が形成されていることが分かる。
(実験例3)
実験例1の各試料について、比誘電率及びリーク電流の測定を行った。その結果を表1に示す。尚、比誘電率及びリーク電流の測定は、各々9点及び5点の測定結果の平均値を示している。
(表1)
Figure 2009016672
この表から、成膜温度が低くなるにつれて、比誘電率(k値)及びリーク電流が減少しており、また熱処理を行うことにより、比誘電率が更に減少していることが分かる。これは、既述のように、フェニル基が膜中に取り込まれていることに起因していると考えられる。
(実験例4)
次に、後処理工程として、既述のマイクロ波プラズマ装置90を用いてプラズマ処理を行った実験について説明する。この実験では、DMPSガスと共に酸素ガスを供給して、SiCOH膜を成膜した。また、このDMPSガスとの比較のために、フェニル基を持たないガスであるトリメチルシラン(3MS:Torimethyl−Silane)ガスを用いた実験も併せて行った。実験後、同様にFTIRスペクトルを測定した。尚、このように使用ガスを変えるにあたり、ウェハWとシャワーヘッド32との間の距離など、それぞれのガスに適した条件とした。その他の成膜条件及びプラズマ処理条件については、以下の条件とした。
(成膜条件)
成膜温度:55℃
処理ガス:DMPSガス/O2ガス/Arガス=100/20/600mL/min(sccm)
:3MSガス/O2ガス/Arガス=200/70/600mL/min(sccm)
RF電力:500W
圧力 :300Pa(2.25Torr)
(プラズマ処理条件)
使用ガス :H2ガス/Arガス=200/1000mL/min(sccm)
熱処理温度:400℃
圧力 :400Pa(3.0Torr)
マイクロ波の電力:2kW
処理時間 :5分
(実験結果)
この結果を図11及び図12に示す。DMPSガスを用いた場合において、プラズマ処理により、Si−O−Si結合に起因するピークが増加しており、また水酸基に起因するピークが減少していた。このことから、後処理工程として、マイクロ波プラズマ装置90を用いたプラズマ処理が既述の熱処理と同じ効果を持っていることが分かる。尚、3MSガスを用いた場合でも、上記のDMPSガスを用いた場合と同様のピーク強度の増減が確認された。
一方、フェニル基に起因するピークについては、3MSガスを用いた場合には、当然のことながら確認できなかった。このことからも、DMPSガスを用いることにより、膜中にフェニル基が取り込まれていることが分かる。尚、熱処理と同様に、一度膜中に取り込まれたフェニル基については、このプラズマ処理によっても脱離しないことが分かった。尚、図12における凡例は図11の凡例と同じであるが、記載を一部省略している。
(実験例5)
次に、後処理工程としてUV照射を行った実験について説明する。ガスとしては、実験例4と同様に、DMPSガスと3MSガスとを用いた。成膜後、UV照射処理を行い、その後比誘電率とリーク電流とについて測定した。成膜条件については、上記の実験例4と同じ条件とした。UV照射条件は、以下の通りである。尚、3MSガスの後処理工程なしの測定結果及び3MSガスのUV照射の測定結果は、それぞれ1点(1測定)についての結果である。
(UV照射条件)
使用ガス :Arガス=1450mL/min(sccm)
UVの波長:172nm
UV出力 :12W/cm
圧力 :26.7Pa(200mTorr)
処理時間 :5分
(実験結果)
この結果について、実験例4において調整したサンプルについて測定した結果と共に、以下の表2に示す。
(表2)
Figure 2009016672
この表から、UV照射処理についても、マイクロ波によるプラズマ処理と同様に、後処理工程として比誘電率及びリーク電流を減少させる効果があることが分かる。また、後処理を行わない状態であっても、DMPSガスを用いることにより、3MSガスを用いるよりも特性が向上することが分かった。
尚、3MSガスを用いた場合でも、後処理工程を行うことにより、DMPSガスと同様に特性が向上することが分かった。
本発明の半導体装置の製造工程の一例を示す基板の断面図である。 上記の製造工程における本発明の層間絶縁膜の構造の一例を示す概略図である。 上記の製造工程において2段の回路層が形成された様子を示す基板の断面図である。 層間絶縁膜を成膜する装置の一例を示す縦断面図である。 後処理工程を行う装置の一例を示す縦断面図である。 上記の成膜する装置と後処理工程を行う装置とが接続される基板処理装置の一例を示す平面図である。 上記の後処理工程を行う装置の他の例を示す縦断面図である。 上記の後処理工程を行う装置の他の例を示す縦断面図である。 本発明で得られた実験結果を示す特性図である。 本発明で得られた実験結果を示す特性図である。 本発明で得られた実験結果を示す特性図である。 本発明で得られた実験結果を示す特性図である。 従来の層間絶縁膜の構造の一例を示す模式図である。
符号の説明
10 SiCOH膜
11 配線
12 SiCOH膜
13 犠牲膜
14 フォトレジストマスク
15 凹部

Claims (11)

  1. フェニル基とシリコンとを含み、不可避的不純物以外の窒素を含まない有機シランガスを活性化してプラズマを得ると共に基板の温度を200℃以下の処理温度に設定した状態で前記プラズマにより基板上にフェニル基とシリコンとを含む薄膜を成膜する工程(a)と、
    その後、前記基板に対してエネルギーを加え、前記薄膜から水分を脱離させて低誘電率膜を得る工程(b)と、を含むことを特徴とする半導体装置の製造方法。
  2. 前記薄膜を成膜する工程(a)は、有機シランガスと酸素ガスとの混合ガスを活性化する工程を含むことを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記薄膜から水分を脱離させて低誘電率膜を得る工程(b)は、前記基板の温度を200℃よりも高い温度に加熱する工程、前記基板の表面に紫外線を照射する工程及び前記基板の表面を水素ガスを活性化して得たプラズマに曝す工程から選択される工程であることを特徴とする請求項1または2記載の半導体装置の製造方法。
  4. 前記低誘電率膜は、比誘電率が3.2以下であることを特徴とする請求項1ないし3のいずれか一つに記載の半導体装置の製造方法。
  5. 請求項1ないし4のいずれか一つの半導体装置の製造方法により形成された低誘電率膜を備えたことを特徴とする半導体装置。
  6. 基板を載置する載置台を備えた処理容器と、
    この処理容器内に、フェニル基とシリコンとを含み、不可避成分である窒素以外の窒素を含まない有機シランガスを供給する手段と、この手段により供給された有機シランガスを活性化してプラズマを得る手段と、前記載置台上の基板を、前記プラズマに曝されている状態で200℃以下の処理温度に設定する手段と、を備えたプラズマCVD装置と、
    このプラズマCVD装置により薄膜が形成された基板に対して、当該薄膜から水分を脱離させるためにエネルギーを加えて低誘電率膜を得る後処理装置と、を備えたことを特徴とする半導体製造装置。
  7. 酸素ガスを供給するための手段を更に備え、この酸素ガスは有機シランガスと共に活性化されることを特徴とする請求項6に記載の半導体製造装置。
  8. 前記後処理装置は、前記基板の温度を200℃よりも高い温度に加熱する装置、前記基板の表面に紫外線を照射する装置及び前記基板の表面を水素ガスを活性化して得たプラズマに曝す装置から選択される装置であることを特徴とする請求項6または7に記載の半導体製造装置。
  9. 前記プラズマCVD装置と後処理装置とは、共通の真空搬送モジュールに接続されていることを特徴とする請求項6ないし8のいずれか一つに記載の半導体製造装置。
  10. 前記プラズマCVD装置は、後処理装置を兼用していることを特徴とする請求項6ないし9のいずれか一つに記載の半導体製造装置。
  11. 基板の処理を行う半導体製造装置に用いられ、コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
    前記コンピュータプログラムは、請求項1ないし4のいずれか一つに記載の半導体装置の製造方法を実施するようにステップが組まれていることを特徴とする記憶媒体。
JP2007178755A 2007-07-06 2007-07-06 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。 Pending JP2009016672A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2007178755A JP2009016672A (ja) 2007-07-06 2007-07-06 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
PCT/JP2008/062203 WO2009008376A1 (ja) 2007-07-06 2008-07-04 半導体装置の製造方法、半導体装置、半導体製造装置、および、記憶媒体
CN200880023655A CN101689501A (zh) 2007-07-06 2008-07-04 半导体装置的制造方法、半导体装置、半导体制造装置和存储介质
KR1020097027386A KR101139175B1 (ko) 2007-07-06 2008-07-04 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 및 기억 매체
US12/683,073 US8378464B2 (en) 2007-07-06 2010-01-06 Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007178755A JP2009016672A (ja) 2007-07-06 2007-07-06 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。

Publications (1)

Publication Number Publication Date
JP2009016672A true JP2009016672A (ja) 2009-01-22

Family

ID=40228548

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007178755A Pending JP2009016672A (ja) 2007-07-06 2007-07-06 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。

Country Status (5)

Country Link
US (1) US8378464B2 (ja)
JP (1) JP2009016672A (ja)
KR (1) KR101139175B1 (ja)
CN (1) CN101689501A (ja)
WO (1) WO2009008376A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
JP2015189977A (ja) * 2014-03-28 2015-11-02 三星エスディアイ株式会社Samsung SDI Co.,Ltd. 有機発光素子封止用組成物および有機発光表示装置

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9018089B2 (en) * 2011-08-30 2015-04-28 International Business Machines Corporation Multiple step anneal method and semiconductor formed by multiple step anneal
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7022589B2 (ja) * 2018-01-05 2022-02-18 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW455599B (en) * 1995-04-25 2001-09-21 Hitachi Ltd Fluorine-containing silicon network polymer, its use as insulating coating, and electronic devices using which
US6784123B2 (en) 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6177199B1 (en) * 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
JP3633821B2 (ja) 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
EP1314193A2 (en) * 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4651076B2 (ja) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US7019386B2 (en) * 2004-04-27 2006-03-28 Polyset Company, Inc. Siloxane epoxy polymers for low-k dielectric applications
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
JP2015189977A (ja) * 2014-03-28 2015-11-02 三星エスディアイ株式会社Samsung SDI Co.,Ltd. 有機発光素子封止用組成物および有機発光表示装置

Also Published As

Publication number Publication date
WO2009008376A1 (ja) 2009-01-15
KR20100017957A (ko) 2010-02-16
CN101689501A (zh) 2010-03-31
KR101139175B1 (ko) 2012-04-26
US8378464B2 (en) 2013-02-19
US20100171198A1 (en) 2010-07-08

Similar Documents

Publication Publication Date Title
JP2009016672A (ja) 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US6194304B1 (en) Semiconductor device and method of fabricating the same
JP4837370B2 (ja) 成膜方法
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
US7662728B2 (en) Substrate processing method
US8865590B2 (en) Film forming method, pretreatment device, and processing system
US20140235068A1 (en) Method of manufacturing semiconductor device, apparatus for manufacturing semiconductor device, and non-transitory computer-readable recording medium
US20040166680A1 (en) Method of manufacturing semiconductor device
US8288252B2 (en) Method for recovering damaged components in lower region of low dielectric insulating film
KR20070049671A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JPWO2007080944A1 (ja) 多孔質膜の成膜方法およびコンピュータ可読記録媒体
JP2009010043A (ja) 基板処理方法,基板処理装置,記録媒体
WO2006025363A1 (ja) シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JP4924245B2 (ja) 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP5082411B2 (ja) 成膜方法
JP4960193B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
JP4578507B2 (ja) 半導体装置の製造方法、半導体製造装置及び記憶媒体
US6703302B2 (en) Method of making a low dielectric insulation layer
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
JP2006073612A (ja) レジスト除去方法
CN104134630A (zh) 一种减少超低介质常数薄膜侧壁损伤的方法
JP2008091469A (ja) 半導体製造装置、半導体製造方法及び電子機器
WO2010067395A1 (ja) 半導体装置の製造方法及びその製造装置
KR20230040889A (ko) 기판 처리 방법, 기판 처리 장치 및 반도체 구조
JP2023182324A (ja) 成膜方法及び成膜装置