KR20070049671A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20070049671A
KR20070049671A KR1020077006120A KR20077006120A KR20070049671A KR 20070049671 A KR20070049671 A KR 20070049671A KR 1020077006120 A KR1020077006120 A KR 1020077006120A KR 20077006120 A KR20077006120 A KR 20077006120A KR 20070049671 A KR20070049671 A KR 20070049671A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
processing chamber
film
plasma processing
Prior art date
Application number
KR1020077006120A
Other languages
English (en)
Other versions
KR100906516B1 (ko
Inventor
신지 이데
마사루 사사키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070049671A publication Critical patent/KR20070049671A/ko
Application granted granted Critical
Publication of KR100906516B1 publication Critical patent/KR100906516B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 장치(100)에 있어서, 서셉터(2)의 윗쪽에는 상측 플레이트(60) 및 하측 플레이트(61)가 마련되어 있다. 상측 플레이트(60) 및 하측 플레이트(61)는 석영 등의 내열성 절연체로 구성되고, 소정 간격, 예컨대 5mm의 간격을 두고 서로 이격되어 평행하게 배치되어 있고, 복수의 관통 구멍(60a 또는 61a)을 갖고 있다. 2장의 플레이트를 중첩한 상태로, 하측 플레이트(61)의 관통 구멍(61a)과 상측 플레이트(60)의 관통 구멍(60a)이 겹치지 않도록 위치를 어긋나게 해서 형성되어 있다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은 플라즈마를 이용하여 반도체 기판 등의 피처리 기판을 처리하는 플라즈마 처리 장치에 관한 것이다.
최근의 고속 논리 장치에 있어서, 배선 간의 기생 용량을 저감하기 위해서 층간 절연막의 저유전율화(Low-k 화)가 진행되고 있다. 초 LSI 디바이스, 특히 65nm 기술 노드 이후의 Low-k 막에는 공극률(空孔率)이 큰 포러스 재료의 채용이 검토되고 있다. 일반적으로 포러스 Low-k 막은 막의 기계적 강도가 부족하기 때문에, Low-k 막 형성 후에 Cu를 매립 형성해서 CMP로 평탄화했을 때에, 막 박리가 발생할 우려가 있다. 그 때문에, 사전에 Low-k 막의 경화 처리(큐어링)가 필요하며, 예컨대 열처리, UV 처리, 전자 빔 처리 등의 방법으로 큐어링이 실행되어 있다. 또한, 플라즈마에 의한 큐어링 처리로서, 평행 평판 방식의 플라즈마 처리 장치를 이용하여 Low-k 막으로의 플라즈마 처리를 실행하는 방법도 제안되어 있다(예컨대, 특허 문헌 1).
특허 문헌 1 : 일본 특허 공개 2004-103747호 공보
특허 문헌 1과 같이, 플라즈마 처리에 의해 Low-k 막의 큐어링을 실행함으로써, 막의 기계적 강도를 상승시킬 수 있다. 그러나, 큐어링 과정에서 Low-k 막의 유전율도 상승되어 버리는 문제가 있었다. 본 발명자 등은 그 원인을 조사한 결과, 플라즈마 중 이온 성분이 Low-k 막에 내재하는 메틸기 등의 알킬기나 알콕시기를 탈리시켜, 막 중 분자의 분극화를 진행시키는 현상이 일어나는 것이 밝혀졌다.
따라서, 본 발명의 목적은 큐어링을 목적으로 해서 플라즈마 처리를 실행할 때에, 플라즈마 중의 이온 성분에 의한 Low-k 막으로의 악영향을 방지 또는 억제하는 것이 가능한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공하는 것이다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에 의하면, 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
상기 처리실 내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
상기 기판 유지대의 윗쪽에 마련되어 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단
을 구비한 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
상기 제 1 관점에서, 상기 처리실 내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 선택 통과 수단을 통해서 플라즈마를 공급하도록 하는 것이 바람직하다. 또한, 상기 선택 통과 수단은 복수의 관통 개구부가 형성된 2장 이상의 플레이트를 그 관통 개구부의 위치가 겹치지 않도록 배치한 것이 바람직하다.
또한, 본 발명의 제 2 관점에 의하면, 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
상기 처리실 내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
상기 기판 유지대의 윗쪽에 마련되어 복수의 관통 개구부가 형성됨과 동시에, 그 관통 개구부의 위치가 겹치지 않도록 배치된 2장 이상의 플레이트
를 구비한 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
상기 제 2 관점에서, 상기 처리실 내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 플레이트를 통해서 플라즈마를 공급하는 것이 바람직하다.
또한, 본 발명의 제 3 관점에 의하면, 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
상기 처리실내를 감압하기 위한 배기 수단과,
상기 처리실내에 가스를 공급하기 위한 가스 공급 수단과,
상기 처리실내의 상부에 마련되고, 외부의 마이크로파 발생 장치와 접속되어 있으며, 상기 처리실내에 마이크로파를 도입하여 플라즈마를 발생시키기 위한 복수의 슬롯을 갖는 평면 안테나와,
상기 평면 안테나와 상기 기판 유지대와의 사이에 개재 배치되고, 복수의 관통 개구부가 형성됨과 아울러, 그 관통 개구부의 위치가 겹치지 않도록 배치된 2장 이상의 플레이트
를 구비한 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
상기 제 3 관점에서, 상기 처리실내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 플레이트를 통해서 플라즈마를 공급하는 것이 바람직하다.
또한, 상기 제 1 관점부터 제 3 관점의 플라즈마 처리 장치에 있어서, 상기 관통 개구부는 관통 구멍 또는 슬릿인 것이 바람직하다. 또한, 상기 플레이트는 절연체로 구성되어 있는 것이 바람직하다.
또한, 본 발명의 제 4 관점에 의하면, 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판에 대하여 플라즈마 처리를 행하는 것을 특징으로 하는 플라즈마 처리 방법이 제공된다.
상기 제 4 관점에서, 플라즈마 처리는 피처리 기판 상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜 그 Low-k 막을 경화 처리하는 것이 바람직하다. 또한, 상기 Low-k 막은 SiOCH계 막인 것이 바람직하다. 또한, 처리 가스로서, 희가스와 수소를 포함하는 가스를 이용하는 것이 바람직하다.
본 발명의 제 5 관점에 의하면, 컴퓨터상에서 동작하며, 실행시에,
피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록, 상기 플라즈마 처리 장치를 제어하는 것을 특징으로 하는 제어 프로그램이 제공된다.
본 발명의 제 6 관점에 의하면, 컴퓨터상에서 동작하는 제어 프로그램이 기억된 컴퓨터 기억 매체로서 상기 제어 프로그램은 실행시에,
피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록, 상기 플라즈마 처리 장치를 제어하는 것을 특징으로 하는 컴퓨터 기억 매체가 제공된다.
본 발명의 제 7 관점에 의하면, 플라즈마에 의해 피처리체를 처리하기 위한 진공 배기 가능한 처리실과,
상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단과,
피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록 제어하는 제어부
를 구비한 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
본 발명의 플라즈마 처리 장치에서는 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 구비하고 있기 때문에 예컨대, 피처리 기판인 웨이퍼 상에 형성된 막으로의 이온의 영향을 배제하여, 막의 유전율을 상승시키는 일없이 수소 래디컬에 의한 큐어링을 실행할 수 있다.
또한, 선택 통과 수단으로서, 복수의 관통 개구부가 형성된 2장 이상의 플레이트를 그 관통 개구부의 위치가 겹치지 않도록 배치한 것을 이용함으로써 간이한 구성으로 대부분의 이온을 차단하는 것이 가능해진다.
또한, 본 발명의 플라즈마 처리 방법에서는 상기 플라즈마 처리 장치를 이용함으로써, Low-k 막의 큐어링 처리를 확실하게 실행할 수 있게 된다.
도 1은 본 발명의 실시예에 관한 플라즈마 처리 장치의 일례를 나타내는 개략 단면도,
도 2는 플레이트의 설명을 돕는 평면도,
도 3은 플레이트의 설명을 돕는 주요부 단면도,
도 4는 평면 안테나 부재의 설명을 돕는 도면,
도 5는 상측 및 하측 플레이트의 작용을 설명하기 위한 원리도,
도 6은 막의 유전율과 탄성율의 관계를 나타내는 그래프 도면,
도 7은 플라즈마 처리 시스템의 개략 구성을 도시한 도면,
도 8은 평행 평판형 플라즈마 CVD 장치의 개략 구성을 나타내는 단면도,
도 9는 상측 및 하측 플레이트의 다른 실시예를 설명하는 도면.
이하, 도면을 참조하면서 본 발명의 바람직한 형태에 대하여 설명한다. 도 1은 본 발명의 실시예 1에 관한 플라즈마 처리 장치의 일례를 모식적으로 나타내는 단면도이다. 이 플라즈마 처리 장치는 복수의 슬롯을 갖는 평면 안테나로서 처리실내에 마이크로파를 도입하여 플라즈마를 발생시키는 RLSA(Radial Line Slot Antenna; 래디얼 라인 슬롯 안테나) 플라즈마 생성 기술을 이용함으로써, 고밀도이고 저전자 온도인 마이크로파 플라즈마를 발생시킬 수 있다.
이 플라즈마 처리 장치(100)는 500도 이하의 저온으로 하지막 등으로의 대미지가 없는 플라즈마 처리를 진행시킬 수 있음과 아울러 플라즈마 균일성이 우수하고, ICP 방식이나 평행 평판 방식의 플라즈마 처리 장치에 비해도 손색없는 프로세스의 균일성을 실현할 수 있다. 이 때문에, 플라즈마 처리 장치(100)는 예컨대 Low-k 막으로의 큐어링 처리에 적합하게 이용 가능한 것이다.
이 플라즈마 처리 장치(100)는 기밀하게 구성되며, 접지된 거의 원통형의 챔 버(1)를 갖고 있다. 챔버(1)의 저벽(1a)의 거의 중앙부에는 원형의 개구부(10)가 형성되어 있고 저벽(1a)에는 이 개구부(10)와 연통하여, 아래쪽을 향해서 돌출하는 배기실(11)이 설치되어 있다.
챔버(1)내에는 피처리 기판인 웨이퍼 W를 수평으로 지지하기 위한 AlN 등의 세라믹으로 이루어지는 기판 유지대로서의 서셉터(2)가 설치된다. 이 서셉터(2)는 배기실(11)의 바닥부 중앙으로부터 윗쪽으로 연장하는 원통형의 AlN 등의 세라믹으로 이루어지는 지지 부재(3)에 의해 지지되어 있다. 서셉터(2)의 바깥 가장자리부에는 웨이퍼(W)를 가이드하기 위한 가이드링(4)이 설치되어 있다. 또한, 서셉터(2)에는 저항 가열형의 히터(5)가 매립되어 있고, 이 히터(5)는 히터 전원(6)으로부터 급전되어서 서셉터(2)를 가열하고, 그 열로 피처리체인 웨이퍼 W를 가열한다. 이때, 예컨대 실온으로부터 800℃까지의 범위에서 온도 제어가 가능하다. 또한, 챔버(1)의 내주에는 석영으로 이루어지는 원통형의 라이너(7)가 설치된다.
서셉터(2)에는 웨이퍼 W를 지지하여 승강시키기 위한 웨이퍼 지지핀(도시 생략)이 서셉터(2)의 표면에 대하여 나오거나 들어갈 수 있게 설치된다.
서셉터(2)의 윗쪽에는 플라즈마 생성된 이온을 트랩하거나, 혹은 방해판으로서 작용하는 상측 플레이트(60) 및 하측 플레이트(61)가 마련되어 있다. 상측 및 하측 플레이트(60, 61)는 예컨대 석영, 사파이어, SiN, SiC, Al2O3, AlN 등의 세라믹(23)의 유전체로 이루어지는 절연체 및 그 조합으로 구성되어 있고, 바람직하게는 석영이 이용된다. 상측 플레이트(60) 및 하측 플레이트(61)는 주연부 근방에서 부분적으로 연결되고, 이들 2장의 플레이트(60, 61)는 소정 간격(후술)을 두고 서로 사이 이격되어 평행하게 배치되어 있다. 그리고, 하측 플레이트(61)는 그 외주부가 챔버(1)내의 라이너(7)로부터 내측을 향해서 전체 주위에 걸쳐 돌기한 지지부(70)와 결합함으로써 지지되어 있다.
플레이트(60, 61)의 부착 위치는 웨이퍼 W에 근접한 위치가 바람직하며, 예컨대 하측 플레이트(61)의 하단과 웨이퍼 W와의 거리는 예컨대 3~20mm가 바람직하고, 10mm 정도로 하는 것이 보다 바람직하다. 이 경우, 상측 플레이트(60)의 상단과 마이크로파 투과판(28:후술함)의 하단과의 거리는 예컨대 20~50mm가 바람직하고, 35mm 정도로 하는 것이 보다 바람직하다.
상측 플레이트(60)에는 복수의 관통 구멍(60a)이 형성되어 있고, 또한 하측 플레이트(61)에도 마찬가지로 복수의 관통 구멍(61a)이 형성되어 있다. 도 2 및 도 3은 상측 및 하측 플레이트(60, 61)의 상세를 도시한 도면이다. 도 2는 상측 및 하측 플레이트(60, 61)를 중첩해서 위에서 본 상태를 나타내고 있고, 도 3은 상측 및 하측 플레이트(60, 61)를 중첩한 상태에서의 주요부 단면을 나타내고 있다.
상측 플레이트(60)의 두께(T1) 및 하측 플레이트(61)의 두께(T2)는 모두 예컨대, 2~10mm 정도가 바람직하고, 각각 5mm 정도로 설정하는 것이 보다 바람직하다. 또한, 상측 및 하측 플레이트(60, 61)의 두께 T1 및 T2는 같은 필요는 없다.
또한, 2장의 플레이트(60, 61)의 간격(L1)은 예컨대 3~10mm 정도로 하는 것이 바람직하고, 5mm로 설정하는 것이 보다 바람직하다.
상측 플레이트(60)의 관통 구멍(60a) 및 하측 플레이트(61)의 관통 구멍(61a)은 도 2 중 파선으로 나타내는 웨이퍼 W의 탑재 영역을 덮도록 거의 균등하게 배치되어 있다. 그리고, 도 2 및 도 3에 도시하는 바와 같이 2장의 플레이트(60, 61)를 포갠 상태로, 하측 플레이트(61)의 관통 구멍(61a)과 상측 플레이트(60)의 관통 구멍(60a)이 겹치지 않도록, 서로 위치를 어긋나게 해서 형성되어 있다. 즉, 상측 플레이트(60)보다 윗쪽으로부터 직선적으로 웨이퍼 면까지를 연결하는 개구가 형성되지 않도록 관통 구멍(60a)과 관통 구멍(61a)이 배치되어 있다.
관통 구멍(60a)의 직경 D1 및 관통 구멍(61a)의 직경 D2은 임의로 설정하는 것이 가능하고 예컨대, 본 실시예의 경우에는 5mm 정도로 설정되어 있다. 또한, 동일 플레이트 내에서 관통 구멍(60a 또는 61a)의 위치에 의해 구멍의 크기를 변화시켜도 되고, 상측 플레이트(60)의 관통 구멍(60a)과 하측 플레이트(61)의 관통 구멍(61a)을 다른 크기로 형성할 수도 있다. 또한, 관통 구멍(60a, 61a)의 배치도, 상측 및 하측 플레이트(60, 61)에서 구멍의 위치가 어긋나 있으면, 동심원 형상, 방사 형상, 나선 형상 등 임의의 배열을 선택할 수 있다.
또한, 관통 구멍(60a)과 관통 구멍(61a)의 위치의 어긋남, 즉 상측 플레이트(60)의 관통 구멍(60a)을 구성하는 벽(60b)과, 하측 플레이트(61)의 관통 구멍(61a)을 구성하는 벽(61b)과의 거리 L2는 상측 및 하측 플레이트(60, 61)의 간격 L1과의 관계에서 최적의 조건을 결정할 수 있다.
즉, 플라즈마 중 래디컬만을 선택적으로 통과시켜, 이온을 블럭하는 관점에 서, 상측 및 하측 플레이트(60, 61)의 간격 L1이 큰 경우에는 L2도 상대적으로 크게 할 필요가 있다. 반대로 L1이 작은 경우에는 L2를 상대적으로 작게 해도 래디컬 선택 통과 수단으로서의 작용을 발휘시키는 것이 가능하다. 또한, L1과 L2의 관계에 더해서 상측 및 하측 플레이트(60, 61)의 두께 T1, T2(즉, 래디컬의 통과 방향에 평행한 면을 이루는 벽(60b, 61b)의 높이), 관통 구멍(60a, 61a)의 직경 D1, D2, 또한 관통 구멍(60a, 61a)의 형상이나 배치, 상측 및 하측 플레이트(60, 61)의 설치 위치(웨이퍼 W로부터의 거리) 등을 종합적으로 고려함으로써, 래디컬의 선택성과 이온의 블럭 작용을 최대한으로 인출할 수 있게 된다.
도 1에서, 챔버(1)의 측벽에는 환상을 이루는 가스 도입 부재(15)가 마련되어 있고, 이 가스 도입 부재(15)에는 가스 공급계(16)가 접속되어 있다. 또한, 가스 도입 부재는 샤워 형상으로 배치해도 된다. 이 가스 공급계(16)는 아르곤 가스를 공급하기 위한 Ar 가스 공급원(17) 및 수소 가스를 공급하기 위한 H2 가스 공급원(18)을 갖고 있고, 이들 가스가 각각 가스 라인(20)을 거쳐서 가스 도입 부재(15)에 이르고, 가스 도입 부재(15)로부터 챔버(1) 내에 도입된다. 즉, 가스 도입 부재(15) 및 가스 공급계(16)가 가스 공급 수단을 구성하고 있다.
가스 라인(20) 각각에는 매스 플로우 컨트롤러(21) 및 그 전후의 개폐 밸브(22)가 마련된다.
웨이퍼 W 상의 Low-k 막에 대하여 플라즈마 큐어링을 실행할 때의 가스로서 는 수소 함유 가스가 이용되고 구체적으로는 수소와, 크립톤, 크세논, 헬륨, 아르곤 등으로부터 선택된 희가스로 이루어지는 불활성 가스를 소정 비율로 조합한 가스가 바람직하다.
상기 배기실(11)의 측면에는 배기관(23)이 접속되어 있고, 이 배기관(23)에는 고속 진공 펌프(기압 양수기)를 포함하는 배기 장치(24)가 접속되어 있다. 그리고 이 배기 장치(24)를 작동시킴으로써 챔버(1)내의 가스가 배기실(11)의 공간(11a)내로 균일하게 배출되어, 배기관(23)을 통해서 배기된다. 즉, 배기관(23)과 배기 장치(24)는 배기 수단을 구성되어 있다. 이에 따라 챔버(1)내에는 소정의 진공도, 예컨대 0.133Pa까지 고속으로 감압하는 것이 가능해 진다.
챔버(1)의 측벽에는 플라즈마 처리 장치(100)에 인접하는 반송실(도시 생략)과의 사이에 웨이퍼 W의 반입 반출을 위한 반입 반출구(25)와, 이 반입 반출구(25)를 개폐하는 게이트 밸브(26)가 마련되어 있다.
챔버(1)의 상부는 개구부로 되어 있고, 이 개구부의 주연부를 따라 링 형상의 지지부(27)가 설치된다. 이 지지부(27)에 유전체, 예컨대 석영 등으로 이루어져서, 마이크로파를 투과하는 마이크로파 투과판(28)이 밀봉 부재(29)를 거쳐서 기밀하게 마련되어 있다. 따라서, 챔버(1)내는 기밀하게 유지된다. 마이크로파 투과판(28)을 지지하는 지지부(27)는 예컨대 Al합금이나 SUS에 의해 형성되어 있다.
플라즈마 처리 장치(100)의 상부의 구성으로서, 마이크로파 투과판(28)의 윗쪽에는 서셉터(2)와 대향하도록 원판 형상의 평면 안테나 부재(31)가 마련되어 있다. 이 평면 안테나 부재(31)는 마이크로파 투과판(28) 위에 배치되고, 또한 평면 안테나 부재(31)의 상부를 덮도록 지파재(遲波材:33)가 마련되어 있다. 이들 평면 안테나 부재(31)와 지파재(33)는 그 주연부에서 압박 부재(34b)에 의해 고정된다. 또한, 지파재(33)를 덮도록 실드 덮개(34)가 마련되고, 이 실드 덮개(34)는 챔버(1)의 측벽 상단에 지지되어 있다.
평면 안테나 부재(31)는 예컨대 8인치 사이즈의 웨이퍼 W에 대응하는 경우에는 직경이 300~400mm, 두께가 0.1mm~수mm(예컨대 0.5mm)인 도전성 재료로 이루어지는 원형판이다. 또한, 평면 안테나 부재(31)의 형상은 원형에 한하지 않고, 다각형 형상 예컨대, 사각형이여도 된다. 이 평면 안테나 부재(31)는 구체적으로는 예컨대 표면이 금도금된 동판 또는 알루미늄판으로 이루어지고, 다수의 마이크로파 방사 구멍(32)이 소정의 패턴으로 관통하여 형성된 구성으로 되어 있다. 이 마이크로파 방사 구멍(32)은 예컨대 도 4에 도시하는 바와 같이 긴 홈 형상의 슬롯(32a)으로 이루어져서, 인접하는 슬롯(32a) 끼리가 「T」자 형상으로 배치되고 또한, 이들 복수의 슬롯(32a)이 Δr의 간격으로, 직경외방향으로 동심원 형상으로 배치된 구조를 채용할 수 있다. 슬롯(32a)의 길이나 배열 간격은 마이크로파 발생 장치(39)에서 발생한 고주파의 파장에 따라 결정된다. 또한, 마이크로파 방사 구멍(32:슬롯(32a))은 원형상의 관통 구멍 등의 다른 형상이여도 된다. 또한, 마이크로파 방사 구멍(32:슬롯(32a))의 배치 형태는 특별히 한정되지 않고, 동심 형상 외에 예컨대, 나선 형상, 방사상 등으로 배치되어도 된다.
상기한 바와 같이, 평면 안테나 부재(31)의 상면에는 진공보다도 큰 유전율을 갖는 지파재(33)가 설치된다. 챔버(1)의 상면에는 이들 평면 안테나 부재(31) 및 지파재(33)를 피복하도록, 예컨대 알루미늄이나 스테인리스강 등의 금속재로 이루어지는 실드 덮개(34)가 설치된다. 챔버(1)의 상면과 실드 덮개(34)는 밀봉 부재(35)에 의해 밀봉되어 있다. 실드 덮개(34)에는 복수의 냉각수 유로(34a)가 형성되어 있고, 여기에 냉각물을 통류시킴으로써, 평면 안테나(31), 마이크로파 투과판(28), 지파재(33), 실드 덮개(34)를 냉각시키도록 되어 있다. 또한, 실드 덮개(34)는 접지되어 있다.
실드 덮개(34)의 상벽의 중앙에는 개구부(36)가 형성되어 있고, 이 개구부(36)에는 도파관(37)이 접속되어 있다. 이 도파관(37)의 단부에는 매칭 회로(38)를 거쳐서 마이크로파 발생 장치(39)가 접속되어 있다. 이에 따라, 마이크로파 발생 장치(39)에서 발생한 예컨대, 주파수 2.45GHz의 마이크로파가 도파관(37)을 거쳐서 상기 평면 안테나 부재(31)로 전파되게 되어 있다. 또한, 마이크로파의 주파수로서는 8.35GHz, 1.98GHz 등을 이용할 수도 있다.
도파관(37)은 상기 실드 덮개(34)의 개구부(36)로부터 윗쪽으로 연장하는 단면 원형상의 동축 도파관(37a)과, 이 동축 도파관(37a)의 상단부에 접속된 수평 방향으로 연장하는 직사각형 도파관(37b)을 갖고 있다. 직사각형 도파관(37b)의 동축 도파관(37a)와의 접속부측의 단부는 모드 변환기(40)로 되어 있다. 동축 도파관(37a)의 중심에는 내도체(內導體:41)가 연재하고 있고, 이 내도체(41)의 하단부는 평면 안테나 부재(31)의 중심에 범프(41a)를 통해서 접속 고정되어 있다. 범프(41a)는 평면 안테나 부재(31)를 향하여 확대하여 열린 형상으로, 마이크로파를 수평 방향으로 균일하고 효율 좋게 전파시키도록 작용한다. 이에 따라, 마이크로 파는 동축 도파관(37a)의 내도체(41), 범프(41a)를 통해서 효율적으로 평면 안테나 부재(31)로 전파된다.
플라즈마 처리 장치(100)의 각 구성부는 제어부(101)의 프로세스 컨트롤러(50)에 접속되어 제어되는 구성으로 되어 있다. 프로세스 컨트롤러(50)에는 공정 관리자가 플라즈마 처리 장치(100)를 관리하기 위해서 커맨드의 입력 조작 등을 행하는 키보드나, 플라즈마 처리 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(51)가 접속되어 있다.
또한, 프로세스 컨트롤러(50)에는 플라즈마 처리 장치(100)에서 실행되는 각종 처리를 프로세스 컨트롤러(50)의 제어로서 실현하기 위한 제어 프로그램이나, 처리 조건 데이터 등이 기록된 레시피가 저장된 기억부(52)가 접속되어 있다.
그리고, 필요에 따라서, 유저 인터페이스(51)로부터의 지시 등으로 임의의 레시피를 기억부(52)로부터 호출해서 프로세스 컨트롤러(50)에 실행시킴으로써 프로세스 컨트롤러(50)의 제어 하에서 플라즈마 처리 장치(100)에서의 소망하는 처리가 행해진다. 또한, 상기 제어 프로그램이나 처리 조건 데이터 등의 레시피는 컴퓨터 판독 가능한 기억 매체, 예컨대 CD-ROM, 하드 디스크, 플렉서블 디스크, 플래시 메모리 등에 저장된 상태인 것을 이용하거나, 혹은 다른 장치로부터, 예컨대 전용 회선을 통해서 수시로 전송해서 온라인으로 이용하는 것도 가능하다.
이와 같이 구성된 RLSA 방식의 플라즈마 처리 장치(100)에서는 이하와 같은 순서로 웨이퍼 W에 형성된 Low-k 막에 대한 큐어링이 행해진다. 또한, 큐어링의 대상이 되는 Low-k 막으로서는 예컨대 CVD 법이나 도포법으로 형성되는 SiOCH계의 Low-k 막을 들 수 있으며, 특히 다공질인 SiOCH계 Low-k 막으로의 큐어링에 대하여, 본 실시예의 플라즈마 처리 장치(100)를 이용함으로써 유전율을 상승시키는 일없이 막 경도를 향상시킬 수 있기 때문에 효과가 크다. 또한, 그 밖의 Low-k 재료로서, 포러스 실리카(다공질 실리카)계, CF계, 유기 폴리머계, MSQ, 포러스 MSQ 등으로의 큐어링에도 적용 가능하다.
우선, 게이트 밸브(26)를 열어서 반입 반출구(25)로부터 웨이퍼 W를 챔버(1)내로 반입하여 서셉터(2) 상에 얹어 놓는다. 그리고, 예컨대 가스 공급계(16)의 Ar 가스 공급원(17) 및 H2 가스 공급원(18)으로부터, 각각 Ar 가스 및 H2 가스를 소정의 유량으로 가스 도입 부재(15)를 통해서 챔버(1)내에 도입하여, 소정의 압력으로 유지한다. 바람직한 플라즈마 처리 조건으로서, 예컨대 Ar 가스의 유량은 50~1000mL/min, H2 가스의 유량은 50~1000mL/min, 압력은 100mTorr~10Torr, 마이크로파 파워는 0.5~5kW, 온도 25~500℃의 범위로부터 선택할 수 있다.
이어서, 마이크로파 발생 장치(39)로부터의 마이크로파를 매칭 회로(38)를 거쳐서 도파관(37)으로 유도한다. 마이크로파는 직사각형 도파관(37b), 모드 변환기(40) 및 동축 도파관(37a)을 순차적으로 거쳐서 평면 안테나 부재(31)로 공급되어, 평면 안테나 부재(31)로부터 마이크로파 투과판(28)을 지나서 챔버(1)내에 있어서의 웨이퍼 W의 윗쪽 공간으로 방사된다. 마이크로파는 직사각형 도파관(37b) 내에서는 TE 모드로 전파하고, 이 TE 모드의 마이크로파는 모드 변환기(40)에서 TEM 모드로 변환되어, 동축 도파관(37a) 내를 평면 안테나 부재(31)를 향해서 전파 되어 간다.
평면 안테나 부재(31)로부터 마이크로파 투과판(28)을 지나서 챔버(1)로 방사된 마이크로파에 의해 챔버(1)내에서는 Ar 가스 및 H2 가스가 플라즈마화하여, 이 플라즈마에 의해 웨이퍼 W의 Low-k 막의 큐어링 처리가 행해진다. 이 마이크로파 플라즈마는 약 1011/cm3이상의 플라즈마 밀도로, 또한 웨이퍼 W 부근에서는 약 1.5eV이하의 저전자 온도 플라즈마이기 때문에, 저온이고 또한 단시간에 큐어링 처리를 행할 수 있어, 하지막으로의 이온 등에 의한 플라즈마 대미지가 작지만, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단으로서의 상측 플레이트(60) 및 하측 플레이트(61)를 2중으로 배치함으로써, 플라즈마의 이온 에너지를 감쇠시켜 이온의 영향을 극한으로 저감시킨 처치가 가능하게 된다.
다음으로, 도 5를 참조하면서 본 발명의 작용에 대하여 설명한다. 도 5는 플라즈마 처리 장치(100)에 의한 웨이퍼 W의 큐어링 처리의 실시예를 모식적으로 나타내는 원리도이다. 플라즈마 처리 장치(100)의 평면 안테나 부재(31)로부터 공급되는 마이크로파와, Ar/H2 가스가 작용하여 발생한 플라즈마는 챔버(1)내의 공간을 서셉터(2)에 탑재된 웨이퍼 W의 방향을 향해서 강하된다. 그 중간에는 2중으로 중첩시켜진 상측 플레이트(60) 및 하측 플레이트(61)가 마련되어 있기 때문에, 여기서 플라즈마 중의 래디컬의 선택적인 통과가 일어난다.
즉, 도 5에 도시하는 바와 같이 플라즈마 중에 포함되는 1가의 아르곤 이 온(Ar+), 수소 이온(H+) 등의 이온이나 전자(e-)는 하전 입자이기 때문에, 석영 등의 절연 재료로 이루어지는 상측 플레이트(60) 및 하측 플레이트(61)를 통과할 수 없어서 그 일부 또는 대부분이 실활(失活)되지만, 중성 입자인 수소 래디컬(H*)은 관통 구멍(60a, 61a)을 지나쳐 나가서 통과하여 웨이퍼 W까지 도달한다. 플라스마 중의 이온을 커트하기 위해서는 2장의 플레이트를 중첩한 상태로 하측 플레이트(61)의 관통 구멍(61a)과 상측 플레이트(60)의 관통 구멍(60a)이 중첩되지 않도록, 위치를 어긋나게 해서 형성하는 것이 중요하다(도 2 및 도 3 참조). 이러한 관통 구멍(60a, 61a)의 배치에 의해, 플라즈마 중의 이온의 통과를 블럭하여 웨이퍼 W에 도달하는 이온의 수를 감소시키면서, 수소 래디컬을 선택적으로 통과시킬 수 있게 된다.
상측 및 하측 플레이트(60, 61)를 통과한 수소 래디컬은 웨이퍼 W 상의 Low-k 막에 작용하여 막의 질을 경화시킨다. 이때, Low-k 막의 유전율 상승의 원인이 되는 이온의 작용이 배제되기 때문에, 유전율을 상승시키는 일 없이 양호한 막의 질을 유지한 채로 막을 경화시킬 수 있다. 이 효과는 다공질의 Low-k 막에서 한층 더 현저하게 나타난다.
다음으로, 본 발명의 기초가 되는 실험 데이터에 대하여, 도 6을 참조하면서 설명을 실행한다. 도 6은 도 1과 동일한 구성의 플라즈마 처리 장치(100)를 이용하여 SiOCH계 Low-k 막에 대하여 플라즈마 처리를 행하고, 큐어링을 한 후의 막의 유전율과 탄성율의 관계를 도시한 도면이다.
도 6의 그래프의 세로축은 막 두께 15%에 있어서의 탄성율(GPa)을 나타내고, 가로축은 유전율을 나타내고 있다. 또한, 플라즈마 처리 조건은 처리 가스로서 Ar/H2를 유량비 50/500mL/min(sccm)로 이용하고, 웨이퍼 온도 400℃, 압력은 약 400Pa(3Torr), 플라즈마로의 공급 파워는 2kW, 처리 시간 60~600초로 실행했다.
또한, 본 발명의 플라즈마 처리 장치에 의한 결과(직선 A)와의 비교를 위해, 상측 및 하측 플레이트(60, 61)를 마련하지 않은 점 외에는 플라즈마 처리 장치(100)와 동일한 구성의 종래의 플라즈마 처리 장치를 이용하여, 동일한 플라즈마 처리 조건으로 처리를 실행한 경우의 결과(직선 B), 및 플라즈마 중에서 이온의 존재가 보다 지배적으로 되는 저압력 조건(6.7Pa; 다른 조건은 상기와 마찬가지)으로 처리를 실행한 경우의 결과(직선 C)에 대해서도 병기했다.
도 6으로부터, 종래 형태의 플라즈마 처리 장치에 의한 결과(직선 B)에서는 Low-k 막의 탄성율이 상승함에 따라, 유전율도 상승하고, 막의 경화성과 저유전율은 상충(trade-off) 관계에 있다는 것이 이해된다. 그리고, 이 경향은 종래 형태의 플라즈마 처리 장치를 이용하여 플라즈마 중의 이온의 비율이 높은 저압력 조건(직선 C)인 경우, 한층 더 현저하다는 것을 알 수 있다.
한편, 상측 및 하측 플레이트(60, 61)를 구비한 플라즈마 처리 장치(100)에 의한 큐어링 처리에서는 직선 A으로 도시하는 바와 같이 낮은 유전율을 유지한 채로 막의 탄성율을 높일 수 있었다.
이상의 결과로부터, 상측 및 하측 플레이트(60, 61)를 마련하여, 플라즈마 처리 장치(100)에 있어서 이온의 통과를 방해하여, 수소 래디컬의 선택적인 통과를 도모함으로써, 큐어링 처리에 있어서의 이온의 영향을 배제 또는 저감하여, Low-k 막을 확실히 경화시킬 수 있다는 것이 설명되었다.
이 경우, Low-k 막의 큐어링 과정에서는 플라즈마 처리 장치(100)에서 발생한 수소 래디컬을 갖는 고밀도 플라즈마에 의해서, 주로 표층의 막의 질이 치밀해져서 경화되지만, 막의 하층부에서는 성긴 막이 형성된다. 플라즈마 조사에 의해서 Low-k 막을 구성하는 Si-CHx의 결합이 H 래디컬 등의 에너지를 갖는 활성종에 의해 절단되어 CHx가 절제됨과 아울러, 다른 분자의 Si-OH의 결합이 마찬가지로 절단되는 반응이 일어난다. 그리고, Low-k 막 중 CHx나 OH 등의 분자가 날아감으로써, CH3-Si-O 베이스의 래더형 분자 구조(사다리 구조)가 형성되기 때문에, 분자 사이에 공간이 생길 수 있다. 이들 반응 과정에서, 래디컬 선택 통과 수단으로서 2중 플레이트(60, 61)를 마련한 플라즈마 처리 장치(100)를 이용함으로써, 이온의 영향이 저감되어, 상기 메틸기 등의 탈리가 과잉으로 일어나는 일없이 적절하게 진행하는 마일드한 반응이 가능하게 된다. 이에 의해서, 막중 분자의 분극화가 억제되어, 유전율 k을 낮게 억제한 채로 Low-k 막의 큐어링을 할 수 있게 된다.
다음으로, 플라즈마 처리 장치(100)를 포함하여, Low-k 막의 성막부터 큐어링까지를 일관해서 실시하는 것이 가능한 플라즈마 처리 시스템의 일례에 대해서 설명한다. 도 7에 도시하는 바와 같이 이 처리 시스템(200)은 복수, 예컨대 4개의 처리실(204A, 204B, 204C, 204D)과, 거의 육각 형상인 공통 반송실(206)과, 로드 로크 기능을 갖는 제 1 및 제 2 로드 로크실(208A, 208B)과, 가늘고 긴 도입측 반송실(210)을 주로 갖고 있다. 구체적으로는 거의 육각 형상인 상기 공통 반송실(206)의 네변에 상기 각 처리실(204A~204D)이 접합되고, 다른 측의 2개의 변에, 상기 제 1 및 제 2 로드 로크실(208A, 208B)이 각각 접합된다. 그리고, 이 제 1 및 제 2 로드 로크실(208A, 208B)에 상기 도입측 반송실(210)이 공통으로 접속된다.
상기 공통 반송실(206)과 상기 4개의 각 처리 장치(204A~204D)의 사이 및 상기 공통 반송실(206)과 상기 제 1 및 제 2 로드 로크실(208A, 208B) 사이는 각각 기밀하게 개폐 가능하게 이루어진 게이트 밸브 G가 개재되어 접합되고, 클러스터 화되어 있어서, 필요에 따라서 공통 반송실(206)내와 연통 가능하도록 이루어져 있다. 또한, 상기 제 1 및 제 2 각 로드 로크실(208A, 208B)과 상기 도입측 반송실(210) 사이에도, 각각 기밀하게 개폐 가능하게 이루어진 게이트 밸브 G가 개재되어 있다.
상기 4개의 처리실(204A~204D) 내에는 각각 피처리체로서의 반도체 웨이퍼를 얹어 놓는 서셉터(212A~212D)가 마련되어 있고, 피처리체인 반도체 웨이퍼 W에 대하여 동종의, 혹은 이종의 처리를 실시하게 되어 있다. 예컨대, 처리실(204A, 204B)에서는 후술하는 평행 평판형 플라즈마 CVD 장치(300)(도 8 참조)에 의한 Low-k 막의 성막 처리를 행하고, 처리실(204C, 204D)에서는 상기 도 1의 RLSA 방식의 플라즈마 처리 장치(100)에 의한 Low-k 막의 큐어링 처리를 행할 수 있게 되어 있다. 공통 반송실(206)내에서는 상기 2개의 각 로드 로크실(208A, 208B) 및 4개 의 각 처리실(204A~204D)에 액세스할 수 있는 위치에, 굴신(屈伸), 승강 및 선회 가능한 다관절 아암으로 이루어진 제 2 반송 기구(214)가 마련되어 있고, 이는 서로 반대 방향으로 독립해서 굴신할 수 있는 2개의 피크(B1, B2)를 갖고 있어서, 한번에 2장의 웨이퍼를 다룰 수 있게 되어 있다. 또한, 상기 제 2 반송 기구(214)로서 하나 피크만을 갖고 있는 것도 이용할 수 있다.
상기 도입측 반송실(210)은 가로가 긴 상자체로 형성되어 있고, 그 가로가 긴 1측에는 피처리체인 반도체 웨이퍼를 도입하기 위한 하나 내지 복수의, 도시예에서는 3개의 반입구(216)가 마련되며, 각 반입구(216)에는 개폐 가능하게 이루어진 개폐 도어(221)가 마련된다. 그리고, 이 각 반입구(216)에 대응해서, 도입 포트(218A, 218B, 218C)가 각각 마련되고, 여기에 각각 하나씩 카세트 용기(220)를 탑재할 수 있게 되어 있다. 각 카세트 용기(220)에는 복수장, 예컨대 25장의 웨이퍼 W를 같은 피치로 다단으로 얹어서 수용할 수 있게 되어 있다.
이 도입측 반송실(210)내에는 웨이퍼 W를 그 길이 방향을 따라 반송하기 위한 도입측 반송 기구인 제 1 반송 기구(222)가 마련된다. 이 제 1 반송 기구(222)는 도입측 반송실(210) 내의 중심부를 길이 방향을 따라서 연장하도록 마련된 안내 레일(224) 상에서 슬라이드 이동 가능하게 지지되어 있다. 이 안내 레일(224)에는 이동 기구로서 예컨대, 인코더를 갖는 리니어 모터가 내장되어 있어서, 이 리니어 모터를 구동함으로써 상기 제 1 반송 기구(222)는 안내 레일(224)을 따라 이동하게 된다.
또한, 상기 제 1 반송 기구(222)는 상하 2단으로 배치된 2개의 다관절 아 암(232, 234)을 갖고 있다. 이 각 다관절 아암(232, 234)의 선단에는 각각 U자형의 피크(A1, A2)가 부착되어 있고, 이 피크(A1, A2) 상에 각각 웨이퍼 W를 직접적으로 유지하게 되어 있다. 따라서, 각 다관절 아암(232, 234)은 그 중심부터 반경 방향으로 굴신 자유롭게 그리고 승강 자유롭게 이루어져 있고 또한, 각 다관절 아암(232, 234)의 굴신 동작은 개별적으로 제어 가능하도록 이루어져 있다.
상기 다관절 아암(232, 234)의 각 회전축은 각각 기초대(236)에 대하여 동축형으로 회전 가능하게 연결되어 있고, 예컨대 기대(236)에 대한 선회 방향으로 일체적으로 회전할 수 있게 되어 있다. 또한, 여기서 상기 피크(A1, A2)는 2개가 아니라, 하나만 마련하는 경우도 있다.
또한, 도입측 반송실(210)의 다른 쪽 단부에는 웨이퍼의 위치 정렬을 실행하는 오리엔터(226)가 마련되고, 또한 도입측 반송실(210)의 길이 방향의 중간에는 상기 2개의 로드 로크실(208A, 208B)이 각각 개폐 가능하게 이루어진 상기 게이트 밸브 G를 사이에 두고 마련된다.
상기 오리엔터(226)는 도시하지 않은 구동 모터에 의해서 회전되는 회전대(228)를 갖고 있고, 그 위에 웨이퍼 W를 얹어 놓은 상태로 회전하게 되어 있다. 이 회전대(228)의 외주에는 웨이퍼 W의 주연부를 검출하기 위한 광학 센서(230)가 마련되며, 이에 따라 웨이퍼 W의 위치 결정 절결부, 예컨대 노치나 오리엔테이션 플랫의 위치 방향이나 웨이퍼 W의 중심의 위치 어긋남량을 검출할 수 있게 되어 있다.
또한, 상기 제 1 및 제 2 로드 로크실(208A, 208B) 내에는 웨이퍼 W를 일시 적으로 얹어 놓기 위해서 웨이퍼 직경보다도 작은 직경의 탑재대(238A, 238B)가 각각 설치되어 있다. 그리고, 이 처리 시스템(200)의 동작 전체의 제어, 예컨대 각 반송 기구(214, 222)나 오리엔터(226) 등의 동작 제어는 예컨대 프로세스 컨트롤러(50:도 1 참조)를 구비한 제어부(101)에 의해 행해진다.
다음으로, Low-k 막의 형성 방법의 일례에 대하여, 도 8을 참조하여 설명한다. 여기서는 실리콘(Si)과 산소(0)와 탄소(C)를 주성분으로서 구성되며, 두께 방향으로 균일한 공극을 갖는 Low-k 막(이하, SiOC계 막)을 형성하는 경우에 대하여 설명한다. 우선, 도 8에 나타낸 처리 장치는 상하 평행하게 대향하는 전극을 갖는 이른바 평행 평판형 플라즈마 CVD 장치로서 구성되고, 반도체 웨이퍼(이하, 웨이퍼 W)의 표면에 SiOC계 막을 CVD에 의해 성막한다. 이 평행 평판형 플라즈마 CVD 장치(300)는 원통 형상의 챔버(312)를 갖는다. 챔버(312)는 알루마이트 처리(양극 산화 처리)된 알루미늄 등의 도전성 재료로 이루어진다. 또한, 챔버(312)는 접지되어 있다.
챔버(312)의 바닥부에는 배기구(313)가 설치된다. 배기구(313)에는 터보 분자 펌프 등의 진공 펌프(기압 양수기)를 구비한 배기 장치(314)가 접속되어 있다. 배기 장치(314)는 챔버(312)내를 소정의 압력까지 배기한다. 또한, 챔버(312)의 측벽에는 게이트 밸브(315)가 설치된다. 게이트 밸브(315)를 개방한 상태로 챔버(312)의 외부와의 사이에서의 웨이퍼 W의 반입 반출이 행해진다. 제독 장치(336)는 배기 장치(314)에 의해 배출된 챔버(312)내의 분위기 가스를 무해화하기 위한 장치로서, 소정의 촉매에 의해 분위기 가스를 연소 혹은 열분해하여 무해한 물질로 변환한다.
챔버(312)의 바닥부에는 거의 원주 형상인 서셉터 지지대(316)가 설치된다. 서셉터 지지대(316) 위에는 웨이퍼 W의 탑재대로서의 서셉터(317)가 설치된다. 서셉터(317)는 하부 전극으로서의 기능을 갖고, 서셉터 지지대(316)와 서셉터(317) 사이는 세라믹 등의 절연체(318)에 의해 절연되어 있다. 서셉터 지지대(316)의 내부에는 냉매를 순환시키는 하부 냉매 유로(319)가 설치된다. 하부 냉매 유로(319) 내에 냉매를 순환시킴으로써, 서셉터(317) 및 웨이퍼 W는 소망하는 온도에 제어된다.
서셉터 지지대(316)에는 웨이퍼 W를 주고 받기 위한 리프트 핀(320)이 마련되어 있고, 리프트핀(320)은 실린더(도시 생략)에 의해 승강 가능하게 되어 있다. 또한, 서셉터(317)는 그 위 중앙부가 볼록 형상의 원판 형상으로 성형되고, 그 위에 웨이퍼 W와 거의 같은 형태의 도시하지 않은 정전척이 마련되며, 이 정전척에 직류 전압이 인가됨으로써 서셉터(317) 상에 탑재된 웨이퍼 W가 정전 흡착된다. 하부 전극으로서 기능하는 서셉터(317)에는 제 1 고주파 전원(321)이 제 1 정합기(322)를 거쳐서 접속되어 있다. 제 1 고주파 전원(321)은 450kHz~60MHz의 범위의 주파수를 갖고 있어서, 서셉터(317)에 상기 범위의 주파수의 고주파를 인가할 수 있다.
서셉터(317)의 윗쪽에는 이 서셉터(317)와 평행하게 대향하여 샤워 헤드(323)가 설치된다. 샤워 헤드(323)의 서셉터(317)에 대향하는 면에는 다수의 가스 구멍(324)을 가지며, 알루미늄 등으로 이루어지는 전극판(325)이 구비되어 있 다. 또한, 샤워 헤드(323)는 전극 지지체(326)에 의해 챔버(312)의 천장 부분에 지지되어 있다. 샤워 헤드(323)의 내부에는 상부 냉매 유로(327)가 마련되어 있고, 그 상부 냉매 유로(327)에 냉매를 순환시킴으로써 샤워 헤드(323)가 소망하는 온도에 제어된다.
또한, 샤워 헤드(323)에는 가스 도입관(328)이 접속되어 있다. 가스 도입관(328)은 1,3,5-트리메틸-1,3,5-트리비닐시클로트리실록산(V3D3) 가스원(329)과, 이소프로필알콜(IPA) 가스원(330)과, 아르곤(Ar) 가스원(331)에, 도시하지 않은 매스 플로우 컨트롤러, 밸브 등을 거쳐서 접속되어 있다. V3D3와 IPA는 상온에서는 모두 액체이기 때문에, 도시하지 않은 가열부에 의해 기화한 상태로, 각 가스원(329, 330)에 공급된다. 또한, 공극을 형성하기 위한 처리 가스인 NH3 가스원(335)도, 가스 도입관(328)에 도시하지 않은 매스 플로우 컨트롤러, 밸브 등을 거쳐서 접속되어 있다.
각 가스원(329~331, 335)으부터의 원료 가스 및 처리 가스는 가스 도입관(328)을 거쳐서 샤워 헤드(323) 내부에 형성된 중공부(도시 생략)에 혼합되어 공급된다. 샤워 헤드(323)내에 공급된 가스는 중공부에서 확산되어, 샤워 헤드(323)의 가스 구멍(324)으로부터 웨이퍼 W의 표면으로 공급된다.
샤워 헤드(323)에는 제 2 고주파 전원(332)이 접속되어 있고, 그 급전선에는 제 2 정합기(333)가 개재되어 있다. 제 2 고주파 전원(332)은 예컨대, 450kHz~150 MHz의 범위의 주파수를 갖고 있어서, 이와 같이 높은 주파수의 고주파를 샤워 헤 드(323)에 인가함으로써, 샤워 헤드(323)를 상부 전극으로서 기능시켜, 챔버(312)내에 바람직한 해리 상태 또한 고밀도의 플라즈마를 형성할 수 있게 된다.
제어부(101)는 웨이퍼 W에의 성막 처리를 포함한, 평행 평판형 플라즈마 CVD 장치(300) 전체의 동작을 제어한다. 상기한 바와 같이, 제어부(101)는 장치 각부를 소정의 처리 순서에 따라서 제어하기 위한 프로그램을 기억부(52:도 1 참조)에 기억하고, 이 프로그램에 따라서 장치 각부에 제어 신호를 송신한다.
이하, 평행 평판형 플라즈마 CVD 장치(300)를 이용한 절연막의 형성 방법에 대하여 설명한다. 우선, 미처리 웨이퍼 W가 다관절 아암으로 이루어지는 제 2 반송 기구(214)(도 7 참조)에 유지되어 개방 상태의 게이트 밸브(315)를 거쳐서 챔버(312)내로 반입된다. 반송 아암은 웨이퍼 W를 상승 위치에 있는 리프트핀(320)으로 주고 받아서, 챔버(312)내로부터 퇴출시킨다. 그 후, 웨이퍼 W는 리프트핀(320)의 하강에 의해 서셉터(317)상에 탑재된다. 웨이퍼 W는 정전척에 의해 서셉터(317) 상에 고정된다.
이어서, 배기 장치(314)에 의해 챔버(312)내를 예컨대, 50Pa(3.8× 10-1 Torr)로 감압한다. 또한 동시에, 서셉터(317)의 온도를 400℃ 이하의 온도, 예컨대, 300℃로 설정한다.
그 후, 각 가스원(329~331)으로부터, V3D3, IPA 및 Ar 가스가 소정의 유량으로 챔버(312) 내에 공급된다. 처리 가스의 혼합 가스는 샤워 헤드(323)의 가스 구멍(324)으로부터 웨이퍼 W를 향해서 균일하게 토출된다. V3D3, IPA 및 Ar의 공급은 예컨대, V3D3/IPA/Ar=30/10/100의 유량비(각 sccm)로 행해진다.
그 후, 제 2 고주파 전원(332)으로부터 예컨대, 27MHz의 고주파 전력이 상부 전극(샤워 헤드(323))에 인가된다. 이에 따라, 상부 전극과 하부 전극(서셉터(317))와의 사이에 고주파 전계가 발생하여, 혼합 가스의 플라즈마를 생성한다. 한편, 제 1 고주파 전원(321)으로부터는 예컨대, 2MHz의 고주파 전력이 하부 전극에 인가된다. 이에 따라, 생성한 플라즈마 중의 하전 입자 특히, V3D3 및 IPA의 분자 형상의 활성종이 웨이퍼 W의 표면 근방으로 끌어당겨져서 반응하여, IPA 분자를 포함하는 SiOC계 막이 웨이퍼 W의 표면에 형성된다.
여기서, 상하 전극(323, 317)으로의 고주파 전력의 인가를 수초 내지 수십초간 실행하여, 웨이퍼 W 표면에 예컨대, 50nm(500Å) 두께의 SiOC계의 막을 형성한다. 고주파 전력의 인가 개시부터 소정 시간 후, 상부 전극 및 하부 전극으로의 고주파 전력의 인가를 정지함과 아울러, V3D3 가스원(329) 및 IPA 가스원(330)으로부터의 V3D3 및 IPA의 도입을 정지한다. 이상으로 성막 공정은 일단 종료한다. 이 때, Ar이 챔버(312) 내에 흐르고 있다.
Ar 가스에 의한 챔버(312)내의 퍼지를 소정 시간 실행하고, 챔버(312) 내에서 잔존하는 V3D3 및 IPA를 제거한다.
이 경우에, 성막 처리 후에 NH3 플라즈마 어닐링 처리를 행함으로써, 막중의 공극률을 향상시킬 수 있다. 이와 같이, 성막 처리와 플라즈마 어닐링 처리와 각 처리 사이의 퍼지를 되풀이하여 예컨대, 500nm(5000Å) 두께의 SiOC계의 적층막을 형성할 수 있다. 성막 처리 후 서셉터(317)의 가열을 정지함과 아울러, 챔버(312)내의 압력을 챔버(312) 밖의 압력 정도까지 되돌린다. 그 후, 정전척은 해제되어 리프트핀(320)이 상승한다. 이어서, 게이트 밸브(315)가 개방되어, 제 2 반송 기구(214)의 반송 아암이 챔버(312)내로 침입한다. 제 2 반송 기구(214)의 반송 아암에 의해 웨이퍼 W가 챔버(312)밖으로 반출된다.
상기 실시예에서는 절연막으로서 SiOC계 막을, V3D3와 IPA를 원료 화합물로서 형성했지만, 다른 원료로서, V3D3 대신에, 예컨대 옥타메틸시클로테트라실록산(D4), 헥사에틸시클로트리실록산, 헥사메틸시클로트리실록산, 옥타페닐시클로트리실록산, 테트라에틸시클로테트라실록산 등의 환상실록산 화합물이나, 다른 유기실란 가스 예컨대, 트리메틸실란, 디메틸디메톡시실란(DMDMOS) 등을 이용하는 것도 가능하다. 또한, 절연막은 SiOC계 막에 한정되지 않고 예컨대, CVD법, 도포법 등에 의한 MSQ, 포러스 MSQ, 유기 폴리머 등의 유기계 저유전율 막이나 SiC, SiN, SiCN, SiOF 또는 SiOx 등의 무기계 저유전율막이여도 된다.
이상과 같이, 플라즈마 처리 시스템(200)은 성막 장치인 평행 평판형 플라즈마 CVD 장치(300)와, 큐어링 장치인 플라즈마 처리 장치(100)를 구비함으로써, 절연막인 Low-k 막의 성막부터 큐어링까지 연속하여 처리할 수 있다.
이상, 본 발명의 실시예를 말했지만, 본 발명은 상기 실시예에 제약되는 것은 아니라, 여러가지 변형이 가능하다.
예컨대, 도 1에서는 RLSA 방식의 플라즈마 처리 장치(100)를 예로 들었지만, 피처리 기판에 대하여 플라즈마가 일정 방향으로 공급되는 장치면, 여기에 2장의 플레이트(60, 61)를 마련함으로써 동일한 효과를 얻을 수 있기 때문에, 예컨대 리모트 플라즈마 방식, ICP 방식, ECR 방식, 표면 반사파 방식, 평행 평판(정전 용량) 방식, 마그네트론 방식 등의 플라즈마 처리 장치여도 된다.
또한, 플레이트는 2장에 한하지 않고, 필요에 따라서 3장 이상의 플레이트를 거듭 마련할 수도 있다.
또한, 관통 구멍(60a, 61a)의 형상은 원형에 한하지 않고 임의이며, 예컨대 사각 등이여도 되고, 더욱이 도 9에 도시하는 바와 같이 상측 플레이트(62)와 하측 플레이트(63)에, 각각 슬릿(62a, 63a)을 서로 위치가 어긋나도록 형성하는 것도 가능하다.
또한, 관통 구멍(60a, 61a) 등, 슬릿(62a, 63a) 등의 개구 면적이나 그 비율 등은 큐어링의 대상으로 되는 Low-k 막의 종류나 플라즈마 처리 조건 등에 따라 적절하게 조정할 수 있다.
본 발명은 예컨대, 논리 장치 등의 각종 반도체 장치의 제조에서 적합하게 이용할 수 있다.

Claims (20)

  1. 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
    상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
    상기 기판 유지대의 윗쪽에 마련되어, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 구비한 것
    을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 처리실내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 선택 통과 수단을 통해서 플라즈마를 공급하도록 한 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 선택 통과 수단은 복수의 관통 개구부가 형성된 2장 이상의 플레이트를, 그 관통 개구부의 위치가 겹치지 않도록 배치한 것인 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 관통 개구부는 관통 구멍 또는 슬릿인 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 3 항에 있어서,
    상기 플레이트는 절연체로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
    상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
    상기 기판 유지대의 윗쪽에 마련되어, 복수의 관통 개구부가 형성됨과 아울러, 그 관통 개구부의 위치가 겹치지 않도록 배치된 2장 이상의 플레이트를 구비한 것
    을 특징으로 하는 플라즈마 처리 장치.
  7. 제 6 항에 있어서,
    상기 처리실내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 플레이트를 통해서 플라즈마를 공급하도록 한 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제 6 항에 있어서,
    상기 관통 개구부는 관통 구멍 또는 슬릿인 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제 6 항에 있어서,
    상기 플레이트는 절연체로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실과,
    상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
    상기 처리실내를 감압하기 위한 배기 수단과,
    상기 처리실내에 가스를 공급하기 위한 가스 공급 수단과,
    상기 처리실내의 상부에 마련되고, 외부의 마이크로파 발생 장치와 접속되어 있으며, 상기 처리실내에 마이크로파를 도입하여 플라즈마를 발생시키기 위한 복수의 슬롯을 갖는 평면 안테나와,
    상기 평면 안테나와 상기 기판 유지대와의 사이에 개재되어 배치되며, 복수의 관통 개구부가 형성됨과 아울러, 그 관통 개구부의 위치가 겹치지 않도록 배치된 2장 이상의 플레이트를 구비한 것
    을 특징으로 하는 플라즈마 처리 장치.
  11. 제 10 항에 있어서,
    상기 처리실내의 상부로부터 상기 기판 유지대에 탑재된 피처리 기판에 대하여 상기 플레이트를 통해서 플라즈마를 공급하도록 한 것을 특징으로 하는 플라즈마 처리 장치.
  12. 제 10 항에 있어서,
    상기 관통 개구부는 관통 구멍 또는 슬릿인 것을 특징으로 하는 플라즈마 처리 장치.
  13. 제 10 항에 있어서,
    상기 플레이트는 절연체로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  14. 피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판에 대하여 플라즈마 처리를 행하는 것
    을 특징으로 하는 플라즈마 처리 방법.
  15. 제 14 항에 있어서,
    상기 플라즈마 처리는 피처리 기판상에 형성된 Low-k막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 것인 것을 특징으로 하는 플라즈마 처리 방법.
  16. 제 15 항에 있어서,
    상기 Low-k 막은 SiOCH계 막인 것을 특징으로 하는 플라즈마 처리 방법.
  17. 제 15 항에 있어서,
    처리 가스로서, 희가스와 수소를 포함하는 가스를 이용하는 것을 특징으로 하는 플라즈마 처리 방법.
  18. 컴퓨터상에서 동작하며, 실행시에,
    피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록, 상기 플라즈마 처리 장치를 제어하는 것
    을 특징으로 하는 제어 프로그램.
  19. 컴퓨터상에서 동작하는 제어 프로그램이 기억된 컴퓨터 기억 매체로서, 상기 제어 프로그램은 실행시에,
    피처리 기판에 대하여 플라즈마 처리를 실행하는 처리실의 상부로부터 기판 유지대에 탑재된 피처리 기판에 대하여 플라즈마가 공급되도록 구성됨과 아울러, 상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단을 마련한 플라즈마 처리 장치의 상기 처리실내에서, 피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록, 상기 플라즈마 처리 장치를 제어하는 것
    을 특징으로 하는 컴퓨터 기억 매체.
  20. 플라즈마에 의해 피처리체를 처리하기 위한 진공 배기 가능한 처리실과,
    상기 처리실내에서 상기 피처리 기판을 얹어 놓는 기판 유지대와,
    상기 기판 유지대의 윗쪽에, 플라즈마 중의 이온의 통과를 억제하고, 수소 래디컬을 선택적으로 통과시키는 선택 통과 수단과,
    피처리 기판상에 형성된 Low-k 막에 대하여 수소 래디컬을 선택적으로 작용시켜, 그 Low-k 막을 경화 처리하는 플라즈마 처리 방법이 행해지도록 제어하는 제어부를 구비한 것
    을 특징으로 하는 플라즈마 처리 장치.
KR1020077006120A 2004-09-17 2005-09-16 플라즈마 처리 장치 및 플라즈마 처리 방법 KR100906516B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004271732A JP4633425B2 (ja) 2004-09-17 2004-09-17 プラズマ処理装置およびプラズマ処理方法
JPJP-P-2004-00271732 2004-09-17

Publications (2)

Publication Number Publication Date
KR20070049671A true KR20070049671A (ko) 2007-05-11
KR100906516B1 KR100906516B1 (ko) 2009-07-07

Family

ID=36060142

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077006120A KR100906516B1 (ko) 2004-09-17 2005-09-16 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (1) US20070286967A1 (ko)
JP (1) JP4633425B2 (ko)
KR (1) KR100906516B1 (ko)
CN (1) CN100573830C (ko)
WO (1) WO2006030895A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101385678B1 (ko) * 2011-09-29 2014-04-15 도쿄엘렉트론가부시키가이샤 라디칼 선택 장치 및 기판 처리 장치
KR102494936B1 (ko) * 2021-12-30 2023-02-06 세메스 주식회사 기판 처리 장치와 이를 포함하는 기판 접합 시스템 및 이를 이용한 기판 처리 방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8178280B2 (en) 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
KR101744668B1 (ko) * 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
CN104350584B (zh) * 2012-05-23 2017-04-19 东京毅力科创株式会社 基板处理装置及基板处理方法
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
WO2016002547A1 (ja) * 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
JP7145648B2 (ja) * 2018-05-22 2022-10-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20200283905A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. System and method of low temperature thin film deposition and in-situ annealing
TW202230438A (zh) 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
JP2022108358A (ja) * 2021-01-13 2022-07-26 キオクシア株式会社 半導体製造装置及びその制御方法
WO2022168313A1 (ja) 2021-02-08 2022-08-11 株式会社日立ハイテク プラズマ処理装置
TWI810772B (zh) * 2021-12-30 2023-08-01 日揚科技股份有限公司 一種快速退火設備

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
JP2001115267A (ja) * 1999-10-19 2001-04-24 Canon Inc プラズマ処理装置及び処理方法
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2002343029A1 (en) * 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
JP2003338491A (ja) * 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101385678B1 (ko) * 2011-09-29 2014-04-15 도쿄엘렉트론가부시키가이샤 라디칼 선택 장치 및 기판 처리 장치
KR102494936B1 (ko) * 2021-12-30 2023-02-06 세메스 주식회사 기판 처리 장치와 이를 포함하는 기판 접합 시스템 및 이를 이용한 기판 처리 방법

Also Published As

Publication number Publication date
US20070286967A1 (en) 2007-12-13
WO2006030895A1 (ja) 2006-03-23
KR100906516B1 (ko) 2009-07-07
CN101023513A (zh) 2007-08-22
CN100573830C (zh) 2009-12-23
JP4633425B2 (ja) 2011-02-16
JP2006086449A (ja) 2006-03-30

Similar Documents

Publication Publication Date Title
KR100906516B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8378464B2 (en) Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium
KR100933374B1 (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
CN100514575C (zh) 电介质膜的成膜方法及成膜装置
KR100558768B1 (ko) 성막개질장치 및 성막개질방법
JP4853857B2 (ja) 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
EP1742273A1 (en) Method of forming gate insulating film, storage medium and computer program
TW201532143A (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
WO2012011480A1 (ja) 層間絶縁層形成方法及び半導体装置
WO2005053008A1 (ja) 絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法
KR102141670B1 (ko) 저온 경화 모듈러스 강화
KR100900587B1 (ko) 기판 처리 방법
TW201303999A (zh) 電漿處理方法及元件分離方法
TWI791106B (zh) 處理系統及處理方法
JP3062116B2 (ja) 成膜・改質集合装置
KR20090006769A (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
KR102658168B1 (ko) 성막 방법
KR20190015132A (ko) 피처리체를 처리하는 방법
KR100537679B1 (ko) 성막장치 및 성막방법
WO2023171365A1 (ja) 埋込方法及び処理システム
WO2024029320A1 (ja) 成膜方法および成膜装置
KR101384590B1 (ko) 반도체 디바이스 제조 방법 및 반도체 디바이스 제조 장치
JP2023182324A (ja) 成膜方法及び成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee