WO2006030895A1 - プラズマ処理装置およびプラズマ処理方法 - Google Patents

プラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
WO2006030895A1
WO2006030895A1 PCT/JP2005/017146 JP2005017146W WO2006030895A1 WO 2006030895 A1 WO2006030895 A1 WO 2006030895A1 JP 2005017146 W JP2005017146 W JP 2005017146W WO 2006030895 A1 WO2006030895 A1 WO 2006030895A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
substrate
plasma processing
processed
processing apparatus
Prior art date
Application number
PCT/JP2005/017146
Other languages
English (en)
French (fr)
Inventor
Shinji Ide
Masaru Sasaki
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US11/575,530 priority Critical patent/US20070286967A1/en
Publication of WO2006030895A1 publication Critical patent/WO2006030895A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Definitions

  • the present invention relates to a plasma processing apparatus that processes a substrate to be processed such as a semiconductor substrate using plasma.
  • Patent Document 1 As in Patent Document 1, it is possible to increase the mechanical strength of the film by curing the low-k film by plasma treatment. However, there was a problem that the dielectric constant of the low-k film also increased during the curing process. As a result of investigating this cause, the present inventors have desorbed an alkyl group such as a methyl group or an alkoxy group existing in the Slow-k film in the plasma, and proceeded with polarization of molecules in the film. It was found that the phenomenon that caused
  • an object of the present invention is to provide a plasma treatment apparatus capable of preventing or suppressing an adverse effect on a low-k film due to an ion component in a plasma when performing plasma treatment for the purpose of curing. And providing a plasma processing method.
  • a processing chamber for performing plasma processing on a substrate to be processed
  • a substrate holder on which the substrate to be processed is placed in the processing chamber;
  • a selective passage means disposed above the substrate holder, for suppressing the passage of ions in the plasma and selectively passing hydrogen radicals;
  • the selective passage means is a plate in which two or more plates formed with a plurality of through openings are arranged so that the positions of the through openings do not overlap.
  • a processing chamber for performing plasma processing on a substrate to be processed
  • a substrate holder on which the substrate to be processed is placed in the processing chamber;
  • Two or more plates provided above the substrate holding table and formed so that a plurality of through openings are formed and the positions of the through openings do not overlap;
  • plasma is supplied from the upper part of the processing chamber to the substrate to be processed placed on the substrate holding table via the plate.
  • a processing chamber for performing plasma processing on a substrate to be processed a substrate to be processed
  • a substrate holder on which the substrate to be processed is placed in the processing chamber;
  • Gas supply means for supplying gas into the processing chamber
  • a planar antenna provided at an upper part of the processing chamber and connected to an external microwave generator, and having a plurality of slots for introducing a microwave into the processing chamber to generate plasma;
  • a plurality of through openings disposed between the planar antenna and the substrate holder. And two or more plates arranged so that the positions of the through openings do not overlap, and
  • plasma is supplied to the substrate to be processed placed on the substrate holding table via the plate.
  • the through opening is preferably a through hole or a slit.
  • the plate is made of an insulator! /.
  • plasma is supplied to the substrate to be processed placed on the substrate holder from the upper part of the processing chamber that performs plasma processing on the substrate to be processed.
  • the processing chamber of the plasma processing apparatus provided with selective passage means for suppressing the passage of ions in the plasma and selectively allowing hydrogen radicals to pass above the substrate holder.
  • the plasma treatment selectively applies hydrogen radicals to the low-k film formed on the substrate to be processed to cure the low-k film. It is preferable.
  • the low-k film is preferably a SiOCH film.
  • the plasma is supplied to the substrate to be processed placed on the substrate holder from the upper part of the processing chamber for performing the plasma processing on the substrate to be processed, and above the substrate holder, Hydrogen is applied to the low-k film formed on the substrate to be processed in the processing chamber of the plasma processing apparatus provided with selective passing means for suppressing the passage of ions in the plasma and selectively passing hydrogen radicals.
  • a control program characterized by controlling the plasma processing apparatus so that a plasma processing method of selectively applying radicals and curing the low-k film is performed.
  • a computer storage medium storing a control program that operates on a computer, wherein the control program is The plasma is supplied to the substrate to be processed placed on the substrate holder from the upper part of the processing chamber for performing the plasma processing on the substrate to be processed, and above the substrate holder, Hydrogen is applied to the low-k film formed on the substrate to be processed in the processing chamber of the plasma processing apparatus provided with selective passing means for suppressing the passage of ions in the plasma and selectively passing hydrogen radicals.
  • a computer storage medium characterized by controlling the plasma processing apparatus so as to perform a plasma processing method for selectively applying radicals and curing the low-k film.
  • a processing chamber capable of being evacuated for processing an object to be processed with plasma
  • a substrate holder on which the substrate to be processed is placed in the processing chamber;
  • Selective passage means for suppressing passage of ions in the plasma and selectively passing hydrogen radicals above the substrate holder
  • the plasma processing apparatus of the present invention includes selective passage means for suppressing the passage of ions in the plasma and selectively passing hydrogen radicals. For example, on the wafer that is the substrate to be processed. The effect of ions on the formed film can be eliminated, and curing with hydrogen radicals can be performed without increasing the dielectric constant of the film.
  • the selective passage means two or more plates having a plurality of through openings are formed.
  • the low-k film can be reliably cured by using the plasma processing apparatus.
  • FIG. 1 is a schematic cross-sectional view showing an example of a plasma processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a plan view for explaining the plate.
  • FIG. 3 is a cross-sectional view of an essential part for explaining a plate.
  • FIG. 4 is a drawing for explaining a planar antenna member.
  • FIG. 5 is a principle diagram for explaining the action of the upper and lower plates.
  • FIG. 6 is a graph showing the relationship between the dielectric constant and elastic modulus of the film.
  • FIG. 7 is a drawing showing a schematic configuration of a plasma processing system.
  • FIG. 8 is a cross-sectional view showing a schematic configuration of a parallel plate type plasma CVD apparatus.
  • FIG. 9 is a drawing for explaining another embodiment of the upper and lower plates.
  • FIG. 1 is a cross-sectional view schematically showing an example of a plasma processing apparatus according to an embodiment of the present invention.
  • This plasma processing equipment uses RLSA (Radial Line Slot Antenna) plasma generation technology that generates plasma by introducing microwaves into a processing chamber using a planar antenna having a plurality of slots. It can generate microwave plasma with high density and low electron temperature.
  • RLSA Random Line Slot Antenna
  • This plasma processing apparatus 100 is capable of proceeding with plasma processing that is free of damage to the underlying film and the like at a low temperature of 500 ° C or less, and has excellent plasma uniformity. Process uniformity comparable to equipment can be achieved. Therefore, the plasma processing apparatus 100 can be suitably used for, for example, a curing process for a low-k film.
  • the plasma processing apparatus 100 has a substantially cylindrical chamber 11 that is airtight and grounded.
  • a circular opening 10 is formed at a substantially central portion of the bottom wall la of the chamber 11, and an exhaust chamber 11 that communicates with the opening 10 and protrudes downward is provided on the bottom wall la. ing.
  • a susceptor 2 is provided as a substrate holding table having a ceramic force such as A1N for horizontally supporting a wafer W as a substrate to be processed.
  • the susceptor 2 is supported by a support member 3 having a ceramic force such as a cylindrical A1N that extends above the bottom center force of the exhaust chamber 11.
  • a guide ring 4 for guiding the wafer W is provided on the outer edge of the susceptor 2.
  • the susceptor 2 is embedded with a resistance heating type heater 5.
  • the heater 5 is supplied with power from the heater power source 6 to heat the susceptor 2 and heats the wafer W as the object to be processed. At this time, for example, temperature control is possible in the range from room temperature to 800 ° C.
  • a cylindrical liner 7 made of quartz is provided on the inner periphery of the chamber 11.
  • wafer support pins (not shown) for supporting the wafer W and moving it up and down are provided so as to protrude and retract with respect to the surface of the susceptor 2.
  • an upper plate 60 and a lower plate 61 are provided that trap plasma generated ions or act as baffle plates.
  • the upper and lower plates 60 and 61 are for example quartz, sapphire, SiN, SiC, Al 2 O
  • the upper plate 60 and the lower plate 61 are partially connected in the vicinity of the peripheral edge, and the two plates 60 and 61 are spaced apart from each other in parallel with a predetermined interval (described later).
  • the lower plate 61 is supported by engaging an outer peripheral portion of the lower plate 61 with a support portion 70 that protrudes from the liner 7 in the chamber 11 toward the inside.
  • the mounting positions of the plates 60 and 61 are preferably close to the wafer W.
  • the distance between the lower end of the lower plate 61 and the wafer W is, for example, about 10 mm, preferably 3 to 20 mm. More preferably.
  • the distance between the upper end of the upper plate 60 and the lower end of the microwave transmitting plate 28 (described later) is preferably about 35 mm, for example, preferably 20 to 50 mm.
  • FIG. 2 shows a state in which the upper and lower plates 60, 61 are overlapped and viewed from above
  • FIG. 3 shows a cross section of the main part in a state where the upper and lower plates 60, 61 are overlapped.
  • the thickness (T) of the upper plate 60 and the thickness (T) of the lower plate 61 are both
  • the distance (L) between the two plates 60 and 61 is, for example, preferably about 3 to: LO mm, more preferably 5 mm.
  • the through holes 60a of the upper plate 60 and the through holes 61a of the lower plate 61 are arranged substantially evenly so as to cover the mounting area of the wafer W indicated by a broken line in FIG. As shown in FIGS. 2 and 3, in a state where the two plates 60 and 61 are overlapped, the through hole 6 la of the lower plate 61 and the through hole 60a of the upper plate 60 do not overlap. They are formed with their positions shifted from each other. That is, the through hole 60a and the through hole 6la are arranged so that an opening that connects the upper force of the upper plate 60 linearly to the wafer surface is not formed.
  • the diameter D of the through hole 60a and the diameter D of the through hole 61a can be arbitrarily set.
  • the through hole 60a of the upper plate 60 and the through hole 61a of the lower plate 61 that have different sizes depending on the position of the through hole 60a or 61a are formed in different sizes. You can also do it. Further, regarding the arrangement of the through holes 60a and 61a, any arrangement such as concentric, radial, and spiral shapes can be selected as long as the positions of the holes are shifted between the upper and lower plates 60 and 61.
  • the displacement between the through hole 60a and the through hole 61a that is, the wall 60b constituting the through hole 60a of the upper plate 60, and the wall 61b constituting the through hole 61a of the lower plate 61,
  • the distance lL can determine the optimum condition in relation to the distance L between the upper and lower plates 60, 61.
  • L is also relatively large.
  • Thickness of upper and lower plates 60, 61 ⁇ , T that is, a plane parallel to the radical passing direction
  • an annular gas introduction member 15 is provided on the side wall of the chamber 11.
  • a gas supply system 16 is connected to the gas introduction member 15.
  • the gas introduction member may be arranged in a shower shape.
  • This gas supply system 16 has an Ar gas supply source 17 for supplying argon gas and an H gas supply source 18 for supplying hydrogen gas.
  • each of these gas forces reaches the gas introduction member 15 via the gas line 20 and is introduced into the chamber 11 from the gas introduction member 15. That is, the gas introduction member 15 and the gas supply system 16 constitute a gas supply means.
  • Each of the gas lines 20 is provided with a mass flow controller 21 and front and rear opening / closing valves 22.
  • a hydrogen-containing gas is used as a gas for performing plasma curing on the low-k film on the wafer W. Specifically, hydrogen, krypton, xenon, helium, argon, and the like are also used. A gas in which an inert gas having a selected rare gas power is combined in a predetermined ratio is preferable.
  • An exhaust pipe 23 is connected to the side surface of the exhaust chamber 11, and an exhaust device 24 including a high-speed vacuum pump is connected to the exhaust pipe 23. Then, by operating the exhaust device 24, the gas force in the chamber 11 is uniformly discharged into the space 1 la of the exhaust chamber 11 and is exhausted through the exhaust pipe 23. That is, the exhaust pipe 23 and the exhaust device 24 constitute exhaust means. As a result, the inside of the chamber 11 can be depressurized at a high speed to a predetermined degree of vacuum, for example, 0.133 Pa.
  • a loading / unloading port 25 for loading / unloading the wafer W to / from a transfer chamber (not shown) adjacent to the plasma processing apparatus 100, and the loading / unloading port 25 are opened and closed.
  • a gate valve 26 is provided!
  • the upper portion of the chamber 11 is an opening, and a ring-shaped support 27 is provided along the peripheral edge of the opening.
  • a microwave transmitting plate 28 made of a dielectric material such as quartz and transmitting a microphone mouth wave is hermetically disposed on the support portion 27 via a seal member 29. Therefore, the inside of the chamber 11 is kept airtight.
  • the support portion 27 that supports the microwave transmission plate 28 is made of, for example, an A1 alloy or SUS.
  • a disk-shaped planar antenna member 31 is provided above the microwave transmission plate 28 so as to face the susceptor 2. This plane The antenna member 31 is disposed on the microwave transmission plate 28, and a slow wave member 33 is provided so as to cover the upper portion of the planar antenna member 31.
  • the planar antenna member 31 and the slow wave member 33 are fixed by a pressing member 34b at the peripheral edge thereof.
  • a shield lid 34 is provided so as to cover the slow wave material 33, and the shield lid 34 is supported on the upper end of the side wall of the chamber 11.
  • the planar antenna member 31 corresponds to, for example, an 8-inch wafer W
  • the planar antenna member 31 is made of a conductive material having a diameter of S300 to 400 mm and a thickness of SO. 1 mm to several mm (for example, 0.5 mm). It is a disk.
  • the shape of the planar antenna member 31 is not limited to a circle, but may be a polygon, for example, a rectangle.
  • the planar antenna member 31 also has a structure in which, for example, a copper plate or an aluminum plate having a gold plating surface is used, and a large number of microwave radiation holes 32 are formed to penetrate in a predetermined pattern. . For example, as shown in FIG.
  • the microwave radiating hole 32 includes slots 32a having a long groove shape, adjacent slots 32a are arranged in a “T” shape, and the plurality of slots 32a are arranged at intervals of Ar. Further, it is possible to adopt a structure arranged concentrically in the radially outward direction. The length and arrangement interval of the slots 32a are determined according to the wavelength of the high frequency generated by the microwave generator 39.
  • the microwave radiation hole 32 (slot 32a) may have other shapes such as a circular through hole. Further, the arrangement form of the microwave radiation holes 32 (slots 32a) is not particularly limited, and may be arranged in a concentric shape, for example, in a spiral shape, a radial shape, or the like.
  • the slow wave member 33 having a dielectric constant larger than that of the vacuum is provided on the upper surface of the planar antenna member 31.
  • a shield lid 34 which is also a metal material such as aluminum or stainless steel, is provided so as to cover the planar antenna member 31 and the slow wave material 33.
  • the upper surface of the chamber 11 and the shield cover 34 are sealed by a seal member 35.
  • the shield lid 34 is formed with a plurality of cooling water flow paths 34a. By passing cooling water therethrough, the planar antenna 31, the microwave transmission plate 28, the slow wave material 33, and the shield lid 34 are provided. It is designed to cool.
  • the shield lid 34 is grounded.
  • An opening 36 is formed in the center of the upper wall of the shield lid 34, and a waveguide 37 is connected to the opening 36.
  • a matching circuit 38 is provided at the end of the waveguide 37.
  • a microwave generator 39 is connected via the terminal.
  • a microwave having a frequency of 2.45 GHz generated by the microwave generator 39 is propagated to the planar antenna member 31 through the waveguide 37.
  • the microwave frequency 8.35 GHz, 1.98 GHz, or the like can be used.
  • the waveguide 37 includes a coaxial waveguide 37a having a circular cross section extending upward from the opening 36 of the shield lid 34, and a horizontal direction connected to the upper end of the coaxial waveguide 37a. And a rectangular waveguide 37b. The end of the rectangular waveguide 37b on the connection side with the coaxial waveguide 37a has a mode change 40.
  • An inner conductor 41 extends in the center of the coaxial waveguide 37a, and a lower end portion of the inner conductor 41 is connected and fixed to the center of the planar antenna member 31 via a bump 41a.
  • the bump 41a has a shape expanded toward the planar antenna member 31, and acts to propagate the microwave uniformly and efficiently in the horizontal direction. Thereby, the microwave is efficiently propagated to the planar antenna member 31 via the inner conductor 41 and the bump 41a of the coaxial waveguide 37a.
  • Each component of the plasma processing apparatus 100 is connected to and controlled by the process controller 50 of the controller 101.
  • the process controller 50 includes a keyboard that allows a process manager to input commands to manage the plasma processing apparatus 100, a display that visualizes and displays the operating status of the plasma processing apparatus 100, and the like. Interface 51 is connected!
  • the process controller 50 stores a control program for realizing various processes executed by the plasma processing apparatus 100 under the control of the process controller 50, and a recipe in which processing condition data is recorded.
  • the storage unit 52 is connected.
  • an arbitrary recipe is called from the storage unit 52 according to an instruction from the user interface 51 and is executed by the process controller 50, so that the plasma processing is performed under the control of the process controller 50.
  • the desired processing in apparatus 100 is performed.
  • recipes such as the control program and processing condition data may be stored in a computer-readable storage medium such as a CD-ROM, a hard disk, a flexible disk, or a flash memory. For example, it is possible to transmit the data from time to time through a dedicated line and use it online.
  • the RLSA type plasma processing apparatus 100 configured as described above, the low-k film formed on the wafer W is cured by the following procedure.
  • the low-k film to be cured examples include a SiOCH-based low-k film formed by a CVD method or a coating method, and in particular, curing to a porous SiOCH-based low-k film.
  • the film hardness can be improved without increasing the dielectric constant, so the effect is great. It can also be applied to curing to other low-k materials such as porous silica (porous silica), CF, organic polymer, MSQ, and porous MSQ.
  • the gate valve 26 is opened, and the wafer W is loaded into the chamber 11 from the loading / unloading port 25 and placed on the susceptor 2.
  • Ar gas and H gas are introduced at a predetermined flow rate from Ar gas supply source 17 and H gas supply source 18 of gas supply system 16, respectively.
  • the flow rate of Ar gas is 50 to: L000 mLZmin
  • the flow rate of H gas is 50 to: L000 mLZmin
  • the microwave from the microwave generator 39 is guided to the waveguide 37 through the matching circuit 38.
  • Microwaves are sequentially supplied to the planar antenna member 31 through the rectangular waveguide 37b, the mode change 40, and the coaxial waveguide 37a, and are supplied from the planar antenna member 31 to the planar antenna member 31 through the microwave transmission plate 28. Radiated above the wafer W.
  • the microwave propagates in the rectangular waveguide 37b in the TE mode, and this TE mode microwave is converted into the TEM mode by the mode converter 40, and the inside of the coaxial waveguide 37a becomes the planar antenna member 31. Propagated towards! Ku.
  • Ar gas and H gas are converted into plasma in the chamber 1 by the microphone mouth wave radiated from the planar antenna member 31 through the microwave transmitting plate 28 into the chamber 1, and this plasma causes
  • the low-k film of wafer W is cured.
  • This microwave plasma has a plasma density of about lOUZcm 3 or more and a low electron temperature plasma of about 1.5 eV or less near the wafer W, so that it can be cured at a low temperature in a short time.
  • Force that causes little plasma damage due to ions etc. on the ground film Ions in plasma By arranging the upper plate 60 and the lower plate 61 as selective passage means for selectively passing hydrogen radicals, the ion energy of the plasma is attenuated and the influence of ions is reduced. Treatment reduced to the limit is possible.
  • FIG. 5 is a principle view schematically showing an embodiment of the wafer W curing process by the plasma processing apparatus 100.
  • Plasma generated by the action of the microwave supplied from the planar antenna member 31 of the plasma processing apparatus 100 and Ar / H gas is placed on the susceptor 2 in the space inside the chamber 11.
  • the wafer descends in the direction of wafer W.
  • the ions and electrons (e_) such as monovalent argon ions (Ar +) and hydrogen ions (H +) contained in the plasma are charged particles, so that the insulating material strength such as quartz is also low.
  • the hydrogen radicals (H *), which are neutral particles, cannot pass through the upper plate 60 and the lower plate 61, and are partially or mostly deactivated.
  • the hydrogen radicals (H *) pass through the through holes 60a and 61a.
  • the wafer W is reached.
  • position the two plates so that the through hole 61a of the lower plate 61 and the through hole 60a of the upper plate 60 do not overlap with each other. It is important to form them by shifting them (see Figure 2 and Figure 3).
  • Such arrangement of the through holes 60a and 61a makes it possible to selectively pass hydrogen radicals while blocking the passage of ions in the plasma and reducing the number of ions reaching the wafer W.
  • Fig. 6 shows the relationship between the dielectric constant and elastic modulus of the film after curing while plasma treatment is performed on the SiOCH low-k film using the plasma processing apparatus 100 having the same configuration as in Fig. 1.
  • FIG. 6 shows the relationship between the dielectric constant and elastic modulus of the film after curing while plasma treatment is performed on the SiOCH low-k film using the plasma processing apparatus 100 having the same configuration as in Fig. 1.
  • the vertical axis of the graph in Fig. 6 represents the elastic modulus (GPa) at a film thickness of 15%, and the horizontal axis represents the dielectric constant.
  • the plasma processing conditions are ArZH as the processing gas and the flow ratio is 50Z50.
  • the wafer temperature was 400 ° C
  • the pressure was about 400 Pa (3 Torr)
  • the power supplied to the plasma was 2 kW
  • the processing time was 60 to 600 seconds.
  • a conventional plasma processing apparatus having the same configuration as the plasma processing apparatus 100 is used except that the upper and lower plates 60 and 61 are not provided.
  • the results (straight line C) for the treatment are also shown.
  • the upper and lower plates 60 and 61 are provided, and in the plasma processing apparatus 100, the passage of ions is prevented, and the selective passage of hydrogen radicals is performed, thereby reducing the influence of ions in the curing process. It has been shown that low-k films can be cured reliably by elimination or reduction.
  • the high-density plasma having hydrogen radicals generated in the plasma processing apparatus 100 mainly causes the film quality of the surface layer to become dense and hard. A thick film is formed.
  • the Si-CHx bonds that make up the low-k film are cut by active species having energy such as H radicals, and CHx is cut off, while the Si-OH bonds of other molecules are cut in the same way. Be done A reaction takes place.
  • the CH-Si-O-based ladder-type molecular structure is formed when CHx and OH molecules in the low-k film are blown off.
  • the use of the plasma processing apparatus 100 provided with the double plates 60 and 61 as a means for selectively passing radicals reduces the influence of ions, resulting in excessive elimination of the above methyl groups and the like.
  • a mild reaction that progresses moderately is possible. This suppresses the polarization of molecules in the film, and makes it possible to cure the low-k film while keeping the dielectric constant k low.
  • this processing system 200 includes a plurality of, for example, four processing chambers 204A, 204B, 204C, and 204D, a substantially hexagonal common transfer chamber 206, and first and second chambers having a load lock function. It mainly has load lock chambers 208A and 208B and an elongated introduction-side transfer chamber 210. Specifically, the processing chambers 204A to 204D are joined to four sides of the substantially hexagonal common transfer chamber 206, and the first and second load lock chambers 208A and 208B are joined to the other two sides. Are joined together.
  • the introduction-side transfer chamber 210 is commonly connected to the first and second load lock chambers 208A and 208B.
  • the common transfer chamber 206 and the four processing devices 204A to 204D and the common transfer chamber 206 and the first and second load lock chambers 208A and 208B can be opened and closed in an airtight manner.
  • the gate valve G formed in the above is joined through a cluster tool, and can communicate with the common transfer chamber 206 as necessary. Further, between the first and second load lock chambers 208A and 208B and the introduction-side transfer chamber 210, a gate valve G that can be opened and closed in an airtight manner is interposed.
  • susceptors 212A to 212D on which semiconductor wafers as processing objects are placed, respectively.
  • the same kind or different kind of processing is applied to the above.
  • a low-k film is formed by a parallel plate plasma CVD apparatus 300 (see FIG. 8) described later, and in the processing chambers 204C and 204D, the above-described FIG. R LS A-type plasma treatment system 100 can cure low-k films It has become.
  • a second transfer mechanism comprising an articulated arm that can be bent, lifted and lowered and swiveled at a position where the two load lock chambers 208A and 208B and the four processing chambers 204A to 204D can be accessed.
  • 214 is provided, which has two picks Bl and B2 that can bend and stretch independently in opposite directions, and can handle two wafers at a time. .
  • the second transport mechanism 214 can also be used with one pick only.
  • the introduction-side transfer chamber 210 is formed by a horizontally long box, and one or more, in the illustrated example, for introducing a semiconductor wafer, which is an object to be processed, is formed on one side of the horizontally long.
  • Three carry-in ports 216 are provided, and each carry-in port 216 is provided with an open / close door 221 that can be opened and closed.
  • introduction ports 218A, 218B, and 218C are provided corresponding to the respective carry-in ports 216, and one cassette container 220 can be placed on each of the introduction ports 218A, 218B, and 218C.
  • Each cassette container 220 can accommodate a plurality of, for example, 25 wafers W mounted in multiple stages at equal pitches.
  • a first transfer mechanism 222 that is an introduction-side transfer mechanism for transferring the wafer W along its longitudinal direction is provided.
  • the first transfer mechanism 222 is supported so as to be slidable on a guide rail 224 provided so as to extend in the center in the introduction-side transfer chamber 210 along the length direction.
  • the guide rail 224 incorporates a linear motor having an encoder, for example, as a moving mechanism, and the first transport mechanism 222 moves along the guide rail 224 by driving the linear motor.
  • the first transport mechanism 222 has two multi-joint arms 232 and 234 arranged in two upper and lower stages.
  • U-shaped picks Al and A2 are attached to the tips of the articulated arms 232 and 234, respectively, and the wafer W is directly held on the picks Al and A2. Therefore, each articulated arm 232, 234 can be bent and extended in the radial direction from the center and can be raised and lowered, and the bending operation of each articulated arm 232, 234 can be individually controlled. .
  • the rotating shafts of the articulated arms 232 and 234 are connected to the base 236 so as to be coaxially rotatable.
  • the articulated arms 232 and 234 can rotate integrally with the base 236 in the turning direction. I'm getting ready.
  • an orienter 226 for aligning the wafer is provided at the other end of the introduction-side transfer chamber 210. Further, in the middle of the introduction-side transfer chamber 210 in the longitudinal direction, the two load lock chambers 208A are arranged. , 208B are provided via the gate valves G that can be opened and closed.
  • the orienter 226 has a turntable 228 that is rotated by a drive motor (not shown), and rotates while the wafer W is placed thereon.
  • An optical sensor 230 for detecting the peripheral edge of the wafer W is provided on the outer periphery of the turntable 228, whereby the positioning notch of the wafer W, for example, the position direction of the notch or the orientation flat or the position of the center of the wafer W is provided. Now you can detect the amount of deviation!
  • first and second load lock chambers 208A and 208B there are placed mounting tables 238A and 238B having a diameter smaller than the wafer diameter for temporarily mounting the wafer W, respectively. It is.
  • Control of the overall operation of the processing system 200 for example, operation control of the transport mechanisms 214, 222, orienter 226, and the like is performed by the control unit 101 including, for example, a process controller 50 (see FIG. 1).
  • the processing apparatus shown in FIG. 8 is configured as a so-called parallel plate type plasma CVD apparatus having electrodes vertically opposed in parallel, and a SiOC film is formed on the surface of a semiconductor wafer (hereinafter referred to as wafer W) by CVD.
  • This parallel plate type plasma CVD apparatus 300 has a cylindrical chamber 312.
  • the chamber 312 is also made of a conductive material such as anodized aluminum (anodized).
  • the chamber 312 is grounded.
  • An exhaust port 313 is provided at the bottom of the chamber 312.
  • An exhaust device 314 having a vacuum pump such as a turbo molecular pump is connected to the exhaust port 313.
  • the exhaust device 314 exhausts the inside of the chamber 312 to a predetermined pressure.
  • a gate valve 315 is provided on the side wall of the chamber 312. With gate valve 315 open, chamber 312 The wafer W is carried in and out of the outside.
  • the abatement device 336 is a device for detoxifying the atmospheric gas in the chamber 312 discharged by the exhaust device 314, and converts the atmospheric gas into a harmless substance by burning or thermally decomposing it with a predetermined catalyst. .
  • a substantially cylindrical susceptor support base 316 is provided at the bottom of the chamber 312.
  • a susceptor 317 as a mounting table for the wafer W is provided on the susceptor support 316.
  • the susceptor 317 functions as a lower electrode, and the susceptor support base 316 and the susceptor 317 are insulated by an insulator 318 such as ceramic.
  • a lower refrigerant flow path 319 for circulating the refrigerant is provided inside the susceptor support base 316. By circulating the refrigerant in the lower refrigerant flow path 319, the susceptor 317 and the wafer W are controlled to a desired temperature.
  • the susceptor support base 316 is provided with lift pins 320 for transferring the wafer W, and the lift pins 320 can be moved up and down by a cylinder (not shown).
  • the acceptor 317 is formed into a convex disk shape at the upper center, and an electrostatic chuck (not shown) that is substantially the same shape as the wafer W is provided thereon, and a DC voltage is applied to the electrostatic chuck.
  • a first high frequency power source 321 is connected to a susceptor 317 functioning as a lower electrode via a first matching unit 322.
  • the first high frequency power source 321 has a frequency in the range of 450 kHz to 60 MHz, and can apply a high frequency in the above range to the susceptor 317.
  • a shower head 323 is provided above the susceptor 317 so as to face the susceptor 317 in parallel.
  • an electrode plate 325 having a large number of gas holes 324 and also having an aluminum equal force is provided.
  • the shear head 323 is supported on the ceiling portion of the chamber 312 by an electrode support 326.
  • An upper refrigerant flow path 327 is provided inside the shower head 323. By circulating the refrigerant through the upper refrigerant flow path 327, the shower head 323 is controlled to a desired temperature.
  • a gas introduction pipe 328 is connected to the shower head 323.
  • the gas inlet tube 328 includes a 1, 3, 5-trimethyl-1, 3, 5-tributylcyclotrisiloxane (V3D3) gas source 32 9, an isopropyl alcohol (IPA) gas source 330, and an argon (Ar) gas source 331. And the figure It is connected via a mass flow controller, a valve, etc. not shown. Since V3D3 and IPA are both liquids at room temperature, they are supplied to the gas sources 329 and 330 in a state of being vaporized by a heating unit (not shown). Also, NH gas source, which is a processing gas for forming vacancies
  • the source gas and the processing gas from each of the gas sources 329 to 331 and 335 are mixed and supplied to a hollow portion (not shown) formed in the shower head 323 via the gas introduction pipe 328. .
  • the gas supplied into the shower head 323 is diffused in the hollow portion and supplied from the gas hole 324 of the shower head 323 to the surface of the wafer W.
  • the shower head 323 is connected to a second high-frequency power source 332, and a second matching unit 333 is interposed in the power supply line.
  • the second high-frequency power source 332 has a frequency in the range of, for example, 450 kHz to 150 MHz.
  • the control unit 101 controls the overall operation of the parallel plate type plasma CVD apparatus 300 including the film forming process on the wafer W. As described above, the control unit 101 stores a program for controlling each part of the device according to a predetermined processing sequence in the storage unit 52 (see FIG. 1), and sends a control signal to each part of the device according to this program. Send.
  • an unprocessed wafer W is held in a second transfer mechanism 214 (see FIG. 7) formed of a multi-joint arm and is transferred into the chamber 312 via the gate valve 315 in an open state.
  • the transfer arm transfers the wafer W to the lift pins 320 in the raised position, and the internal force of the chamber 312 also leaves.
  • the wafer W is placed on the susceptor 317 as the lift pins 320 are lowered.
  • Wafer W is fixed on susceptor 317 by an electrostatic chuck.
  • the inside of the channel 312 is depressurized by the gas device 314 to, for example, 50 Pa (3.8 ⁇ 10 — 1 Torr).
  • the temperature of the susceptor 317 is set to a temperature of 400 ° C. or lower, for example, 300 ° C.
  • the gas sources 329 to 331, V3D3, IPA, and Ar gas power are supplied into the chamber 312 at a predetermined flow rate.
  • the mixed gas of the processing gas is uniformly discharged from the gas holes 324 of the shower head 323 toward the wafer W.
  • high frequency power of 27 MHz is applied from the second high frequency power source 332 to the upper electrode (shower head 323).
  • a high-frequency electric field is generated between the upper electrode and the lower electrode (susceptor 317), and plasma of a mixed gas is generated.
  • high-frequency power of 2 MHz is applied to the lower electrode.
  • high-frequency power is applied to the upper and lower electrodes 323 and 317 for several seconds to several tens of seconds, and a SiOC film having a thickness of, for example, 50 nm (500 A) is formed on the surface of the UE and W. .
  • the application of high-frequency power to the upper electrode and the lower electrode is stopped, and introduction of V3D3 and IPA from the V3D3 gas source 329 and the IPA gas source 330 is stopped. This completes the film formation process.
  • Ar is swept away in the chamber 312!
  • the porosity in the film can be improved.
  • a 500 nm (5000 A) thick SiC OC-based laminated film can be formed.
  • the heating of the susceptor 317 is stopped and the pressure in the chamber 312 is returned to the pressure outside the chamber 312.
  • the electrostatic chuck is released and the lift pin 320 is raised.
  • the gate valve 315 is opened, and the transfer arm of the second transfer mechanism 214 enters the chamber 312.
  • the wafer W is carried out of the chamber 312 by the transfer arm of the second transfer mechanism 214.
  • the SiOC-based film is used as the insulating film, and V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • V3D3 and IPA are used as the raw material mixture.
  • Otamethylcyclotetrasiloxane (D4) Hexaethylcyclotrisiloxane, Hexamethylcyclotrisiloxane, Octaphenylcyclotrisiloxane, Tetraethylcyclohexane
  • Cyclic siloxane compounds such as tetrasiloxane and other organic silane gases such as trimethyls
  • the insulating film is not limited to the SiOC film, for example, an organic low dielectric constant film such as MSQ, porous MSQ, organic polymer, etc. by CVD method, coating method, SiC, SiN, SiCN, SiOF or SiOx It may be an inorganic low dielectric constant film such as.
  • the plasma processing system 200 is an insulating film by including the parallel plate type plasma CVD apparatus 300 that is a film forming apparatus and the plasma processing apparatus 100 that is a curing apparatus. Processing from low-k film formation to curing can be performed continuously.
  • the force of the RLSA plasma processing device 100 is taken as an example. If the plasma is supplied to the substrate to be processed from a certain direction, two plates 60 and 61 are installed. Since the same effect can be obtained, it may be a plasma processing apparatus such as a remote plasma method, an ICP method, an ECR method, a surface reflection wave method, a parallel plate (capacitance) method, or a magnetron method. ,.
  • a plasma processing apparatus such as a remote plasma method, an ICP method, an ECR method, a surface reflection wave method, a parallel plate (capacitance) method, or a magnetron method. ,.
  • the number of plates is not limited to two, and it can be achieved by stacking three or more plates as necessary.
  • the shape of the through holes 60a and 61a is not limited to a circle, and may be any shape, for example, a square or the like.
  • the upper plate 62 and the lower plate 63 are respectively provided with slits 62a. 63a can also be formed so as to be displaced from each other.
  • the opening areas and ratios of the through holes 60a, 61a, etc., the slits 62a, 63a, etc. are adjusted as appropriate according to the type of the low-k film to be cured, the plasma processing conditions, etc. That's right.
  • the present invention can be suitably used in the manufacture of various semiconductor devices such as logic devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)

Abstract

 プラズマ処理装置100において、サセプタ2の上方には、上側のプレート60および下側のプレート61が配備されている。上側のプレート60および下側のプレート61は、石英等の耐熱性絶縁体で構成され、所定間隔、例えば5mmの間隔を以て互いに離間して平行に配置されており、複数の貫通孔60aまたは61aを有している。二枚のプレートを重ねた状態で、下側のプレート61の貫通孔61aと上側のプレート60の貫通孔60aが重ならないように、位置をずらして形成されている。

Description

明 細 書
プラズマ処理装置およびプラズマ処理方法
技術分野
[0001] 本発明は、プラズマを用いて半導体基板等の被処理基板を処理するプラズマ処理 装置に関する。
背景技術
[0002] 近年の高速ロジックデバイスにおいて、配線間の寄生容量を低減するために層間 絶縁膜の低誘電率化 (Low— k化)が進んでいる。超 LSIデバイス、特に 65nm技術 ノード以降の Low— k膜には、空孔率の大きなポーラス材料の採用が検討されてい る。一般的にポーラス Low— k膜は、膜の機械的強度が乏しいため、 Low— k膜形成 後に Cuを埋め込み形成し CMPで平坦ィ匕した際に、膜剥離が生じてしまうおそれが ある。そのため、事前に Low— k膜の硬化処理 (キュアリング)が必要であり、例えば 熱処理、 UV処理、電子ビーム処理などの方法でキュアリングが行なわれている。ま た、プラズマによるキュアリング処理として、平行平板方式のプラズマ処理装置を用い 、 Low— k膜へのプラズマ処理を行なう方法も提案されている(例えば、特許文献 1) 特許文献 1 :特開 2004— 103747号公報
発明の開示
[0003] 特許文献 1のように、プラズマ処理により Low— k膜のキュアリングを行なうことによ つて、膜の機械的強度を上昇させることが可能である。しかし、キュアリングの過程で Low— k膜の誘電率も上昇してしまうという課題があった。本発明者らは、この原因を 調査した結果、プラズマ中のイオン成分力 SLow— k膜に内在するメチル基などのアル キル基やアルコキシ基を脱離させ、膜中分子の分極ィヒを進行させる現象が起こって いることが判明した。
[0004] 従って、本発明の目的は、キュアリングを目的としてプラズマ処理を行なう際に、プ ラズマ中のイオン成分による Low— k膜への悪影響を防止もしくは抑制することが可 能なプラズマ処置装置およびプラズマ処理方法を提供することにある。 [0005] 上記課題を解決するため、本発明の第 1の観点によれば、被処理基板に対してプ ラズマ処理を行なう処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に配備され、プラズマ中のイオンの通過を抑制し、水素ラジ カルを選択的に通過させる選択通過手段と、
を備えたことを特徴とする、プラズマ処理装置が提供される。
[0006] 上記第 1の観点において、前記処理室内の上部力 前記基板保持台に載置された 被処理基板に対して前記選択通過手段を介してプラズマを供給するようにすることが 好ましい。また、前記選択通過手段は、複数の貫通開口部が形成された 2枚以上の プレートを、該貫通開口部の位置が重ならないように配置したものであることが好まし い。
[0007] また、本発明の第 2の観点によれば、被処理基板に対してプラズマ処理を行なう処 理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に設けられ、複数の貫通開口部が形成されるとともに、該 貫通開口部の位置が重ならな 、ように配置された 2枚以上のプレートと、
を備えたことを特徴とする、プラズマ処理装置が提供される。
[0008] 上記第 2の観点において、前記処理室内の上部から前記基板保持台に載置された 被処理基板に対して前記プレートを介してプラズマを供給することが好ましい。
[0009] また、本発明の第 3の観点によれば、被処理基板に対しプラズマ処理を行なう処理 室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記処理室内を減圧するための排気手段と、
前記処理室内にガスを供給するためのガス供給手段と、
前記処理室内の上部に配備され、外部のマイクロ波発生装置と接続されており、前 記処理室内にマイクロ波を導入してプラズマを発生させるための複数のスロットを有 する平面アンテナと、
前記平面アンテナと、前記基板保持台との間に介在配置され、複数の貫通開口部 が形成されるとともに、該貫通開口部の位置が重ならないように配置された 2枚以上 のプレートと、
を備えたことを特徴とする、プラズマ処理装置が提供される。
[0010] 上記第 3の観点において、前記処理室内の上部力 前記基板保持台に載置された 被処理基板に対して前記プレートを介してプラズマを供給することが好ましい。
[0011] また、上記第 1の観点力も第 3の観点のプラズマ処理装置において、前記貫通開口 部は、貫通孔またはスリットであることが好ましい。また、前記プレートは、絶縁体で構 成されて!/、ることが好まし!/、。
[0012] また、本発明の第 4の観点によれば、被処理基板に対してプラズマ処理を行なう処 理室の上部から基板保持台に載置された被処理基板に対してプラズマが供給される ように構成されるとともに、前記基板保持台の上方に、プラズマ中のイオンの通過を 抑制し、水素ラジカルを選択的に通過させる選択通過手段を設けたプラズマ処理装 置の前記処理室内で、被処理基板に対してプラズマ処理を行うことを特徴とする、プ ラズマ処理方法が提供される。
[0013] 上記第 4の観点にぉ 、て、プラズマ処理は、被処理基板上に形成された Low— k 膜に対して水素ラジカルを選択的に作用させ、該 Low— k膜を硬化処理するもので あることが好ましい。また、前記 Low— k膜は SiOCH系膜であることが好ましい。また 、処理ガスとして、希ガスと水素を含むガスを用いることが好ましい。
[0014] 本発明の第 5の観点によれば、コンピュータ上で動作し、実行時に、
被処理基板に対してプラズマ処理を行なう処理室の上部から基板保持台に載置さ れた被処理基板に対してプラズマが供給されるように構成されるとともに、前記基板 保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選択的に通 過させる選択通過手段を設けたプラズマ処理装置の前記処理室内で、被処理基板 上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ、該 Low— k 膜を硬化処理するプラズマ処理方法が行われるように、前記プラズマ処理装置を制 御するものであることを特徴とする、制御プログラムが提供される。
[0015] 本発明の第 6の観点によれば、コンピュータ上で動作する制御プログラムが記憶さ れたコンピュータ記憶媒体であって、前記制御プログラムは、実行時に、 被処理基板に対してプラズマ処理を行なう処理室の上部から基板保持台に載置さ れた被処理基板に対してプラズマが供給されるように構成されるとともに、前記基板 保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選択的に通 過させる選択通過手段を設けたプラズマ処理装置の前記処理室内で、被処理基板 上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ、該 Low— k 膜を硬化処理するプラズマ処理方法が行われるように、前記プラズマ処理装置を制 御するものであることを特徴とする、コンピュータ記憶媒体が提供される。
[0016] 本発明の第 7の観点によれば、プラズマにより被処理体を処理するための真空排気 可能な処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選 択的に通過させる選択通過手段と、
被処理基板上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ 、該 Low— k膜を硬化処理するプラズマ処理方法が行われるように制御する制御部 と、
を備えたことを特徴とする、プラズマ処理装置が提供される。
[0017] 本発明のプラズマ処理装置では、プラズマ中のイオンの通過を抑制し、、水素ラジ カルを選択的に通過させる選択通過手段を備えているので、例えば、被処理基板で あるウェハ上に形成された膜へのイオンの影響を排除し、膜の誘電率を上昇させるこ となく水素ラジカルによるキュアリングを行なうことができる。
[0018] また、選択通過手段として、複数の貫通開口部が形成された 2枚以上のプレートを
、該貫通開口部の位置が重ならないように配置したものを用いることにより、簡易な構 成でほとんどのイオンを遮断することが可能になる。
[0019] さらに、本発明のプラズマ処理方法では、上記プラズマ処理装置を用いることによ つて、 Low— k膜のキュアリング処理を確実に行なうことができるようになる。
図面の簡単な説明
[0020] [図 1]本発明の実施形態に係るプラズマ処理装置の一例を示す概略断面図である。
[図 2]プレートの説明に供する平面図である。 [図 3]プレートの説明に供する要部断面図である。
[図 4]平面アンテナ部材の説明に供する図面である。
[図 5]上下のプレートの作用を説明するための原理図である。
[図 6]膜の誘電率と弾性率との関係を示すグラフ図面である。
[図 7]プラズマ処理システムの概略構成を示す図面である。
[図 8]平行平板型プラズマ CVD装置の概略構成を示す断面図である。
[図 9]上下のプレートの別の実施形態を説明する図面である。
発明を実施するための最良の形態
[0021] 以下、図面を参照しながら、本発明の好ましい形態について説明する。図 1は、本 発明の一実施形態に係るプラズマ処理装置の一例を模式的に示す断面図である。 このプラズマ処理装置は、複数のスロットを有する平面アンテナにて処理室内にマイ クロ波を導入してプラズマを発生させる RLSA (Radial Line Slot Antenna;ラジアルラ インスロットアンテナ)プラズマ生成技術を利用することにより、高密度かつ低電子温 度のマイクロ波プラズマを発生させ得るものである。
[0022] このプラズマ処理装置 100は、 500度以下の低温で下地膜等へのダメージフリーな プラズマ処理を進めることができるとともに、プラズマ均一性に優れており、 ICP方式 や平行平板方式のプラズマ処理装置に比べても遜色無いプロセスの均一性を実現 できる。このため、プラズマ処理装置 100は、例えば Low— k膜へのキュアリング処理 に好適に利用可能なものである。
[0023] このプラズマ処理装置 100は、気密に構成され、接地された略円筒状のチャンバ一 1を有している。チャンバ一 1の底壁 laの略中央部には円形の開口部 10が形成され ており、底壁 laにはこの開口部 10と連通し、下方に向けて突出する排気室 11が設 けられている。
[0024] チャンバ一 1内には被処理基板であるウェハ Wを水平に支持するための A1N等の セラミックス力もなる基板保持台としてのサセプタ 2が設けられて 、る。このサセプタ 2 は、排気室 11の底部中央力 上方に延びる円筒状の A1N等のセラミックス力 なる 支持部材 3により支持されている。サセプタ 2の外縁部には、ウェハ Wをガイドするた めのガイドリング 4が設けられている。また、サセプタ 2には抵抗加熱型のヒータ 5が埋 め込まれており、このヒータ 5はヒータ電源 6から給電されることによりサセプタ 2を加熱 して、その熱で被処理体であるウェハ Wを加熱する。このとき、例えば室温から 800 °Cまでの範囲で温度制御可能となっている。なお、チャンバ一 1の内周には、石英か らなる円筒状のライナー 7が設けられている。
[0025] サセプタ 2には、ウェハ Wを支持して昇降させるためのウェハ支持ピン(図示せず) がサセプタ 2の表面に対して突没可能に設けられている。
[0026] サセプタ 2の上方には、プラズマ生成されたイオンをトラップし、あるいは邪魔板とし て作用する上側のプレート 60および下側のプレート 61が配備されている。上下のプ レート 60および 61は、例えば石英、サフアイャ、 SiN、 SiC、 Al O
2 3、 A1N等のセラミツ クの誘電体力 なる絶縁体およびその組合せで構成されており、好ましくは石英が用 いられる。上側のプレート 60および下側のプレート 61は、周縁部近傍で部分的に連 結され、これら 2枚のプレート 60, 61は、所定間隔 (後述)を以て互いに離間して平行 に配置されている。そして、下側のプレート 61は、その外周部が、チャンバ一 1内のラ イナ一 7から内側に向けて全周にわたって突起した支持部 70と係合することにより支 持されている。
[0027] プレート 60および 61の取付け位置は、ウェハ Wに近接した位置が好ましぐ例えば 下側のプレート 61の下端とウェハ Wとの距離は、例えば 3〜20mmが好ましぐ 10m m程度とすることがより好ましい。この場合、上側のプレート 60の上端とマイクロ波透 過板 28 (後述)の下端との距離は、例えば 20〜50mmが好ましぐ 35mm程度とする ことがより好ましい。
[0028] 上側のプレート 60には、複数の貫通孔 60aが形成されており、また下側のプレート 61にも同様に複数の貫通孔 61aが形成されている。図 2および図 3は、上下のプレ ート 60, 61の詳細を示す図面である。図 2は、上下のプレート 60, 61を重ねて上か ら見た状態を示しており、図 3は、上下のプレート 60, 61を重ねた状態における要部 断面を示している。
[0029] 上側のプレート 60の厚さ(T )および下側のプレート 61の厚さ(T )は、ともに、例え
1 2
ば 2〜: L Omm程度が好ましぐそれぞれ 5mm程度に設定することがより好ましい。な お、上下のプレート 60, 61の厚さ Tおよび Tは同じである必要はない。 また、 2枚のプレート 60, 61の間隔(L )は、例えば 3〜: LOmm程度とすることが好 ましぐ 5mmに設定することがより好ましい。
[0030] 上側のプレート 60の貫通孔 60aおよび下側のプレート 61の貫通孔 61aは、図 2中、 破線で示すウェハ Wの載置領域を覆うように略均等に配置されている。そして、図 2 および図 3に示すように、二枚のプレート 60, 61を重ねた状態で、下側のプレート 61 の貫通孔 6 laと上側のプレート 60の貫通孔 60aが重ならないように、互いに位置をず らして形成されている。つまり、上側のプレート 60より上方力も直線的にウェハ面まで を結ぶ開口が形成されないように貫通孔 60aと貫通孔 6 laが配置されている。
[0031] 貫通孔 60aの径 Dおよび貫通孔 61aの径 Dは、任意に設定することが可能であり
1 2
、例えば、本実施形態の場合は 5mm程度に設定されている。なお、同一プレート内 で貫通孔 60aまたは 61aの位置により孔の大きさを変化させてもよぐ上側のプレート 60の貫通孔 60aと下側のプレート 61の貫通孔 61aとを異なる大きさに形成することも できる。また、貫通孔 60a, 61aの配置も、上下のプレート 60, 61で孔の位置がずれ ていれば、同心円状、放射状、螺旋状等の任意の配列を選択できる。
[0032] また、貫通孔 60aと貫通孔 61aとの位置のずれ、つまり上側のプレート 60の貫通孔 60aを構成する壁 60bと、下側のプレート 61の貫通孔 61aを構成する壁 61bとの距 lLは、上下のプレート 60, 61の間隔 Lとの関係で最適な条件を決定することがで
2 1
きる。
すなわち、プラズマ中のラジカルのみを選択的に通過させ、イオンをブロックする観 点から、上下のプレート 60, 61の間隔 Lが大きい場合には、 Lも相対的に大きくす
1 2
る必要がある。逆に L力小さい場合には、 Lを相対的に小さくしても、ラジカル選択
1 2
通過手段としての作用を発揮させることが可能である。また、 Lとしの関係に加え、
1 2
上下のプレート 60, 61の厚さ Τ , T (つまり、ラジカルの通過方向に平行な面をなす
1 2
壁 60b, 61bの高さ)、貫通孔 60a, 61aの径 D , D、さらには貫通孔 60a, 61aの形
1 2
状や配置、上下のプレート 60, 61の設置位置(ウェハ Wからの距離)などを総合的 に考慮することにより、ラジカルの選択性とイオンのブロック作用を最大限に引き出す ことが可能になる。
[0033] 図 1において、チャンバ一 1の側壁には環状をなすガス導入部材 15が設けられて おり、このガス導入部材 15にはガス供給系 16が接続されている。なお、ガス導入部 材はシャワー状に配置してもよい。このガス供給系 16は、アルゴンガスを供給するた めの Arガス供給源 17および水素ガスを供給するための Hガス供給源 18を有してお
2
り、これらのガス力 それぞれガスライン 20を介してガス導入部材 15に至り、ガス導入 部材 15からチャンバ一 1内に導入される。つまり、ガス導入部材 15およびガス供給系 16は、ガス供給手段を構成している。
ガスライン 20の各々には、マスフローコントローラ 21およびその前後の開閉バルブ 22が設けられている。
[0034] ウェハ W上の Low— k膜に対してプラズマキュアリングを行なう際のガスとしては、 水素含有ガスが用いられ、具体的には、水素と、クリプトン、キセノン、ヘリウム、アル ゴン等力も選ばれる希ガス力 なる不活性ガスとを所定比率で組み合わせたガスが 好ましい。
[0035] 上記排気室 11の側面には、排気管 23が接続されており、この排気管 23には高速 真空ポンプを含む排気装置 24が接続されて 、る。そしてこの排気装置 24を作動さ せることによりチャンバ一 1内のガス力 排気室 11の空間 1 la内へ均一に排出され、 排気管 23を介して排気される。つまり、排気管 23と排気装置 24は排気手段を構成し ている。これによりチャンバ一 1内は所定の真空度、例えば 0. 133Paまで高速に減 圧することが可能となって 、る。
[0036] チャンバ一 1の側壁には、プラズマ処理装置 100に隣接する搬送室(図示せず)と の間でウェハ Wの搬入出を行うための搬入出口 25と、この搬入出口 25を開閉するゲ ートバルブ 26とが設けられて!/、る。
[0037] チャンバ一 1の上部は開口部となっており、この開口部の周縁部に沿ってリング状 の支持部 27が設けられている。この支持部 27に誘電体、例えば石英等からなり、マ イク口波を透過するマイクロ波透過板 28がシール部材 29を介して気密に配備されて いる。したがって、チャンバ一 1内は気密に保持される。マイクロ波透過板 28を支持 する支持部 27は、例えば A1合金や SUSにより形成されている。
[0038] プラズマ処理装置 100の上部の構成として、マイクロ波透過板 28の上方には、サセ プタ 2と対向するように、円板状の平面アンテナ部材 31が設けられている。この平面 アンテナ部材 31は、マイクロ波透過板 28の上に配置され、さらに平面アンテナ部材 31の上部を覆うように遅波材 33が配備されている。これらの平面アンテナ部材 31と 遅波材 33は、その周縁部において押え部材 34bにより固定される。また、遅波材 33 を覆うようにシールド蓋体 34が設けられ、このシールド蓋体 34はチャンバ一 1の側壁 上端に支持されている。
[0039] 平面アンテナ部材 31は、例えば 8インチサイズのウェハ Wに対応する場合には、直 径カ S300〜400mm、厚み力 SO. 1mm〜数 mm (例えば 0. 5mm)の導電性材料から なる円板である。なお、平面アンテナ部材 31の形状は円形に限らず、多角形状例え ば四角形であってもよい。この平面アンテナ部材 31は、具体的には、例えば表面が 金メッキされた銅板またはアルミニウム板力もなり、多数のマイクロ波放射孔 32が所 定のパターンで貫通して形成された構成となって 、る。このマイクロ波放射孔 32は、 例えば図 4に示すように長溝状のスロット 32aからなり、隣接するスロット 32a同士が「 T」字状に配置され、さらに、これら複数のスロット 32aが Arの間隔で、径外方向に同 心円状に配置された構造を採用することができる。スロット 32aの長さや配列間隔は、 マイクロ波発生装置 39で発生した高周波の波長に応じて決定される。なお、マイクロ 波放射孔 32 (スロット 32a)は、円形状の貫通孔等の他の形状であってもよい。また、 マイクロ波放射孔 32 (スロット 32a)の配置形態は、特に限定されず、同心円状のほか 、例えば、螺旋状、放射状等に配置させてもよい。
[0040] 前記のように、平面アンテナ部材 31の上面には、真空よりも大きい誘電率を有する 遅波材 33が設けられている。チャンバ一 1の上面には、これら平面アンテナ部材 31 および遅波材 33を覆うように、例えばアルミニウムやステンレス鋼等の金属材カもな るシールド蓋体 34が設けられている。チャンバ一 1の上面とシールド蓋体 34とはシー ル部材 35によりシールされている。シールド蓋体 34には、複数の冷却水流路 34aが 形成されており、そこに冷却水を通流させることにより、平面アンテナ 31、マイクロ波 透過板 28、遅波材 33、シールド蓋体 34を冷却するようになっている。なお、シールド 蓋体 34は接地されている。
[0041] シールド蓋体 34の上壁の中央には、開口部 36が形成されており、この開口部 36 には導波管 37が接続されている。この導波管 37の端部には、マッチング回路 38を 介してマイクロ波発生装置 39が接続されている。これにより、マイクロ波発生装置 39 で発生した例えば周波数 2. 45GHzのマイクロ波が導波管 37を介して上記平面アン テナ部材 31へ伝搬されるようになっている。なお、マイクロ波の周波数としては、 8. 3 5GHz、 1. 98GHz等を用いることもできる。
[0042] 導波管 37は、上記シールド蓋体 34の開口部 36から上方へ延出する断面円形状 の同軸導波管 37aと、この同軸導波管 37aの上端部に接続された水平方向に延びる 矩形導波管 37bとを有している。矩形導波管 37bの同軸導波管 37aとの接続部側の 端部はモード変 40となっている。同軸導波管 37aの中心には内導体 41が延在 しており、この内導体 41の下端部は、平面アンテナ部材 31の中心にバンプ 41aを介 して接続固定されている。バンプ 41aは、平面アンテナ部材 31に向けて拡開した形 状であり、マイクロ波を水平方向に均一に効率良く伝播させるように作用する。これに より、マイクロ波は、同軸導波管 37aの内導体 41、バンプ 41aを介して効率よく平面 アンテナ部材 31へ伝播される。
[0043] プラズマ処理装置 100の各構成部は、制御部 101のプロセスコントローラ 50に接続 されて制御される構成となっている。プロセスコントローラ 50には、工程管理者がプラ ズマ処理装置 100を管理するためにコマンドの入力操作等を行うキーボードや、ブラ ズマ処理装置 100の稼働状況を可視化して表示するディスプレイ等力もなるユーザ 一インターフェイス 51が接続されて!、る。
[0044] また、プロセスコントローラ 50には、プラズマ処理装置 100で実行される各種処理を プロセスコントローラ 50の制御にて実現するための制御プログラムや、処理条件デー タ等が記録されたレシピが格納された記憶部 52が接続されている。
[0045] そして、必要に応じて、ユーザーインターフェイス 51からの指示等にて任意のレシ ピを記憶部 52から呼び出してプロセスコントローラ 50に実行させることで、プロセスコ ントローラ 50の制御下で、プラズマ処理装置 100での所望の処理が行われる。また、 前記制御プログラムや処理条件データ等のレシピは、コンピュータ読み取り可能な記 憶媒体、例えば CD— ROM、ハードディスク、フレキシブルディスク、フラッシュメモリ などに格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回 線を介して随時伝送させてオンラインで利用したりすることも可能である。 [0046] このように構成された RLSA方式のプラズマ処理装置 100においては、以下のよう な手順でウェハ Wに形成された Low— k膜に対するキュアリングが行われる。なお、 キュアリングの対象となる Low— k膜としては、例えば CVD法や塗布法で形成される SiOCH系の Low— k膜が挙げられ、特に多孔質な SiOCH系 Low— k膜へのキュア リングに対し、本実施形態のプラズマ処理装置 100を用いることにより、誘電率を上 昇させることなく膜硬度を向上させ得るので、効果が大きい。また、その他の Low— k 材料として、ポーラスシリカ(多孔質シリカ)系、 CF系、有機ポリマー系、 MSQ、ポー ラス MSQなどへのキュアリングにも適用可能である。
[0047] まず、ゲートバルブ 26を開にして搬入出口 25からウェハ Wをチャンバ一 1内に搬 入し、サセプタ 2上に載置する。そして、例えばガス供給系 16の Arガス供給源 17お よび Hガス供給源 18から、それぞれ Arガスおよび Hガスを所定の流量でガス導入
2 2
部材 15を介してチャンバ一 1内に導入し、所定の圧力に維持する。好適なプラズマ 処理条件として、例えば、 Arガスの流量は、 50〜: L000mLZmin、 Hガスの流量は
2
、 50〜: L000mLZmin、圧力は lOOmTon:〜 10Torr、マイクロ波パワーは 0. 5〜5 kW、温度 25〜500°Cの範囲力も選択することができる。
[0048] 次いで、マイクロ波発生装置 39からのマイクロ波を、マッチング回路 38を経て導波 管 37に導く。マイクロ波は、矩形導波管 37b、モード変 40、および同軸導波管 3 7aを順次通って平面アンテナ部材 31に供給され、平面アンテナ部材 31からマイクロ 波透過板 28を経てチャンバ一 1内におけるウェハ Wの上方空間に放射される。マイ クロ波は、矩形導波管 37b内では TEモードで伝搬し、この TEモードのマイクロ波は モード変換器 40で TEMモードに変換されて、同軸導波管 37a内を平面アンテナ部 材 31に向けて伝搬されて!、く。
[0049] 平面アンテナ部材 31からマイクロ波透過板 28を経てチャンバ 1に放射されたマイク 口波によりチャンバ 1内では Arガスおよび Hガスがプラズマ化し、このプラズマにより
2
ウェハ Wの Low— k膜のキュアリング処理が行なわれる。このマイクロ波プラズマは、 略 lOUZcm3以上のプラズマ密度で、かつウェハ W付近では略 1. 5eV以下の低電 子温度プラズマであるため、低温かつ短時間でキュアリング処理を行うことができ、下 地膜へのイオン等によるプラズマダメージが小さいものである力 プラズマ中のイオン の通過を抑制し、水素ラジカルを選択的に通過させる選択通過手段としての上側の プレート 60および下側のプレート 61を二重に配置することにより、プラズマのイオン エネルギーを減衰させてイオンの影響を極限まで低減させた処置が可能になる。
[0050] 次に、図 5を参照しながら、本発明の作用について述べる。図 5は、プラズマ処理装 置 100によるウェハ Wのキュアリング処理の実施形態を模式的に示す原理図である 。プラズマ処理装置 100の平面アンテナ部材 31から供給されるマイクロ波と、 Ar/H ガスとが作用して発生したプラズマは、チャンバ一 1内の空間をサセプタ 2に載置さ
2
れたウェハ Wの方向へ向けて降下してくる。その途中には、 2重に重ね合わされた上 側のプレート 60および下側のプレート 61が配備されているため、ここでプラズマ中の ラジカルの選択的な通過が起こる。
すなわち、図 5に示すように、プラズマ中に含まれる 1価のアルゴンイオン (Ar+)、 水素イオン (H+)などのイオンや電子 (e_)は、荷電粒子であるため、石英等の絶縁 材質力もなる上側のプレート 60および下側のプレート 61を通過できずその一部もしく は大半が失活する力 中性粒子である水素ラジカル (H*)は、貫通孔 60aおよび 61a をすり抜けて通過し、ウェハ Wまで到達する。プラズマ中のイオンをカットするために は、二枚のプレートを重ねた状態で、下側のプレート 61の貫通孔 61aと上側のプレ ート 60の貫通孔 60aが重ならな 、ように、位置をずらして形成することが重要である( 図 2および図 3参照)。このような貫通孔 60a, 61aの配置により、プラズマ中のイオン の通過をブロックしてウェハ Wに到達するイオンの数を減少させながら、水素ラジカ ルを選択的に通過させることが可能になる。
[0051] 上下のプレート 60, 61を通過した水素ラジカルは、ウェハ W上の Low— k膜に作 用して膜質を硬化させる。この際に、 Low— k膜の誘電率上昇の原因となるイオンの 作用が排除されるため、誘電率を上昇させることなく良好な膜質を維持したまま膜を 硬化させることができる。この効果は、多孔質の Low— k膜において一層顕著に現れ る。
[0052] 次に、本発明の基礎となる実験データについて、図 6を参照しながら説明を行なう。
図 6は、図 1と同様の構成のプラズマ処理装置 100を用いて SiOCH系 Low— k膜に 対してプラズマ処理を行な ヽ、キュアリングをした後の膜の誘電率と弾性率の関係を 示す図面である。
図 6のグラフの縦軸は、膜厚 15%における弾性率 (GPa)を示し、横軸は、誘電率 を示している。なお、プラズマ処理条件は、処理ガスとして ArZHを流量比 50Z50
2
OmLZmin (sccm)で用い、ウェハ温度 400°C、圧力は約 400Pa (3Torr)、プラズ マへの供給パワーは 2kW、処理時間 60〜600秒で行なった。
なお、本発明のプラズマ処理装置による結果 (直線 A)との比較のため、上下のプレ ート 60, 61を設けていない点以外はプラズマ処理装置 100と同様の構成の従来の プラズマ処理装置を用い、同様のプラズマ処理条件で処理を行なった場合の結果( 直線 B)、ならびにプラズマ中でイオンの存在がより支配的となる低圧力条件(6. 7Pa ;他の条件は上記と同様)で処理を行なった場合の結果 (直線 C)につ ヽても併記し た。
[0053] 図 6より、従来型のプラズマ処理装置による結果 (直線 B)では、 Low— k膜の弾性 率が上昇するに伴い、誘電率も上昇しており、膜の硬化性と低誘電率とはトレードォ フの関係にあることが理解される。そして、この傾向は、従来型のプラズマ処理装置を 用いプラズマ中のイオンの割合が高い低圧力条件 (直線 C)の場合、より一層顕著で あることがわ力る。
一方、上下のプレート 60, 61を備えたプラズマ処理装置 100によるキュアリング処 理では、直線 Aで示すように低 、誘電率を維持したまま膜の弾性率を高めることがで きた。
[0054] 以上の結果から、上下のプレート 60, 61を設け、プラズマ処理装置 100において、 イオンの通過を妨げ、水素ラジカルの選択的な通過を図ることにより、キュアリング処 理におけるイオンの影響を排除もしくは低減して、 Low— k膜を確実に硬化させ得る ことが示された。
[0055] この場合、 Low— k膜のキュアリング過程では、プラズマ処理装置 100で発生した 水素ラジカルを有する高密度プラズマによって、主として表層の膜質が緻密になって 硬くなる力 膜の下層部では疎な膜が形成される。プラズマ照射によって、 Low— k 膜を構成する Si— CHxの結合が Hラジカル等のエネルギーを有する活性種により切 断され CHxが切除されるとともに、別の分子の Si— OHの結合が同様に切断される 反応が起こる。そして、 Low— k膜中の CHxや OH等の分子が飛ばされることにより、 CH— Si— Oベースのラダー型分子構造(はしご構造)が形成されるので、分子間に
3
空間ができる。これらの反応過程で、ラジカル選択通過手段として 2重のプレート 60, 61を設けたプラズマ処理装置 100を用いることにより、イオンの影響が低減され、上 記メチル基等の脱離が過剰に起こることなく適度に進行するマイルドな反応が可能に なる。これによつて、膜中分子の分極化が抑制され、誘電率 kを低く抑えたまま Low —k膜のキュアリングを行うことが可能になる。
[0056] 次に、プラズマ処理装置 100を含み、 Low— k膜の成膜からキュアリングまでを一 貫して実施することが可能なプラズマ処理システムの一例について説明する。図 7に 示すように、この処理システム 200は、複数、例えば 4つの処理室 204A、 204B、 20 4C、 204Dと、略六角形状の共通搬送室 206と、ロードロック機能を有する第 1及び 第 2ロードロック室 208A、 208Bと、細長い導入側搬送室 210とを主に有している。 具体的には、略六角形状の上記共通搬送室 206の 4辺に上記各処理室 204A〜20 4Dが接合され、他側の 2つの辺に、上記第 1及び第 2ロードロック室 208A、 208Bが それぞれ接合される。そして、この第 1及び第 2ロードロック室 208A、 208Bに、上記 導入側搬送室 210が共通に接続される。
[0057] 上記共通搬送室 206と上記 4つの各処理装置 204A〜204Dとの間及び上記共通 搬送室 206と上記第 1及び第 2ロードロック室 208A、 208Bとの間は、それぞれ気密 に開閉可能になされたゲートバルブ Gが介在して接合されて、クラスタツールイ匕され ており、必要に応じて共通搬送室 206内と連通可能になされている。また、上記第 1 及び第 2各ロードロック室 208A、 208Bと上記導入側搬送室 210との間にも、それぞ れ気密に開閉可能になされたゲートバルブ Gが介在されている。
[0058] 上記 4つの処理室 204A〜204D内〖こは、それぞれ被処理体としての半導体ゥェ ハを載置するサセプタ 212A〜212Dが設けられており、被処理体である半導体ゥェ ハ Wに対して同種の、或いは異種の処理を施すようになつている。例えば、処理室 2 04Aおよび 204Bでは、後述する平行平板型プラズマ CVD装置 300 (図 8参照)によ る Low— k膜の成膜処理を行い、処理室 204Cおよび 204Dでは、前記した図 1の R LS A方式のプラズマ処理装置 100による Low— k膜のキュアリング処理を行えるよう になっている。共通搬送室 206内においては、上記 2つの各ロードロック室 208A、 2 08B及び 4つの各処理室 204A〜204Dにアクセスできる位置に、屈伸、昇降及び 旋回可能な多関節アームよりなる第 2搬送機構 214が設けられており、これは、互い に反対方向へ独立して屈伸できる 2つのピック Bl、 B2を有しており、一度に 2枚のゥ ェハを取り扱うことができるようになつている。尚、上記第 2搬送機構 214として 1つの みのピックを有して 、るものも用!/、ることができる。
[0059] 上記導入側搬送室 210は、横長の箱体により形成されており、この横長の一側に は、被処理体である半導体ウェハを導入するための 1つ乃至複数の、図示例では 3 つの搬入口 216が設けられ、各搬入口 216には、開閉可能になされた開閉ドア 221 が設けられる。そして、この各搬入口 216に対応させて、導入ポート 218A、 218B、 2 18Cがそれぞれ設けられ、ここにそれぞれ 1つずつカセット容器 220を載置できるよう になっている。各カセット容器 220には、複数枚、例えば 25枚のウェハ Wを等ピッチ で多段に載置して収容できるようになつている。
[0060] この導入側搬送室 210内には、ウェハ Wをその長手方向に沿って搬送するための 導入側搬送機構である第 1搬送機構 222が設けられる。この第 1搬送機構 222は、 導入側搬送室 210内の中心部を長さ方向に沿って延びるように設けた案内レール 2 24上にスライド移動可能に支持されている。この案内レール 224には、移動機構とし て例えばエンコーダを有するリニアモータが内蔵されており、このリニアモータを駆動 することにより上記第 1搬送機構 222は案内レール 224に沿って移動することになる
[0061] また、上記第 1搬送機構 222は、上下 2段に配置された 2つの多関節アーム 232、 2 34を有している。この各多関節アーム 232、 234の先端にはそれぞれ U字形のピック Al、 A2を取り付けており、このピック Al、 A2上にそれぞれウェハ Wを直接的に保 持するようになっている。従って、各多関節アーム 232、 234は、この中心より半径方 向へ屈伸自在及び昇降自在になされており、また、各多関節アーム 232、 234の屈 伸動作は個別に制御可能になされている。
上記多関節アーム 232、 234の各回転軸は、それぞれ基台 236に対して同軸状に 回転可能に連結されており、例えば基台 236に対する旋回方向へ一体的に回転で きるようになつている。尚、ここで上記ピック A1、A2は 2つではなぐ 1つのみ設ける場 合もある。
[0062] また、導入側搬送室 210の他端には、ウェハの位置合わせを行なうオリエンタ 226 が設けられ、更に、導入側搬送室 210の長手方向の途中には、前記 2つのロードロッ ク室 208A、 208Bがそれぞれ開閉可能になされた前記ゲートバルブ Gを介して設け られる。
上記オリエンタ 226は、図示しない駆動モータによって回転される回転台 228を有 しており、この上にウェハ Wを載置した状態で回転するようになっている。この回転台 228の外周には、ウェハ Wの周縁部を検出するための光学センサ 230が設けられ、 これによりウェハ Wの位置決め切り欠き、例えばノッチやオリエンテーションフラットの 位置方向やウェハ Wの中心の位置ずれ量を検出できるようになって!/、る。
[0063] また、上記第 1及び第 2ロードロック室 208A、 208B内には、ウェハ Wを一時的に 載置するためにウェハ径よりも小さ!、直径の載置台 238A、 238Bがそれぞれ設置さ れている。そして、この処理システム 200の動作全体の制御、例えば各搬送機構 214 、 222やオリエンタ 226等の動作制御は、例えばプロセスコントローラ 50 (図 1参照) を備えた制御部 101により行われる。
[0064] 次に、 Low— k膜の形成方法の一例について、図 8を参照して説明する。ここでは、 シリコン (Si)と酸素 (O)と炭素 (C)とを主成分として構成され、厚さ方向に均一な空 孔を有する Low— k膜 (以下、 SiOC系膜)を形成する場合について説明する。まず、 図 8に示す処理装置は、上下平行に対向する電極を有する、いわゆる平行平板型プ ラズマ CVD装置として構成され、半導体ウェハ(以下、ウェハ W)の表面に SiOC系 膜を CVDにより成膜する。この平行平板型プラズマ CVD装置 300は、円筒形状の チャンバ 312を有する。チャンバ 312は、アルマイト処理(陽極酸ィ匕処理)されたアル ミニゥム等の導電性材料力もなる。また、チャンバ 312は接地されている。
[0065] チャンバ 312の底部には排気口 313が設けられている。排気口 313には、ターボ分 子ポンプなどの真空ポンプを備える排気装置 314が接続されて 、る。排気装置 314 は、チャンバ 312内を所定の圧力まで排気する。また、チャンバ 312の側壁にはゲー トバルブ 315が設けられている。ゲートバルブ 315を開放した状態で、チャンバ 312 の外部との間でのウェハ Wの搬入出が行われる。除害装置 336は、排気装置 314に より排出されたチャンバ 312内の雰囲気ガスを無害化するための装置であり、所定の 触媒により雰囲気ガスを燃焼あるいは熱分解して、無害な物質に変換する。
[0066] チャンバ 312の底部には略円柱状のサセプタ支持台 316が設けられている。サセ プタ支持台 316の上には、ウェハ Wの載置台としてのサセプタ 317が設けられている 。サセプタ 317は下部電極としての機能を有し、サセプタ支持台 316とサセプタ 317 との間は、セラミックなどの絶縁体 318により絶縁されている。サセプタ支持台 316の 内部には、冷媒を循環させる下部冷媒流路 319が設けられている。下部冷媒流路 3 19内に冷媒を循環させることにより、サセプタ 317およびウェハ Wは所望の温度に制 御される。
[0067] サセプタ支持台 316には、ウェハ Wの受け渡しをするためのリフトピン 320が設けら れており、リフトピン 320はシリンダ(図示せず)により昇降可能となっている。また、サ セプタ 317は、その上中央部が凸状の円板状に成形され、その上にウェハ Wと略同 形の図示しない静電チャックが設けられ、この静電チャックに直流電圧が印加される ことにより、サセプタ 317上に載置されたウェハ Wが静電吸着される。下部電極として 機能するサセプタ 317には、第 1の高周波電源 321が第 1の整合器 322を介して接 続されている。第 1の高周波電源 321は、 450kHz〜60MHzの範囲の周波数を有 しており、サセプタ 317に上記範囲の周波数の高周波を印加できる。
[0068] サセプタ 317の上方には、このサセプタ 317と平行に対向してシャワーヘッド 323が 設けられている。シャワーヘッド 323のサセプタ 317に対向する面には、多数のガス 穴 324を有する、アルミニウム等力もなる電極板 325が備えられている。また、シャヮ 一ヘッド 323は、電極支持体 326により、チャンバ 312の天井部分に支持されている 。シャワーヘッド 323の内部には、上部冷媒流路 327が設けられており、この上部冷 媒流路 327に冷媒を循環させることにより、シャワーヘッド 323が所望の温度に制御 される。
[0069] さらに、シャワーヘッド 323にはガス導入管 328が接続されている。ガス導入管 328 は、 1, 3, 5—トリメチル—1, 3, 5—トリビュルシクロトリシロキサン (V3D3)ガス源 32 9と、イソプロピルアルコール(IPA)ガス源 330と、アルゴン (Ar)ガス源 331と、に、図 示しないマスフローコントローラ、バルブ等を介して接続されている。 V3D3と IPAと は常温ではともに液体であるので、図示しない加熱部により気化した状態で、各ガス 源 329、 330に供給される。また、空孔を形成するための処理ガスである NHガス源
3
335も、ガス導入管 328に、図示しないマスフローコントローラ、バルブ等を介して接 続されている。
[0070] 各ガス源 329〜331、 335からの原料ガス及び処理ガスは、ガス導入管 328を介し てシャワーヘッド 323の内部に形成された中空部(図示せず)に混合されて供給され る。シャワーヘッド 323内に供給されたガスは、中空部で拡散され、シャワーヘッド 32 3のガス穴 324からウェハ Wの表面に供給される。
[0071] シャワーヘッド 323には、第 2の高周波電源 332が接続されており、その給電線に は第 2の整合器 333が介在されている。第 2の高周波電源 332は、例えば 450kHz 〜 150MHzの範囲の周波数を有しており、このように高い周波数の高周波をシャヮ 一ヘッド 323に印加することにより、シャワーヘッド 323を上部電極として機能させ、チ ヤンバ 312内に好ましい解離状態でかつ高密度のプラズマを形成させることが可能 になる。
[0072] 制御部 101は、ウェハ Wへの成膜処理を含む、平行平板型プラズマ CVD装置 30 0全体の動作を制御する。前記したように、制御部 101は、装置各部を所定の処理シ 一ケンスに従って制御するためのプログラムを記憶部 52 (図 1参照)に記憶し、このプ ログラムにしたがって、装置各部に制御信号を送信する。
[0073] 以下、平行平板型プラズマ CVD装置 300を用いた絶縁膜の形成方法について説 明する。まず、未処理のウェハ Wが、多関節アームよりなる第 2搬送機構 214 (図 7参 照)に保持されて開放状態のゲートバルブ 315を介してチャンバ 312内に搬入される 。搬送アームは、ウェハ Wを上昇位置にあるリフトピン 320に受け渡し、チャンバ 312 内力も退出する。その後、ウェハ Wはリフトピン 320の下降により、サセプタ 317上に 載置される。ウェハ Wは、静電チャックによりサセプタ 317上に固定される。
[0074] 次いで、 気装置 314により、チャンノ 312内を、例えば、 50Pa (3. 8 X 10_ 1Torr )に減圧する。また同時に、サセプタ 317の温度を、 400°C以下の温度、例えば、 30 0°Cに設定する。 [0075] その後、各ガス源 329〜331力ら、 V3D3、 IPAおよび Arガス力 所定の流量でチ ヤンバ 312内に供給される。処理ガスの混合ガスは、シャワーヘッド 323のガス穴 32 4からウェハ Wに向けて均一に吐出される。 V3D3、 IPAおよび Arの供給は、例えば 、 V3D3/IPA/Ar = 30/10/100の流量比(各 sccm)で行われる。
[0076] その後、第 2の高周波電源 332から、例えば、 27MHzの高周波電力が上部電極( シャワーヘッド 323)に印加される。これにより、上部電極と下部電極 (サセプタ 317) との間に高周波電界が生じ、混合ガスのプラズマが生成する。他方、第 1の高周波電 源 321からは、例えば、 2MHzの高周波電力が下部電極に印加される。これにより、 生成したプラズマ中の荷電粒子、特に、 V3D3及び IPAの分子状の活性種力 ゥェ ハ Wの表面近傍に引き寄せられて反応し、 IPA分子を含む SiOC系膜がウェハ Wの 表面に形成される。
[0077] ここで、上下電極 323、 317への高周波電力の印加を数秒乃至数十秒間行い、ゥ エノ、 W表面に、例えば、 50nm (500 A)の厚さの SiOC系の膜を形成する。高周波 電力の印加開始から所定時間後、上部電極および下部電極への高周波電力の印 加を停止するとともに、 V3D3ガス源 329および IPAガス源 330からの V3D3および I PAの導入を停止する。以上で成膜工程はー且終了する。このとき、 Arが、チャンバ 312内【こ流されて!/ヽる。
[0078] Arガスによるチャンバ 312内のパージを所定時間行い、チャンバ 312内から、残存 した V3D3および IPAを除去する。
この場合において、成膜処理後に NHプラズマァニール処理を行うことによって、
3
膜中の空孔率を向上させることができる。このように、成膜処理と、プラズマァニール 処理と、各処理間のパージと、を繰り返して、例えば、 500nm(5000A)の厚みの Si OC系の積層膜を形成できる。成膜処理後、サセプタ 317の加熱を停止するとともに 、チャンバ 312内の圧力をチャンバ 312外の圧力程度まで戻す。その後、静電チヤッ クは解除され、リフトピン 320が上昇する。次いで、ゲートバルブ 315が開放されて、 第 2搬送機構 214の搬送アームがチャンバ 312内に侵入する。第 2搬送機構 214の 搬送アームによりウェハ Wがチャンバ 312外に搬出される。
[0079] 上記実施の形態では、絶縁膜として SiOC系膜を、 V3D3と IPAを原料ィ匕合物とし て形成したが、他の原料として、 V3D3の代わりに、例えばオタタメチルシクロテトラシ ロキサン(D4)、へキサェチルシクロトリシロキサン、へキサメチルシクロトリシロキサン 、ォクタフエ-ルシクロトリシロキサン、テトラエチルシクロテトラシロキサン等の環状シ ロキサン化合物や、他の有機シランガス、例えば、トリメチルシラン、ジメチルジメトキ シシラン (DMDMOS)などを用いることも可能である。また、絶縁膜は、 SiOC系膜に 限定されず、例えば、 CVD法、塗布法などによる MSQ、ポーラス MSQ、有機ポリマ 一等の有機系低誘電率膜や、 SiC、 SiN、 SiCN、 SiOFまたは SiOx等の無機系低 誘電率膜であってもよい。
[0080] 以上のように、プラズマ処理システム 200は、成膜装置である平行平板型プラズマ CVD装置 300と、キュアリング装置であるプラズマ処理装置 100と、を備えることによ り、絶縁膜である Low— k膜の成膜からキュアリングまでを連続して処理することがで きる。
[0081] 以上、本発明の実施形態を述べたが、本発明は上記実施形態に制約されることは なぐ種々の変形が可能である。
たとえば、図 1では、 RLSA方式のプラズマ処理装置 100を例に挙げた力 被処理 基板に対してプラズマが一定方向から供給される装置であれば、そこに 2枚のプレー ト 60, 61を配備することにより同様の効果が得られるので、例えばリモートプラズマ方 式、 ICP方式、 ECR方式、表面反射波方式、平行平板 (静電容量)方式、マグネトロ ン方式等のプラズマ処理装置であってもよ 、。
[0082] また、プレートは 2枚に限らず、必要に応じて 3枚以上のプレートを重ねて配備する ことちでさる。
さらに、貫通孔 60a、 61aの形状は円形に限らず任意であり、例えば四角等でもよく 、さらには、図 9に示すように上側のプレート 62と下側のプレート 63に、それぞれスリ ット 62a、 63aを互いに位置がずれるように形成することもできる。
[0083] また、貫通孔 60a, 61a等、スリット 62a, 63a等の開口面積やその比率などは、キュ ァリングの対象となる Low— k膜の種類やプラズマ処理条件等に応じて適宜調整す ることがでさる。
産業上の利用可能性 本発明は、例えばロジックデバイスなどの各種半導体装置の製造において好適に 利用できる。

Claims

請求の範囲
[1] 被処理基板に対してプラズマ処理を行なう処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に配備され、プラズマ中のイオンの通過を抑制し、水素ラジ カルを選択的に通過させる選択通過手段と、
を備えたことを特徴とする、プラズマ処理装置。
[2] 請求項 1において、前記処理室内の上部から前記基板保持台に載置された被処 理基板に対して前記選択通過手段を介してプラズマを供給するようにしたことを特徴 とする、プラズマ処理装置。
[3] 請求項 1にお 、て、前記選択通過手段は、複数の貫通開口部が形成された 2枚以 上のプレートを、該貫通開口部の位置が重ならないように配置したものであることを特 徴とする、プラズマ処理装置。
[4] 請求項 3において、前記貫通開口部が、貫通孔またはスリットであることを特徴とす る、プラズマ処理装置。
[5] 請求項 3にお ヽて、前記プレートが、絶縁体で構成されて ヽることを特徴とする、プ ラズマ処理装置。
[6] 被処理基板に対してプラズマ処理を行なう処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に設けられ、複数の貫通開口部が形成されるとともに、該 貫通開口部の位置が重ならな 、ように配置された 2枚以上のプレートと、
を備えたことを特徴とする、プラズマ処理装置。
[7] 請求項 6において、前記処理室内の上部から前記基板保持台に載置された被処 理基板に対して前記プレートを介してプラズマを供給するようにしたことを特徴とする 、プラズマ処理装置。
[8] 請求項 6において、前記貫通開口部が、貫通孔またはスリットであることを特徴とす る、プラズマ処理装置。
[9] 請求項 6にお ヽて、前記プレートが、絶縁体で構成されて ヽることを特徴とする、プ ラズマ処理装置。
[10] 被処理基板に対しプラズマ処理を行なう処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記処理室内を減圧するための排気手段と、
前記処理室内にガスを供給するためのガス供給手段と、
前記処理室内の上部に配備され、外部のマイクロ波発生装置と接続されており、前 記処理室内にマイクロ波を導入してプラズマを発生させるための複数のスロットを有 する平面アンテナと、
前記平面アンテナと、前記基板保持台との間に介在配置され、複数の貫通開口部 が形成されるとともに、該貫通開口部の位置が重ならないように配置された 2枚以上 のプレートと、
を備えたことを特徴とする、プラズマ処理装置。
[11] 請求項 10において、前記処理室内の上部から前記基板保持台に載置された被処 理基板に対して前記プレートを介してプラズマを供給するようにしたことを特徴とする 、プラズマ処理装置。
[12] 請求項 10において、前記貫通開口部が、貫通孔またはスリットであることを特徴と する、プラズマ処理装置。
[13] 請求項 10において、前記プレートが、絶縁体で構成されていることを特徴とする、 プラズマ処理装置。
[14] 被処理基板に対してプラズマ処理を行なう処理室の上部から基板保持台に載置さ れた被処理基板に対してプラズマが供給されるように構成されるとともに、前記基板 保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選択的に通 過させる選択通過手段を設けたプラズマ処理装置の前記処理室内で、被処理基板 に対してプラズマ処理を行うことを特徴とする、プラズマ処理方法。
[15] 請求項 14にお 、て、前記プラズマ処理は、被処理基板上に形成された Low— k膜 に対して水素ラジカルを選択的に作用させ、該 Low— k膜を硬化処理するものである ことを特徴とする、プラズマ処理方法。
[16] 請求項 15において、前記 Low— k膜が SiOCH系膜であることを特徴とする、ブラ ズマ処理方法。
[17] 請求項 15において、処理ガスとして、希ガスと水素を含むガスを用いることを特徴と する、プラズマ処理方法。
[18] コンピュータ上で動作し、実行時に、
被処理基板に対してプラズマ処理を行なう処理室の上部から基板保持台に載置さ れた被処理基板に対してプラズマが供給されるように構成されるとともに、前記基板 保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選択的に通 過させる選択通過手段を設けたプラズマ処理装置の前記処理室内で、被処理基板 上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ、該 Low— k 膜を硬化処理するプラズマ処理方法が行われるように、前記プラズマ処理装置を制 御するものであることを特徴とする、制御プログラム。
[19] コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であ つて、前記制御プログラムは、実行時に、
被処理基板に対してプラズマ処理を行なう処理室の上部から基板保持台に載置さ れた被処理基板に対してプラズマが供給されるように構成されるとともに、前記基板 保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選択的に通 過させる選択通過手段を設けたプラズマ処理装置の前記処理室内で、被処理基板 上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ、該 Low— k 膜を硬化処理するプラズマ処理方法が行われるように、前記プラズマ処理装置を制 御するものであることを特徴とする、コンピュータ記憶媒体。
[20] プラズマにより被処理体を処理するための真空排気可能な処理室と、
前記処理室内で前記被処理基板を載置する基板保持台と、
前記基板保持台の上方に、プラズマ中のイオンの通過を抑制し、水素ラジカルを選 択的に通過させる選択通過手段と、
被処理基板上に形成された Low— k膜に対して水素ラジカルを選択的に作用させ 、該 Low— k膜を硬化処理するプラズマ処理方法が行われるように制御する制御部 と、
を備えたことを特徴とする、プラズマ処理装置。
PCT/JP2005/017146 2004-09-17 2005-09-16 プラズマ処理装置およびプラズマ処理方法 WO2006030895A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/575,530 US20070286967A1 (en) 2004-09-17 2005-09-16 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-271732 2004-09-17
JP2004271732A JP4633425B2 (ja) 2004-09-17 2004-09-17 プラズマ処理装置およびプラズマ処理方法

Publications (1)

Publication Number Publication Date
WO2006030895A1 true WO2006030895A1 (ja) 2006-03-23

Family

ID=36060142

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/017146 WO2006030895A1 (ja) 2004-09-17 2005-09-16 プラズマ処理装置およびプラズマ処理方法

Country Status (5)

Country Link
US (1) US20070286967A1 (ja)
JP (1) JP4633425B2 (ja)
KR (1) KR100906516B1 (ja)
CN (1) CN100573830C (ja)
WO (1) WO2006030895A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7883601B2 (en) * 2006-10-27 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for controlling relative particle speeds in a plasma
US8178280B2 (en) 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
JP2013123028A (ja) * 2011-11-08 2013-06-20 Hitachi High-Technologies Corp 熱処理装置
TWI804487B (zh) * 2017-06-09 2023-06-11 美商得昇科技股份有限公司 具後電漿氣體注入的電漿處理裝置及其相關分隔格柵與方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
CN105977126B (zh) * 2011-05-31 2018-12-07 应用材料公司 用于等离子体蚀刻腔室的孔部件
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
US20150132970A1 (en) * 2012-05-23 2015-05-14 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
WO2016002547A1 (ja) * 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
JP7145648B2 (ja) * 2018-05-22 2022-10-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US12018374B2 (en) * 2019-03-08 2024-06-25 Dsgi Technologies, Inc. System and method of low temperature thin film deposition and in-situ annealing
WO2021054147A1 (ja) * 2019-09-17 2021-03-25 東京エレクトロン株式会社 プラズマ処理装置
TW202230438A (zh) 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
JP2022108358A (ja) * 2021-01-13 2022-07-26 キオクシア株式会社 半導体製造装置及びその制御方法
CN115210851A (zh) 2021-02-08 2022-10-18 株式会社日立高新技术 等离子处理装置
TWI810772B (zh) * 2021-12-30 2023-08-01 日揚科技股份有限公司 一種快速退火設備
KR102494936B1 (ko) * 2021-12-30 2023-02-06 세메스 주식회사 기판 처리 장치와 이를 포함하는 기판 접합 시스템 및 이를 이용한 기판 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11265884A (ja) * 1998-03-18 1999-09-28 Hitachi Ltd 半導体製造方法ならびに半導体製造装置
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JP2001115267A (ja) * 1999-10-19 2001-04-24 Canon Inc プラズマ処理装置及び処理方法
GB2395493B (en) * 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
JP2003338491A (ja) * 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11265884A (ja) * 1998-03-18 1999-09-28 Hitachi Ltd 半導体製造方法ならびに半導体製造装置
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7883601B2 (en) * 2006-10-27 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for controlling relative particle speeds in a plasma
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8282850B2 (en) 2006-10-27 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for controlling relative particle concentrations in a plasma
US8888948B2 (en) 2006-10-27 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for controlling relative particle concentrations in a plasma
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US9093447B2 (en) 2007-11-30 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Chip on wafer bonder
US8178280B2 (en) 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
JP2013123028A (ja) * 2011-11-08 2013-06-20 Hitachi High-Technologies Corp 熱処理装置
TWI804487B (zh) * 2017-06-09 2023-06-11 美商得昇科技股份有限公司 具後電漿氣體注入的電漿處理裝置及其相關分隔格柵與方法

Also Published As

Publication number Publication date
CN101023513A (zh) 2007-08-22
JP2006086449A (ja) 2006-03-30
KR100906516B1 (ko) 2009-07-07
JP4633425B2 (ja) 2011-02-16
CN100573830C (zh) 2009-12-23
KR20070049671A (ko) 2007-05-11
US20070286967A1 (en) 2007-12-13

Similar Documents

Publication Publication Date Title
WO2006030895A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR100933374B1 (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
TWI452645B (zh) 半導體處理用之成膜裝置
JP4435666B2 (ja) プラズマ処理方法、成膜方法
CN100514575C (zh) 电介质膜的成膜方法及成膜装置
JP2018026532A (ja) 領域選択堆積用の統合クラスタツール
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
EP1742273A1 (en) Method of forming gate insulating film, storage medium and computer program
WO2012011480A1 (ja) 層間絶縁層形成方法及び半導体装置
JPH0613368A (ja) 半導体処理リアクタ
WO2005053008A1 (ja) 絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法
US6419985B1 (en) Method for producing insulator film
JP2009021442A (ja) 多孔質膜の成膜方法およびコンピュータ可読記録媒体
JP3062116B2 (ja) 成膜・改質集合装置
US20070243327A1 (en) Film forming method and apparatus
WO2024029320A1 (ja) 成膜方法および成膜装置
JP4115849B2 (ja) W系膜の成膜方法およびw系膜
WO2023132245A1 (ja) 成膜方法及び成膜装置
WO2024122331A1 (ja) 成膜方法及び成膜装置
JP2008169487A (ja) W系膜の成膜方法
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films
KR20230040889A (ko) 기판 처리 방법, 기판 처리 장치 및 반도체 구조
JP2023182324A (ja) 成膜方法及び成膜装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KM KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020077006120

Country of ref document: KR

Ref document number: 200580031296.2

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 11575530

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 11575530

Country of ref document: US