JP4435666B2 - プラズマ処理方法、成膜方法 - Google Patents

プラズマ処理方法、成膜方法 Download PDF

Info

Publication number
JP4435666B2
JP4435666B2 JP2004324713A JP2004324713A JP4435666B2 JP 4435666 B2 JP4435666 B2 JP 4435666B2 JP 2004324713 A JP2004324713 A JP 2004324713A JP 2004324713 A JP2004324713 A JP 2004324713A JP 4435666 B2 JP4435666 B2 JP 4435666B2
Authority
JP
Japan
Prior art keywords
plasma
gas
film
chamber
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004324713A
Other languages
English (en)
Other versions
JP2006135213A (ja
Inventor
真之 鴻野
勝 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004324713A priority Critical patent/JP4435666B2/ja
Priority to US11/266,308 priority patent/US7771796B2/en
Publication of JP2006135213A publication Critical patent/JP2006135213A/ja
Application granted granted Critical
Publication of JP4435666B2 publication Critical patent/JP4435666B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Description

本発明は、プラズマを用いて半導体基板等の被処理基板を処理するプラズマ処理方法、および成膜方法に関する。
近年の高速ロジックデバイスにおいて、配線間の寄生容量を低減するために層間絶縁膜の低誘電率化(Low−k化)が進んでいる。また、超LSIデバイス、特に65nm技術ノード以降の低誘電率膜(Low−k膜)には、空孔率の大きなポーラス材料の採用が検討されている。一般的にポーラスLow−k膜は、膜の機械的強度が乏しいため、Low−k膜形成後にCuを埋め込み形成しCMPで平坦化した際に、膜剥離が生じてしまうおそれがある。そのため、事前にLow−k膜の硬化処理(キュアリング)が必要であり、例えば熱処理、UV処理、電子ビーム処理などの方法で硬化処理が行なわれている。また、Low−k膜へのプラズマ処理として、Low−k膜をHガスのプラズマにより処理して硬化させる方法が提案されている(例えば、特許文献1)。
ところで、通例、Hガスを用いてプラズマ硬化処理を行なう場合、例えば図16に示すような一連の工程が実施される。
まず、処理室内をシーズニングしてから(ステップS301)、被処理基板を処理室内に搬入する(ステップS302)。次に、処理室内にプラズマを安定化させるためのArガスを導入して加熱および圧力調整し(ステップS303)、プラズマ着火してArガスプラズマを生成させる(ステップS304)。プラズマ着火後、Arガスの流量を制御し(ステップS305)、生成したArガスプラズマを充分に安定化させる。その後、処理室内にHガスを導入し、硬化処理を開始する(ステップS306)。硬化が完了したら、プラズマを停止させて排気などの終了処理を行なう。
以上のようにして、プラズマ硬化処理が行なわれてきた。
特表2003−503849号公報
前記熱処理、UV処理、電子ビームなどよりLow−k膜の硬化処理を行なう方法の場合、膜収縮率が大きくなるなどLow−k膜の劣化を招くおそれがある。
また、図16に示すような方法でLow−k膜に対してプラズマ処理を行なった場合、Low−k膜の表面付近にのみ硬化層が形成されてしまい、膜の内部まで硬化しないことがある。その結果、例えばその後のエッチング処理においては、Low−k膜の表面付近と内部とでエッチングレートが大きく変化し、エッチング形状のコントロールが困難になる。また、膜の表面付近しか硬化されないと、硬化処理の目的が達成されず、Low−k膜の機械的強度が不十分となり、ラインパターンなどを構成する壁の部分が倒れて、以後の工程が不可能になるという問題もあった。また、ぬれ性(密着性)が悪いため、膜剥離等を生じてリーク電流が増加する可能性も高くなる。
従って、本発明の目的は、Low−k膜の硬化処理を行なう際に、Low−k膜を低誘電率化しつつ、全体的に均一に硬化させ、かつ密着性を向上させることが可能なプラズマ処理方法を提供することである。
上記課題を解決するため、本発明の第1の観点によれば、被処理基板上に形成された低誘電率膜に対して、プラズマ処理装置の処理室内でプラズマを作用させて硬化処理を行なうプラズマ処理方法であって、前記低誘電率膜がSiOCH膜であり、前記処理室内に、プラズマを安定化させる作用を持つ第1のガスと、活性水素を生成させる第2のガスを導入し、前記第2のガス導入後に、複数のスロットを有する平面アンテナにて前記処理室内にマイクロ波を導入することで、前記処理室内でプラズマを生成させ、前記SiOCH膜を硬化処理することを特徴とする、プラズマ処理方法が提供される。
上記第1の観点のプラズマ処理方法においては、前記第1のガスが希ガスであり、前記第2のガスがHガスであることが好ましい。この場合、処理圧力が13.3〜1333Paであることが好ましい。また、前記希ガスと前記Hガスの流量比(希ガス:H)を1:2〜1:20とすることが好ましい
また、本発明の第2の観点によれば、被処理基板上にプラズマCVDにより低誘電率膜を形成する工程と、前記低誘電率膜に対して、プラズマを作用させて硬化処理を行なう工程と、を含む成膜方法であって、前記低誘電率膜がSiOCH膜であり、前記硬化処理は、処理室内に、プラズマを安定化させる作用を持つ第1のガスと、活性水素を生成させる第2のガスを導入し、前記第2のガス導入後に、複数のスロットを有する平面アンテナにて前記処理室内にマイクロ波を導入することで、前記処理室内でプラズマを生成させ、前記SiOCH膜を硬化処理することを特徴とする、成膜方法が提供される。
また、本発明の第3の観点によれば、コンピュータ上で動作し、実行時に、上記第1の観点のプラズマ処理方法が行なわれるように前記プラズマ処理装置を制御することを特徴とする、制御プログラムが提供される。
また、本発明の第4の観点によれば、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、
前記制御プログラムは、実行時に、上記第1の観点のプラズマ処理方法が行なわれるように、前記プラズマ処理装置を制御するものであることを特徴とする、コンピュータ記憶媒体が提供される。
本発明のプラズマ処理方法によれば、プラズマ着火のタイミングを制御することにより、被処理基板上に形成された低誘電率膜に対するArイオンなどの影響を極力低減することが可能となり、膜の誘電率を上昇させることなく活性水素(水素ラジカルや水素イオンなど)による均一な硬化(キュアリング)を行なうことができる。
以下、適宜添付図面を参照して本発明の実施の形態について具体的に説明する。
本発明で硬化処理の対象となる低誘電率膜としては、例えばSiOCH系膜などのLow−k膜が挙げられる。特に本発明では、多孔質なSiOCH系Low−k膜への硬化処理に対し、誘電率を上昇させることなく膜硬度を均一に向上させ得るので、効果が大きい。また、本発明は、他のLow−k材料、例えばSiOC膜やポーラスSiOC膜などのSiOC系膜、CF系膜、有機ポリマー系膜、MSQ膜やポーラスMSQ膜などのMSQ系膜などを用いた層間絶縁膜等の硬化処理にも適用可能である。
Low−k膜の膜厚としては、特に限定されるものではないが、例えば100〜1000nmが好ましい。
Low−k膜は、例えばSiOCH系の場合、テトラメチルシラン(TMS)、1,1,3,3−テトラメチルジシロキサン(TMDS)、シクロヘキシルジメトキシメチルシラン(CHDMMS)等の有機珪素化合物を原料として、構成既知の平行平板方式のプラズマCVD装置を用い、酸素などの酸化剤の存在下で所定の圧力と温度で成膜することができる。好適には、例えばプラズマCVD装置内に被処理基板を搬入し、有機珪素化合物を100〜300mL/min、酸化剤を100〜300mL/minの流量でそれぞれ導入し、133〜400Pa(1〜3Torr)の圧力、0〜70℃程度の被処理基板温度で、上部電極に200〜300Wの出力で数百kHzの高周波電力を供給し、プラズマ処理することにより成膜することができる。
次に、図1は、本発明の一実施形態に係るLow−k膜の硬化処理方法が実施されるプラズマ処理装置の一例を模式的に示す断面図である。このプラズマ処理装置は、複数のスロットを有する平面アンテナ、特にRLSA(Radial Line Slot Antenna;ラジアルラインスロットアンテナ)にて処理室内にマイクロ波を導入してプラズマを発生させることにより、高密度かつ低電子温度のマイクロ波プラズマを発生させ得るRLSAマイクロ波プラズマ処理装置として構成されており、例えば、Low−k膜の硬化処理に好適に利用可能なものである。このプラズマ処理装置100は、気密に構成され、接地された略円筒状のチャンバー1を有している。チャンバー1の底壁1aの略中央部には円形の開口部10が形成されており、底壁1aにはこの開口部10と連通し、下方に向けて突出する排気室11が設けられている。
チャンバー1内には被処理基板であるウエハWやダミーウエハWdを水平に支持するためのAlN等のセラミックスからなるサセプタ2が設けられている。このサセプタ2は、排気室11の底部中央から上方に延びる円筒状のAlN等のセラミックスからなる支持部材3により支持されている。サセプタ2の外縁部にはウエハWをガイドするためのガイドリング4が設けられている。また、サセプタ2には抵抗加熱型のヒータ5が埋め込まれており、このヒータ5はヒータ電源6から給電されることによりサセプタ2を加熱して、その熱で被処理体であるウエハWを加熱する。このとき、例えば室温から800℃まで範囲で温度制御可能となっている。なお、チャンバー1の内周には、石英からなる円筒状のライナー7が設けられている。
サセプタ2には、ウエハWを支持して昇降させるためのウエハ支持ピン(図示せず)がサセプタ2の表面に対して突没可能に設けられている。
チャンバー1の側壁には環状をなすガス導入部材15が設けられており、このガス導入部材15にはガス供給系16が接続されている。ガス導入部材はシャワー状に配置してもよい。このガス供給系16は、例えばプラズマを安定化させる作用を持つ第1のガスとしてのArガス供給源17、および水素ラジカル、水素イオンなどの活性水素を生成させる第2のガスとしてのHガス供給源18を有しており、これらガスが、それぞれガスライン20を介してガス導入部材15に至り、ガス導入部材15からチャンバー1内に導入される。ガスライン20の各々には、マスフローコントローラ21およびその前後の開閉バルブ22が設けられている。なお、前記第1のガスとしては、Arガスに代えて、Kr、Xeなどの希ガスを用いることもできる。
上記排気室11の側面には排気管23が接続されており、この排気管23には高速真空ポンプを含む排気装置24が接続されている。そしてこの排気装置24を作動させることによりチャンバー1内のガスが、排気室11の空間11a内へ均一に排出され、排気管23を介して排気される。これによりチャンバー1内は所定の真空度、例えば0.133Paまで高速に減圧することが可能となっている。
チャンバー1の側壁には、プラズマ処理装置100に隣接する搬送室(図示せず)との間でウエハWや、ダミーウエハWdの搬入出を行うための搬入出口25と、この搬入出口25を開閉するゲートバルブ26とが設けられている。
チャンバー1の上部は開口部となっており、この開口部の周縁部に沿ってリング状の支持部27が設けられており、この支持部27に誘電体、例えば石英やAl、AlN等のセラミックスからなり、マイクロ波を透過するマイクロ波透過板28がシール部材29を介して気密に設けられている。したがって、チャンバー1内は気密に保持される。
マイクロ波透過板28の上方には、サセプタ2と対向するように、円板状の平面アンテナ部材31が設けられている。この平面アンテナ部材31はチャンバー1の側壁上端に係止されている。平面アンテナ部材31は、例えば表面が金メッキされた銅板またはアルミニウム板からなり、多数のマイクロ波放射孔32が所定のパターンで貫通して形成された構成となっている。このマイクロ波放射孔32は、例えば図2に示すように長溝状をなし、典型的には隣接するマイクロ波放射孔32同士が「T」字状に配置され、これら複数のマイクロ波放射孔32が同心円状に配置されている。マイクロ波放射孔32の長さや配列間隔は、マイクロ波の波長などに応じて決定される。なお、図2において、同心円状に形成された隣接するマイクロ波放射孔32同士の間隔をΔrで示している。また、マイクロ波放射孔32は、円形状、円弧状等の他の形状であってもよい。さらに、マイクロ波放射孔32の配置形態は特に限定されず、同心円状のほか、例えば、螺旋状、放射状に配置することもできる。
この平面アンテナ部材31の上面には、真空よりも大きい誘電率を有する遅波材33が設けられている。この遅波材33は、真空中ではマイクロ波の波長が長くなることから、マイクロ波の波長を短くしてプラズマを調整する機能を有している。なお、平面アンテナ部材31とマイクロ波透過板28との間は密着した状態となっており、また、遅波材33と平面アンテナ31との間も密着されている。
チャンバー1の上面には、これら平面アンテナ部材31および遅波材33を覆うように、例えばアルミニウムやステンレス鋼等の金属材からなるシールド蓋体34が設けられている。チャンバー1の上面とシールド蓋体34とはシール部材35によりシールされている。シールド蓋体34には、冷却水流路34aが形成されており、そこに冷却水を通流させることにより、シールド蓋体34、遅波材33、平面アンテナ31、マイクロ波透過板28を冷却するようになっている。なお、シールド蓋体34は接地されている。
シールド蓋体34の上壁の中央には開口部36が形成されており、この開口部には導波管37が接続されている。この導波管37の端部には、マッチング回路38を介してマイクロ波発生装置39が接続されている。これにより、マイクロ波発生装置39で発生した例えば周波数2.45GHzのマイクロ波が導波管37を介して上記平面アンテナ部材31へ伝搬されるようになっている。なお、マイクロ波の周波数としては、8.35GHz、1.98GHz等を用いることもできる。
導波管37は、上記シールド蓋体34の開口部36から上方へ延出する断面円形状の同軸導波管37aと、この同軸導波管37aの上端部にモード変換器40を介して接続された水平方向に延びる断面矩形状の矩形導波管37bとを有している。矩形導波管37bと同軸導波管37aとの間のモード変換器40は、矩形導波管37b内をTEモードで伝播するマイクロ波をTEMモードに変換する機能を有している。同軸導波管37aの中心には内導体41が延在しており、内導体41は、その下端部において平面アンテナ部材31の中心に接続固定されている。これにより、マイクロ波は、同軸導波管37aの内導体41を介して平面アンテナ部材31へ効率よく均一に伝播される。
プラズマ処理装置100の各構成部は、CPUを備えたプロセスコントローラ50に接続されて制御される構成となっている。プロセスコントローラ50には、工程管理者がプラズマ処理装置100を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース51が接続されている。
また、プロセスコントローラ50には、プラズマ処理装置100で実行される各種処理をプロセスコントローラ50の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記録されたレシピが格納された記憶部52が接続されている。
そして、必要に応じて、ユーザーインターフェース51からの指示等にて任意のレシピを記憶部52から呼び出してプロセスコントローラ50に実行させることで、プロセスコントローラ50の制御下で、プラズマ処理装置100での所望の処理が行われる。また、前記制御プログラムや処理条件データ等のレシピは、コンピュータ読み取り可能な記憶媒体、例えばCD−ROM、ハードディスク、フレキシブルディスク、不揮発性メモリなどに格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
このように構成されたRLSA方式のプラズマ処理装置100においては、図3に示す手順でウエハWに形成されたLow−k膜に対する硬化処理を行うことができる。
まず、ステップS201では、チャンバー1のシーズニングを実施する。チャンバー1へウエハWを搬入する前に、チャンバー1内雰囲気を整えるために行なうものである。シーズニング条件は、後述するプラズマ処理と同じレシピで、例えば数秒間程度実施する。なお、シーズニングは、ロットの最初の1枚目のウエハWとしてダミーウエハWdを用いて行なう。
ステップS201のシーズニング終了後、ステップS202では、ゲートバルブ26を開にして搬入出口25からLow−k膜が形成されたウエハWをチャンバー1内に搬入し、サセプタ2上に載置する。
ステップS203では、ガス供給系16のArガス供給源17およびHガス供給源18から、ArガスおよびHガスを所定の流量でガス導入部材15を介してチャンバー1内に導入する。
具体的には、例えばArなどの希ガス流量を50〜1000mL/min(sccm)、Hガス流量を50〜2000mL/min(sccm)に設定し、チャンバー内を13.3〜1333Pa(100mTorr〜10Torr)、好ましくは93.3〜666.5Paの処理圧力に調整し、ウエハWの温度を300〜500℃程度に加熱する。
均質な硬化膜を得る目的で、処理圧力は高い方が好ましく、望ましくは133.3〜1333Paとすることができる。また、同様の効果を得るために、Arなどの希ガスとHガスの流量比(希ガス:H)は、例えば1:2〜1:20とすることが好ましく、特に希ガス:H=1:5以上、望ましくは1:10以上の水素リッチな条件がよい。
ステップS204では、プラズマ着火し、好ましくは水素リッチなプラズマで硬化処理を行なう。プラズマ着火は、マイクロ波をチャンバー1内に導くことにより行なう。
すなわち、マイクロ波発生装置39からのマイクロ波を、マッチング回路38を経て導波管37に導き、矩形導波管37b、モード変換器40、および同軸導波管37aを順次通過させて内導体41を介して平面アンテナ部材31に供給し、平面アンテナ部材31からマイクロ波透過板28を介してチャンバー1内におけるウエハWの上方空間に放射させる。マイクロ波は、矩形導波管37b内ではTEモードで伝搬し、このTEモードのマイクロ波はモード変換器40でTEMモードに変換されて、同軸導波管37a内を平面アンテナ部材31に向けて伝搬されていく。平面アンテナ部材31からマイクロ波透過板28を経てチャンバー1に放射されたマイクロ波によりチャンバー1内で電磁界が形成され、ArガスおよびHガスがプラズマ化する。このマイクロ波プラズマは、マイクロ波が平面アンテナ部材31の多数のマイクロ波放射孔32から放射されることにより、略5×1011〜1×1013/cmあるいはそれ以上の高密度のプラズマとなり、その電子温度は、0.7〜2eV程度、プラズマ密度の均一性は、±5%以下である。従って、低温かつ短時間で硬化処理を行うことができ、しかも低電子温度のプラズマであるため下地膜へのイオン等のプラズマダメージが小さいというメリットがある。
そして、水素リッチなプラズマ中の主として水素ラジカル(H)や水素イオン(H)の作用によって、例えばLow−k膜がSiOCH膜である場合は、膜中のSi−CHがSi−CH−Siに置換され、結合が強化されることにより硬化する。
ステップS205では、終了処理を行なう。ステップS204でウエハWへの硬化処理が終了したら、圧力、ガス流量はそのままでマイクロ波の供給を止め、プラズマを終了させた後、ガスを停止し、次いで排気装置24によりチャンバー1内のガスを排気し、ゲートバルブ26を開にしてウエハWを取出す。
以上述べたように、本発明によるLow−k膜の硬化処理方法では、図1と同様のプラズマ処理装置100を用い、上記ステップS201〜S205に例示した一連の工程を行なうことによってLow−k膜を均一に硬化させることができる。
従来法によるプラズマ硬化処理(図16参照)の際に、Low−k膜の表面付近にのみ硬化層が形成される現象は、プラズマ中のArイオンなどのイオン成分がLow−k膜中に内在するメチル基などのアルキル基やアルコキシ基を脱離させて改質が進むと同時に、膜中分子の分極化も進行するためであると考えられる。そして、Low−k膜の表面付近にのみ硬化が進む原因の一つとして、プラズマ処理におけるプラズマ生成(プラズマ着火)のタイミングが深く関与している。例えば、図16に示すような処理手順では、ステップS303でArガスのみを導入し、ステップS306でHガスを導入する前にプラズマ着火(ステップS304)が行なわれるので、Low−k膜がArガスプラズマのみに曝される時間が存在することになる。Arガスはプラズマを安定化させるために重要な役割を果たしているが、単一Arガスのプラズマはイオン密度が高いため、イオンの作用によりLow−k膜中のメチル基などがこの段階で膜表面から脱離してしまい、その結果、Low−k膜表面のみが改質され、その後、Low−k膜の硬化に関与するHを生成するHガスを導入しても、膜全体の均一な硬化が進まず、表面付近にのみ硬化層が形成されるものと考えられる。このため本発明においては、硬化処理におけるプラズマ着火をHガス導入後に行なうことによって、低誘電率を維持もしくは誘電率をさらに低下させつつ、良好な膜質を維持したままLow−k膜を均一に硬化させることが可能になった。
次に、本発明の効果を裏付ける試験結果について説明する。なお、以下の試験では、Low−k膜として、平行平板方式のプラズマCVD装置を用い、ウエハW上に例えば450nmの膜厚で成膜したSiOCH膜を用いた。
CVDにより形成したSiOCH膜に対し、以下の方法でプラズマ硬化処理の際に生成するチャンバー内のメチル基の発光強度(波長431nmを使用)を測定した。
まず、比較例として図4に示すステップS1〜S6の手順でプラズマ硬化処理を実施した。なお、チャンバー内圧力は266.6Pa(2Torr)、マイクロ波パワーは2.0kW、硬化処理時間は60秒とした。
この場合、SiOCH膜は、Ar/Hガスプラズマによる硬化処理(ステップS4)の前に、ステップ2およびステップ3で合計10秒間Arプラズマに曝されたことになる。この比較例の硬化処理におけるマイクロ波パワーとメチル基の発光強度の推移を図5に示した(なお、メチル基の発光強度は規格化して示した)。
次に、図6に示すステップS1〜S4の手順で本発明方法によりプラズマ硬化処理を実施した。なお、Arガス流量は250ml/min、Hガス流量は500ml/min、チャンバー内圧力は266.6Pa(2Torr)、マイクロ波パワーは2.0kW、プレヒート時間は20〜60秒、硬化処理時間は60秒とした。
この場合、プラズマ着火のタイミングを変え、Hガス導入後にマイクロ波パワーを供給しプラズマ着火して(ステップS2)、硬化処理をしたことにより、Arガス単独のプラズマに曝された時間は0秒であった。本発明におけるマイクロ波パワーとメチル基の発光強度の推移を図7に示した(なお、メチル基の発光強度は規格化して示した)。
図5から、比較例の場合、Arガス単独のプラズマ着火からメチル基の発光強度が急激に上昇し、Arガスのみのプラズマの期間(ステップ2およびステップS3)の10秒間はメチル基の発光強度が高い状態にあり、Hガス導入(ステップS4)によりAr/Hプラズマを発生させた後はメチル基の発光強度が2程度まで減少し、その後は、安定的に推移したことがわかる。つまり、Arガスのプラズマでは、Arのイオンエネルギーが強いため、Low−k膜中のSi−CHの結合が切断されてSi−Hが多い組成となり、表面のみが硬くなる。
一方、本発明の場合、プラズマ着火時よりHガスを導入しているので、図7から、Ar・Hのプラズマ着火(ステップS2)によってメチル基の発光強度が2程度まで上昇するが、その後は安定的に推移し、硬化処理の最後までメチル基の発光が極端に上昇することはなかった。
このように、Hガス導入後にプラズマ着火することによって、SiOCH膜中のメチル基の脱離が抑制されることが判明した。
次に、SiOCH膜に対し、以下に示すように条件を変えて硬化処理を実施した後、膜を表面から下地層へ向けて斜めに切断し、FT−IR(フーリエ変換赤外分光)により切断面を組成分析した。切断面における深さ(nm)と、Si−CHとSi−Oとの比(Si−CH/Si−O)との関係を図8に示した。また、切断面における深さ(nm)と、Si−HとSi−Oとの比(Si−H/Si−O)との関係を図9に示した。
<硬化処理条件>
(1)本発明(Hガス導入着火)
Ar/H流量比=50/500;
チャンバー内圧力=約400Pa(3Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(2)比較例(H導入前着火)
Ar/H流量比=50/500;
チャンバー内圧力=約400Pa(3Torr);
マイクロ波パワー=0.8kW;
ウエハ温度=400℃;
処理時間;60秒
(3)コントロール(硬化処理を行なわない場合)
図8および図9より、Hガス導入後にプラズマ着火させた本発明では、Si−CH/Si−O比、Si−H/Si−O比ともに、測定部位の深さにかかわらず、表面付近からほぼ一定した値を示した。一方、H導入前にプラズマ着火した比較例では、膜の表面付近でSi−CH/Si−O比が低く、逆にSi−H/Si−O比が高い傾向が見られた。これは、SiOCH膜の表面付近で、メチル基がArイオンの作用により脱離した結果であると考えられる。
次に、硬化処理における圧力とH濃度の影響を調べた。
以下の条件で硬化処理を実施した後、各膜を表面から下地層へ向けて斜めに切断し、切断面をFT−IRにより組成分析した。切断面における深さ(nm)と、Si−CHとSi−Oとの比(Si−CH/Si−O)との関係を図10に示した。また、切断面における深さ(nm)と、Si−HとSi−Oとの比(Si−H/Si−O)との関係を図11に示した。
<硬化処理条件>
(1)本発明A(Hガス導入後着火)
Ar/H流量比=250/500(1:2);
チャンバー内圧力=約266.6Pa(2Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(2)本発明B(Hガス導入後着火、高圧・高H条件)
Ar/H流量比=50/500(1:10);
チャンバー内圧力=約400Pa(3Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(3)コントロール(硬化処理を行なわない場合)
図10および図11より、Hガス導入後にプラズマ着火させるとともに、Ar:H比=1:10とした場合には、Ar:H比=1:2とした場合に比べて膜表面におけるSi−CH/Si−O比が高まり、メチル基の脱離がいっそう抑制されていることがわかる。この結果から、硬化後の膜質を改善する観点では、硬化処理を水素ラジカル(H)等の活性水素の生成が多くなる高Hガス比で実施することが好ましいことが示された。また、圧力を高くすることによって、さらに良好な効果が得られることも示された。
次に、以下に示す条件区分で本発明方法と比較方法による硬化処理をそれぞれ実施し、硬化処理がSiOCH膜の膜特性である誘電率と膜収縮率に与える影響について検討を行なった。
(1)比較方法(Hガス導入前着火)
Ar/H流量比=250/500mL/min(sccm);
チャンバー内圧力=約266.6Pa(2Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(2)本発明(Hガス導入後着火、高圧・高H条件)
Ar/H流量比=50/500mL/min(sccm);
チャンバー内圧力=約400Pa(3Torr);
マイクロ波パワー=0.8kW;
ウエハ温度=400℃;
処理時間;60秒
まず、硬化処理前後の膜厚と、硬化処理による膜収縮率を測定した。その結果を図12に示した。図12より、本発明方法のHガス導入後着火による硬化処理後のSiOCH膜は、比較法によるHガス導入前のAr着火の硬化処理のSiOCH膜と比較して、膜厚の減少が少なく、膜収縮率が小さかった。このことから、本発明のプラズマ硬化処理は、SiOCH膜の膜厚を大きく低下させずに実施できることが確認された。
また、硬化処理後のSiOCH膜の誘電率(k値)を測定した結果を図13に示した。図13から、本発明方法により硬化させたSiOCH膜のk値は、比較方法により硬化させたSiOCH膜のk値と比較して、小さく、コントロール(処理前)とほぼ同等であることが示された。
さらに、本発明方法および比較方法の硬化処理によって得られた膜のIRスペクトルを図14に並べて示した(吸光度は規格化した値で示した)。本発明方法により硬化させたSiOCH膜では、比較方法により硬化させたSiOCH膜と比較して、Si−CH結合を示すピークが大きく、メチル基の脱離が抑制されていることがわかる。つまり、Hガス導入前のAr着火の硬化処理では、Si−CH結合が切断されてSi−Hになりやすいが、Hガス導入後のAr+H着火では、Si−CH結合の切断が抑制されると考えられる。
またさらに、本発明方法により得られたSiCOH膜を用いた半導体デバイスの電気特性を計測した結果、配線間の寄生容量およびリーク電流は従来方法により得られたSiOCH膜と同程度であった。
次に、以下に示す条件区分で本発明方法と比較方法による硬化処理をそれぞれ実施し、硬化処理前(コントロール)のSiCOH膜と、硬化処理後のSiCOH膜の硬さをナノインデンターによって測定した。
(条件1)比較方法(Hガス導入前着火)
Ar/H流量比=250/500mL/min(sccm);
チャンバー内圧力=約266.6Pa(2Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(条件2)本発明(Hガス導入後着火)
Ar/H流量比=250/500mL/min(sccm);
チャンバー内圧力=約266.6Pa(2Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
(条件3)本発明(Hガス導入後着火、高圧・高H条件)
Ar/H流量比=50/500mL/min(sccm);
チャンバー内圧力=約400Pa(3Torr);
マイクロ波パワー=2kW;
ウエハ温度=400℃;
処理時間;60秒
ナノインデンターによる膜内の硬さ分布を図15に示した。図15より、条件1(比較法によるHガス導入前のAr着火)では、表面近傍に膜内部に比べて硬い表面硬化層が存在している。一方、条件2および条件3(本発明によるHガス導入後着火)では、膜内部まで強度がなだらかに上昇し、表面硬化層ができていないことが確認された。
以上の結果から、プラズマ処理装置100において、プラズマ着火のタイミングを制御することにより、Low−k膜へのArイオンの影響を排除もしくは低減して、活性水素が支配的なプラズマで処理させることが可能となり、低誘電率膜全体を均一に硬化させ得るとともに、k値を維持しつつ、定着性に優れた良好な膜質の低誘電率膜が得られることが示された。
以上、本発明の実施形態を述べたが、本発明は上記実施形態に制約されることはなく、種々の変形が可能である。
たとえば、図1では、RLSA方式のプラズマ処理装置100を例に挙げたが、例えば平行平板方式、リモートプラズマ方式、ICP方式、ECR方式等のプラズマ処理装置を用いることも可能である。
また、被処理基板としては、例えばシリコン、LCD、化合物半導体などの基板に適用可能である。
本発明の一実施形態に係る硬化処理に適したプラズマ処理装置の一例を模式的に示す断面図。 図1のプラズマ処理装置に用いられる平面アンテナ部材の構造を示す図。 本発明による硬化処理の工程例のフローチャート。 比較例におけるタイミングチャートを示す図面。 比較例におけるマイクロ波パワーとメチル基の発光強度の推移を示す図面。 本発明におけるタイミングチャートを示す図面。 本発明におけるマイクロ波パワーとメチル基の発光強度の推移を示す図面。 本発明と比較例におけるSiOCH膜の深さとSi−CH/Si−O比の関係を示すグラフ図。 本発明と比較例におけるSiOCH膜の深さとSi−H/Si−O比の関係を示すグラフ図。 本発明におけるSiOCH膜の深さとSi−CH/Si−O比の関係を示すグラフ図。 本発明におけるSiOCH膜の深さとSi−H/Si−O比の関係を示すグラフ図。 本発明と比較例による硬化処理前後のSiOCH膜の膜厚と膜収縮率を示すグラフ図。 本発明と比較例による硬化処理前後のSiOCH膜の誘電率を示すグラフ図。 本発明と比較例による硬化処理後のSiOCH膜のIRスペクトルを示す図面。 本発明と比較例による硬化処理後のSiOCH膜の硬さ分布を示すグラフ図。 従来のプラズマ硬化処理の工程例のフローチャート。
符号の説明
1;チャンバー
2;サセプタ
3;支持部材
5;ヒータ
15;ガス導入部材
16;ガス供給系
17;Arガス供給源
18;Hガス供給源
23;排気管
24;排気装置
25;搬入出口
26;ゲートバルブ
27;支持部
28;マイクロ波透過板
29;シール部材
31;平面アンテナ部材
32;マイクロ波放射孔
37;導波管
37a;同軸導波管
37b;矩形導波管
39;マイクロ波発生装置
40;モード変換器
50;プロセスコントローラ
100;プラズマ処理装置
W…ウエハ(基板)
Wd…ダミーウエハ

Claims (7)

  1. 被処理基板上に形成された低誘電率膜に対して、プラズマ処理装置の処理室内でプラズマを作用させて硬化処理を行なうプラズマ処理方法であって、
    前記低誘電率膜がSiOCH膜であり、
    前記処理室内に、プラズマを安定化させる作用を持つ第1のガスと、活性水素を生成させる第2のガスを導入し、前記第2のガス導入後に、複数のスロットを有する平面アンテナにて前記処理室内にマイクロ波を導入することで、前記処理室内でプラズマを生成させ、前記SiOCH膜を硬化処理することを特徴とする、プラズマ処理方法。
  2. 前記第1のガスが希ガスであり、前記第2のガスがHガスであることを特徴とする、請求項1に記載のプラズマ処理方法。
  3. 処理圧力が13.3〜1333Paであることを特徴とする、請求項2に記載のプラズマ処理方法。
  4. 前記希ガスと前記Hガスの流量比(希ガス:H)を1:2〜1:20とすることを特徴とする、請求項3に記載のプラズマ処理方法。
  5. 被処理基板上にプラズマCVDにより低誘電率膜を形成する工程と、
    前記低誘電率膜に対して、プラズマを作用させて硬化処理を行なう工程と、
    を含む成膜方法であって、
    前記低誘電率膜がSiOCH膜であり、
    前記硬化処理は、処理室内に、プラズマを安定化させる作用を持つ第1のガスと、活性水素を生成させる第2のガスを導入し、前記第2のガス導入後に、複数のスロットを有する平面アンテナにて前記処理室内にマイクロ波を導入することで、前記処理室内でプラズマを生成させ、前記SiOCH膜を硬化処理することを特徴とする、成膜方法。
  6. コンピュータ上で動作し、実行時に、請求項1ないし請求項4のいずれか1項に記載されたプラズマ処理方法が行なわれるように前記プラズマ処理装置を制御することを特徴とする、制御プログラム。
  7. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ記憶媒体であって、
    前記制御プログラムは、実行時に、請求項1ないし請求項4のいずれか1項に記載されたプラズマ処理方法が行なわれるように、前記プラズマ処理装置を制御するものであることを特徴とする、コンピュータ記憶媒体。
JP2004324713A 2004-11-09 2004-11-09 プラズマ処理方法、成膜方法 Expired - Fee Related JP4435666B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004324713A JP4435666B2 (ja) 2004-11-09 2004-11-09 プラズマ処理方法、成膜方法
US11/266,308 US7771796B2 (en) 2004-11-09 2005-11-04 Plasma processing method and film forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004324713A JP4435666B2 (ja) 2004-11-09 2004-11-09 プラズマ処理方法、成膜方法

Publications (2)

Publication Number Publication Date
JP2006135213A JP2006135213A (ja) 2006-05-25
JP4435666B2 true JP4435666B2 (ja) 2010-03-24

Family

ID=36316885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004324713A Expired - Fee Related JP4435666B2 (ja) 2004-11-09 2004-11-09 プラズマ処理方法、成膜方法

Country Status (2)

Country Link
US (1) US7771796B2 (ja)
JP (1) JP4435666B2 (ja)

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008010598A (ja) * 2006-06-28 2008-01-17 Tokyo Electron Ltd プラズマ処理方法及びその装置
JP5380797B2 (ja) * 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
JP5291467B2 (ja) * 2006-09-29 2013-09-18 東京エレクトロン株式会社 プラズマ酸化処理方法、記憶媒体、及び、プラズマ処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012092020A2 (en) * 2010-12-30 2012-07-05 Applied Materials, Inc. Thin film deposition using microwave plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5788350B2 (ja) * 2012-03-23 2015-09-30 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779267B (zh) * 2012-10-25 2017-03-01 中芯国际集成电路制造(上海)有限公司 一种半导体结构的形成方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104183541B (zh) * 2013-05-22 2017-03-01 中芯国际集成电路制造(上海)有限公司 修复介质k值的方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
DE10081808T1 (de) 1999-06-26 2002-11-07 Trikon Holdings Ltd Verfahren und Vorrichtung zur Ausbildung eines Filmes auf einem Substrat
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
EP1504138A2 (en) 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties

Also Published As

Publication number Publication date
US20060099799A1 (en) 2006-05-11
US7771796B2 (en) 2010-08-10
JP2006135213A (ja) 2006-05-25

Similar Documents

Publication Publication Date Title
JP4435666B2 (ja) プラズマ処理方法、成膜方法
TWI735592B (zh) 氮化矽膜之處理方法及氮化矽膜之形成方法
JP5231233B2 (ja) プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
JP4979389B2 (ja) プラズマ処理装置
KR101102690B1 (ko) 실리콘 산화막의 형성 방법, 플라즈마 처리 장치 및 기억 매체
WO2006106665A1 (ja) 基板の窒化処理方法および絶縁膜の形成方法
WO2011040426A1 (ja) 半導体装置の製造方法
WO2006025363A1 (ja) シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
US20100239781A1 (en) Method for in-chamber preprocessing in plasma nitridation processing, plasma processing method, and plasma processing apparatus
WO2008038788A1 (fr) Procédé de formation d'un film d'oxyde de silicium, appareil de traitement au plasma et support de stockage
JP5271702B2 (ja) シリコン酸化膜の形成方法およびシリコン酸化膜の形成装置
JP5231232B2 (ja) プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
JP5425361B2 (ja) プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
WO2010038654A1 (ja) シリコン酸化膜の形成方法及び装置
KR101140694B1 (ko) 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
TW201130398A (en) Microwave plasma processing device and microwave plasma processing method
JP2010238739A (ja) プラズマ処理方法
KR20090106439A (ko) 석영제부재

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080617

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080818

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090929

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20091013

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091222

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091224

R150 Certificate of patent or registration of utility model

Ref document number: 4435666

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130108

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130108

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees