WO2005053008A1 - 絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法 - Google Patents

絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法 Download PDF

Info

Publication number
WO2005053008A1
WO2005053008A1 PCT/JP2004/017692 JP2004017692W WO2005053008A1 WO 2005053008 A1 WO2005053008 A1 WO 2005053008A1 JP 2004017692 W JP2004017692 W JP 2004017692W WO 2005053008 A1 WO2005053008 A1 WO 2005053008A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
forming
reactive substance
chamber
film
Prior art date
Application number
PCT/JP2004/017692
Other languages
English (en)
French (fr)
Inventor
Hidenori Miyoshi
Kazuo Komura
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/580,824 priority Critical patent/US7772130B2/en
Priority to CN2004800307372A priority patent/CN1871696B/zh
Publication of WO2005053008A1 publication Critical patent/WO2005053008A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Definitions

  • the present invention relates to a film forming method and a film forming apparatus capable of efficiently forming different types of insulating films, and more particularly, to modifying a low dielectric constant film and forming a film on the low dielectric constant film.
  • the present invention relates to an insulating film forming method and an insulating film forming system suitable for forming insulating films having different film qualities.
  • a porous insulating film having an increased internal porosity is widely used.
  • the porous insulating film due to its structure, the porous insulating film generally has a problem that its strength is weak and its hygroscopicity is high. For this reason, reforming techniques for making the porous insulating film hydrophobic and increasing its strength have been widely studied.
  • a technique of forming a SiOC film by performing chemical vapor deposition (CVD) using plasma using Tetra Siloxane (TMCTS) is disclosed in, for example, US Pat. No. 6,147,009.
  • CVD chemical vapor deposition
  • TMC Tetra Siloxane
  • a copper wiring is embedded in the formed groove by forming a high-hardness and high-density hard mask on the low-dielectric-constant porous film by a damascene method, and then performing etching by photolithography. Formed. Further, a copper wiring is formed by forming a noria metal layer for preventing copper diffusion in the groove. However, for a porous film, the barrier metal layer itself may be diffused. Prevents diffusion of wiring material into porous film The provision of a silicon oxide film for this purpose is disclosed in JP-A-9-298241. Hereinafter, a function similar to that of the silicon oxide film, that is, an insulating film capable of preventing the diffusion of the wiring material (metal) is described as a seal film.
  • a similar problem is not limited to a porous insulating film, but a low dielectric constant film is modified, and another insulating film (hard mask, seal film, nomination film) having a different film quality is formed thereon. Even if it had occurred.
  • the present invention has been made in view of the above situation, and includes a process of modifying a low dielectric constant film and a process of forming another insulating film having a different film quality on the modified low dielectric constant film.
  • the goal is to make it run efficiently.
  • a method for forming an insulating film according to a first aspect of the present invention includes: a heat treatment step of heating the first insulating film in an atmosphere containing a reactive substance; and a gas containing the reactive substance. Forming a second insulating film on the first insulating film that has been heat-treated in the heat-treating step by chemical vapor deposition (CVD) using .
  • CVD chemical vapor deposition
  • the film forming step includes, for example, a step of causing chemical vapor deposition by exciting the reactive substance with higher energy than exciting the reactive substance in a heat treatment step. Be composed.
  • the first insulating film is heat-treated in an atmosphere containing substantially no plasma, and in the film formation step, the first insulating film contains the reactive substance. It produces gas plasma and performs chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the first insulating film is formed of a porous insulating film
  • the heat treatment step includes modifying the porous insulating film by bonding the reactive substance to the porous insulating film.
  • the modified first insulating film is disposed in an atmosphere containing the reactive substance, and the first insulating film is heated and the reactive substance is heated. And generating a plasma of a gas containing the compound to cause the reactive substance to undergo chemical vapor deposition on the first insulating film.
  • the reactive substance is composed of, for example, a reactive substance having a gas at normal temperature and normal pressure or a vapor pressure at normal temperature of lPa-101.3 kPa, desirably lOOPa-101.3 kPa.
  • the reactive substance also has a material power containing silicon and hydrogen.
  • the reactive substance is composed of a substance containing two or more bonds between silicon and hydrogen.
  • the reactive substance is composed of a substance containing 1 to 30 silicon atoms and containing an element obtained from hydrogen, oxygen, and nitrogen.
  • the reactive substance is, for example,
  • A is an integer from 1 to 3
  • b is an integer from 1 to 3
  • c is an integer from 0 to 10
  • d is an integer from 0 to 4
  • e is an integer from 2 to 4
  • L is an integer from 0 to 8
  • An integer m is an integer from 0-8, n is an integer from 0-8, and 3 ⁇ L + m + n ⁇ 8. ) At least one cyclic siloxane compound.
  • the reactive substance is not limited to these, for example,
  • R 2 , R 3 and R 4 may be the same or different and are each H, OH, C H, CH, CH0, CF (CF) (CH), CH or halogen
  • A is an integer of 1 to 3
  • b is an integer of 1 to 3
  • c is an integer of 0 to 10
  • d is an integer of 0 to 4
  • e is an integer of 2 to 4.
  • a compound composed of at least one kind of silicon compound represented by) can also be used.
  • R 5 , R 6 , R 7 , R °, R 9 , and R 10 may be the same or different and are each H, OH, CH, CH, CH 0, CF (CF) (CH), CH or halogen source
  • A is an integer from 1 to 3
  • b is an integer from 1 to 3
  • c is an integer from 0 to 10
  • d is an integer from 0 to 4
  • e is an integer from 2 to 4.
  • R 17 , R 18 , R 19 , R 2 °, R 21 , and R 22 may be the same or different and each is 11, OH, CH, CH, CH 0, CF (CF) (CH), CH or halogen
  • a YSiR RO or NR R A R A ⁇ R, R A R, R is Yogu each H be the same as or different from each other, OH, CH
  • R 31 and R 32 may be the same or different and are each H or CH. )
  • the reactive substance is, for example,
  • R 33 , R 34 , R 35 , R 36 , R 37 , R 38 , R 39 , R 4 , and R 41 may be the same or different from each other, and each of H, OH, CH
  • the reactive substance is, for example, 1,3,5,7-tetramethylcyclotellorasiloxane.
  • the first insulating film is composed of a porous insulating film containing silicon, and the reactive substance is:
  • the 3,3,5,7-tetramethylcyclotellorasiloxane force may also be constituted.
  • the first insulating film can form an interlayer insulating film of the semiconductor device, and the second insulating film can form an insulating layer having at least one of hardness and density higher than that of the first insulating film.
  • the heat treatment step may include a step of changing the average molecular weight of the reactive substance in the atmosphere with the elapse of the heat treatment time (for example, continuously and stepwise).
  • the first insulating film to be processed in the heat treatment step may be composed of a porous insulating film formed by spin coating or a porous insulating film formed by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the method for forming an insulating film according to the second aspect of the present invention includes:
  • a porous film containing silicon is immersed in an atmosphere containing 1,3,5,7-tetramethylcyclotellorasiloxane.
  • the modified porous membrane was placed in an atmosphere containing 1,3,5,7-tetramethylcyclotellorasiloxane, and a gas containing the 1,3,5,7-tetramethylcyclotellorasiloxane was used.
  • an insulating film forming system includes a first chamber and a first support arranged in the first chamber and supporting an object to be processed.
  • Means, and a gas containing the reactive substance is introduced into the first chamber by the first gas supply means while the first insulating film is disposed on the first support.
  • First control means for heating the first insulating film by a first heater, a second chamber, and a second support member disposed in the second chamber and supporting the object to be processed; A second heater for heating an object to be processed supported by the second support; and a second chamber.
  • a second gas supply unit for supplying a gas containing the reactive substance, and the second gas supply unit in a state where the first insulating film is supported on a second support.
  • Second control means for introducing a gas containing the reactive substance into the second chamber and forming a second insulating film containing a product from the reactant on the first insulating film; It is characterized by having.
  • Plasma generating means for generating a plasma of the gas containing the reactive substance is further disposed in the second chamber, and the second control means includes a first insulating film formed on the second support.
  • the gas containing the reactive substance is introduced into the second chamber by the second gas supply means, the first insulating film is heated by the second heater, and the plasma The plasma of the gas containing the reactive substance is generated by the generation means, so that the plasma is formed on the first insulating film.
  • a second insulating film containing a product from the reactive substance may be formed.
  • the first and second chambers are physically composed of one chamber, the first and second supports are physically composed of one support force, and the first and second heaters are
  • the first and second control means are physically constituted by one heater, and the first and second control means are constituted by a physically common control unit.
  • the chamber is a high-frequency electric field for applying a high-frequency electric field to the gas introduced into the chamber.
  • the control unit includes means for controlling the high-frequency electric field applying means so that the high-frequency electric field is not applied to the gas during the heat treatment and the high-frequency electric field is applied during the film formation. It is possible to modify the film and to form the second insulating film on the modified first insulating film.
  • FIG. 1 is a diagram showing a system configuration of a film forming apparatus according to an embodiment of the present invention.
  • FIG. 2 is a view showing one example of the CVD apparatus shown in FIG. 1.
  • FIG. 3 is a diagram showing an example of a recipe for a process emphasizing the first embodiment of the present invention.
  • FIG. 4 is a cross-sectional view of a resultant for describing steps of a process emphasizing the first embodiment of the present invention.
  • FIG. 5 is a cross-sectional view of a resultant product for explaining steps of a process emphasizing a second embodiment of the present invention.
  • FIG. 6 is a cross-sectional view of a resultant product for explaining steps of a process emphasizing a third embodiment of the present invention.
  • FIG. 7 is a view showing another example of the CVD apparatus shown in FIG. 1.
  • a film forming apparatus 11 according to an embodiment of the present invention will be described below with reference to the drawings.
  • the film forming apparatus 11 includes a spin coating chamber 12, a plurality (three in the figure) of CVD chambers 13 (13 to 13), a transfer chamber 14, and a loading / unloading chamber 15. , Transfer rail 16 and transfer arm 17
  • the spin coating chamber 12 is connected to the transfer chamber 14 via a gate (gate valve) 21.
  • a gate gate valve 21.
  • a semiconductor wafer is placed on a rotary table. Is placed, and while rotating the semiconductor wafer at a high speed, a porous silica film forming material is dropped on the semiconductor wafer to form a porous silica film having a substantially uniform thickness.
  • Each of the CVD layers 13 (13- 13) is connected to the transfer chamber 14 via a gate 22 (22-22), and a CVD (Chemical Vapor Deposition) apparatus 111 is disposed inside. Yes.
  • Each CVD device 111 is a so-called parallel plate type plasma CVD device, and has a cylindrical chamber 112 as shown in FIG.
  • the chamber 112 also includes a conductive material such as anodized aluminum (anodized).
  • An exhaust port 113 is provided at the bottom of the chamber 112.
  • the exhaust port 113 is connected to an exhaust device 114 including a vacuum pump such as a turbo molecular pump.
  • the exhaust device 114 exhausts the inside of the chamber 112 to a predetermined pressure.
  • a gate 22 (22-22) is provided on a side wall of the chamber 112. With the gate 22 opened, the wafer W is loaded and unloaded between the chamber 112 and the outside (the transfer chamber 14).
  • a substantially cylindrical susceptor support 115 is provided at the bottom of the chamber 112.
  • a susceptor 116 as a mounting table (support) for the wafer W is provided.
  • the susceptor 116 is also formed of an insulating ceramic such as alumina, and has a lower electrode E disposed therein.
  • a heater 117 is provided inside the susceptor support base 115.
  • the heater 117 generates heat when energized by the heater controller 118, and the susceptor 116, the ueno, and the W are controlled to desired temperatures.
  • the susceptor 116 is provided with lift pins 119 for transferring the semiconductor wafer W, and the lift pins 119 can be moved up and down by a cylinder (not shown).
  • a first high-frequency power supply 120 is connected to a lower electrode E embedded in the susceptor 116 via a first matcher 121.
  • the first high frequency power supply 120 has a frequency in the range of 0.1 to 13 MHz.
  • a DC power supply 122 is connected to the first high-frequency power supply 120 in series.
  • a DC voltage is applied to the lower electrode E, and the lower electrode E functions as an electrostatic chuck.
  • a shower head 131 is provided above the susceptor 116 so as to face the susceptor 116 in parallel.
  • An electrode plate 133 having a large number of gas holes 132 is provided on a surface of the shower head 131 facing the susceptor 116.
  • the shower head 131 is supported on the ceiling of the channel 112 by an electrode support 134.
  • the shower head 131 is connected to a gas introduction pipe 135.
  • the gas introduction pipe 135 is provided with a TM CTS (1, 3, 5, 7-tetramethylcyclotellorasiloxane) gas source 136 and an inert gas source (for example, a helium (He) gas source, an argon (Ar) gas source, (N) gas source) 137, other suitable gas source 137, other gases.
  • a gas source 138 (for example, O, N 0, NH, H, etc.)
  • the processing gas from each of the gas sources 136 to 138 is mixed and supplied to a hollow portion (not shown) formed inside the shower head 131 via a gas introduction pipe 135.
  • the gas supplied into the shower head 131 is diffused in the hollow portion, and is supplied from the gas holes 132 of the shower head 131 to the surface area of the wafer W in the chamber 112.
  • a second high-frequency power supply 139 is connected to the shower head 131, and a second matching unit 140 is interposed in the power supply line.
  • the second high-frequency power supply 139 has a frequency in the range of 13 MHz to 2.45 GHz.
  • the sharp head 131 functions as an upper electrode and is preferably provided in the chamber 112. More specifically, a high-density plasma is formed in a dissociated state.
  • the transfer chamber 14 connects the spin coating chamber 12 and each of the CVD chambers 13, and transfers the spin-coated semiconductor wafer W from the spin coating chamber 12 to the CVD device 111 in the CVD chamber 13 or the other one. It is provided for.
  • the transfer chamber 14 is provided with a transfer arm 17 that can move sparsely on the transfer rail 16. The transfer arm 17 moves in the transfer chamber 14 to transfer the semiconductor wafer W.
  • the loading / unloading chamber 15 is provided for loading / unloading the semiconductor wafer W to be processed by the external force into / from the film forming apparatus 11.
  • the semiconductor wafer W to be processed is carried into the carry-in / out chamber 15 with the gate 24 opened, and is picked up by the carrying arm 17 with the gate 24 closed and the gate 23 opened.
  • the processed semiconductor wafer W is loaded into the loading / unloading chamber 15 from the transfer chamber 14 by the transfer arm 17 with the gate 23 opened, and is unloaded outside with the gate 23 closed and the gate 24 opened. Is done.
  • the control unit 100 includes a process controller including a microprocessor, a control memory, and the like, and controls the entire operation of the film forming apparatus 11.
  • the control unit 100 transports the semiconductor wafer W by the transport arm 17, opens and closes the gates 21, 22, 23, and 24, and controls the spin coating device 101. , A reforming process of the porous low-k film by the CVD apparatus 111, and a hard mask layer forming process on the porous low-k film.
  • the film forming process performed by the film forming apparatus 11 generally includes six step forces as shown in the procedure diagram of FIG.
  • step S1 a semiconductor wafer W to be processed is loaded, and in step S2, a spin coating device 101 performs spin coating of a solution of a porous low dielectric constant film material.
  • step S3 the semiconductor wafer W is transferred from the spin coating device 101 to the CVD device 111.
  • step S4 the porous low-k film is modified by the CVD apparatus 111, and a hard mask is formed by the CVD apparatus 111 in step S5.
  • the semiconductor wafer W that has been processed in step S6 is carried out.
  • control unit 100 The following procedure is all executed by the control unit 100 based on a process control program stored therein. However, in order to facilitate understanding, it is not necessary to refer to the control unit 100 one by one.
  • the gate 24 is opened, the semiconductor wafer W to be processed is transferred to the loading / unloading chamber 15, and the gate 24 is closed.
  • the gate 23 is opened, the semiconductor wafers and W are picked up by the transfer arm 17 and transported.
  • the gate 21 is opened, and the semiconductor wafer W is placed on the turntable of the spin coating apparatus 101 in the spin coating chamber 12. I do.
  • the raw material of the porous low dielectric constant film may use a known silica compound, and may further contain elements such as carbon C, hydrogen H, nitrogen N, and fluorine F. That is, the formed porous low-dielectric-constant film 211 has, for example, carbon C, hydrogen H, nitrogen N, It is configured to contain elements such as fluorine F.
  • the gate 22 is opened, and the semiconductor wafer W on which the porous low-dielectric-constant film 211 is applied is also taken out by the transfer arm 17 on the turntable.
  • the gate 22 is closed, and is placed on the lift pin 119 which is vacant at that time and which is projected from the susceptor 116 of the CVD apparatus 111 in the CVD chamber 13.
  • the semiconductor wafer W is placed on the susceptor 116 by lowering the lift pins 119. Subsequently, the heater 117 is energized through the heater controller 118 to heat the susceptor 116 and the semiconductor wafer W to, for example, 200 ° C. to 500 ° C., preferably, to around 400 ° C. On the other hand, the pressure in the chamber 112 is reduced to 100 kPa, preferably about 24 kPa.
  • the porous low-k film 211 is modified (hydrophobicized). , High strength).
  • the heater 117 is energized via the heater controller 118 to maintain the susceptor 116 and the semiconductor wafer, W, at a temperature of, for example, 200 ° C. to 500 ° C., preferably, near 400 ° C. Then, the pressure in the chamber 112 is reduced to 0.1 to 100 Pa, desirably about 5 Pa.
  • the TMCTSZ diluent gas (here, He gas) is set to about 0.001 to 1.0, preferably about 0.005, and the TMCTS gas is supplied into the chamber 112.
  • a power of 1 W to 1000 W in total, desirably in the vicinity of 50 W is supplied to the lower electrode E and the upper electrode (electrode plate 133) to generate plasma, and this state is reduced to 0.5 / 1.5. Hold for one hour.
  • active species such as radicals and ions of TMCTS are generated by the plasma, These products are deposited on the porous low dielectric constant film 211 while polymerizing near the surface of the semiconductor wafer w. That is, as shown in FIG. 4C, a hard mask 213 composed of SiOC is formed on the porous low dielectric constant film 211 by the CVD method.
  • the hard mask 213 thus formed has better adhesion to the porous low dielectric constant film 211 having higher hardness and density than the porous low dielectric constant film 211.
  • the supply of high-frequency power is stopped, the heater 117 is turned off, and the supply of gas is stopped.
  • the interior of the chamber 112 is purged to return to normal pressure, and the lift pins 119 are raised.
  • the gate 22 is opened, and the semiconductor wafer W processed by the transfer arm 17 is taken out of the CVD apparatus 111.
  • the gate 23 is opened, the wafer is transported to the loading / unloading chamber 15, the gate 24 is opened, and the wafer is unloaded from the film forming apparatus 11.
  • the modification of the porous low-k film 211 is performed using one CVD device 111 and the same reactive substance (TMCTS). High strength) and the process of forming the hard mask 213 can be performed.
  • the type of the diluent gas is not limited to the above-described embodiment, and is arbitrary. If necessary, an appropriate type of additive gas may be supplied from the additive gas source 138 into the chamber 112.
  • a porous low dielectric constant film 2 is formed by using the same reactive substance.
  • the semiconductor wafer W to be processed is transferred to the spin coating device 101.
  • the semiconductor wafer w has an insulating layer formed on the semiconductor wafer W by the damascene method.
  • a hard mask 222 is formed on the edge film 221, a wiring groove 223 is formed in the hard mask 222, a noria metal layer 224 is formed on the inner surface of the wiring groove 223, and a copper wiring 225 is formed inside the noria metal layer 224.
  • An etch stopper film 226 is formed on the hard mask 222.
  • a porous low-dielectric-constant film 227 made of porous inorganic silica, porous organic silica, or the like is formed by a spin coating device 101 in the same manner as in the first embodiment. I do. Further, a hard mask 228 is formed on the porous low dielectric constant film 227 by CVD or the like, and a structure having a cross section shown in FIG. 5A is manufactured.
  • the above-mentioned structure is placed in the film forming apparatus 111, and is transported to a photolithographic apparatus and an etching apparatus (not shown) to perform photolithographic processing and etching processing.
  • etching is performed up to the etch stopper film 226 to form a wiring hole 231 as shown in FIG.
  • the structure shown in FIG. 5 (B) is transferred to a cleaning device, and a cleaning process is performed to remove etching residues.
  • the above structure was transported to the CVD apparatus 111, and the porous low-k film 227 was modified as shown in FIG. 5C by heat treatment in an atmosphere containing TMCTS in the same manner as in the first embodiment. Perform processing.
  • At least the inner surface of the wiring hole 231 has high density and high hardness by a CVD method using plasma performed in an atmosphere containing TMCTS.
  • a seal film 232 capable of preventing the diffusion of noria metal is formed.
  • the bottom surface of the seal film 232 and the etch stopper film 226 are etched by anisotropic vapor phase etching, exposing the upper surface of the copper wiring 225.
  • a Noria metal layer 233 is formed on the inner surface (side wall) of the wiring hole 231 and a copper wiring 234 is formed.
  • a semiconductor device in which a porous low dielectric constant film 227 is formed on a barrier metal layer and a wiring hole is formed is the same as a single CVD device.
  • TCTS reactive substance
  • the porous low-dielectric-constant film 227 can be modified (hydrophobicized, high-strength) and the seal film 232 can be formed.
  • the TMCTS treatment of the porous silica film is performed uniformly in the thickness direction, and the strength of the entire porous silica film can be increased.
  • etching since etching is not performed up to the etch stopper film in the first etching, it is not necessary to remove the etching residue material containing copper during the first cleaning.
  • cleaning of a porous membrane requires compatibility between the chemical resistance of the porous membrane and the copper removal performance of the chemical, and therefore, usable cleaning liquids are limited.
  • the removal performance of the copper residue is not required at the first cleaning, so that various cleaning liquids can be used.
  • the porous film is protected by a seal film formed by a CVD method using TMCTS. Since the cleaning liquid resistance of the seal film is superior to the chemical liquid resistance of the porous film, the cleaning power of the porous film is also protected.
  • FIG. 5 shows an example in which the wiring groove 223 and the wiring hole 231 are stacked.
  • the present invention is also applicable to a configuration in which the wiring hole is provided in the lower layer and the wiring groove is provided in the upper layer. It is also applicable to the dual damascene method that uses simple damascene. Further, a seal film may be disposed on the side wall of the wiring groove 223 formed in the insulating film 221 in the lower layer.
  • the present invention is not limited to the first and second embodiments, and various modifications are possible.
  • the present invention can be applied to a series of steps such as modification of a porous film, hydrophobic treatment by closing pores in a surface region, and formation of another film.
  • a porous low dielectric constant film 311 is formed on a substrate.
  • a thermal treatment using TMCTS is performed by the CVD device 111, and as shown in FIG. 6B, the inside of the porous low dielectric constant film 311 is formed.
  • the TMCTS monomer or TMCTS polymer with a small degree of polymerization (average molecular weight is relatively small) is adhered to the inside of the pores to perform a modification treatment.
  • the entire substrate is heated by the heater of the CVD apparatus 111 to the same degree as in the heat treatment step, and weak high-frequency power is applied to the lower electrode E and the electrode plate 133. Apply.
  • the high-frequency power is lower by about 11 to 12 digits than the power in the first embodiment.
  • the TMCTS polymer having a medium degree of polymerization (average molecular weight is relatively medium) becomes locally localized in the pore network in the porous membrane. The narrowed portion is closed, and a region near the surface of the porous low dielectric constant film 311 is sealed.
  • the entire substrate is heated by the heater of the CVD apparatus 111 to the same degree as in the heat treatment step, using a gas containing TMCTS as the atmospheric gas, and A high frequency power of about 50 W in total is applied to the electrode E and the electrode plate 133.
  • a polymer having a high degree of polymerization (having a relatively large average molecular weight) is deposited on the porous film to form an insulating film 313.
  • the reforming of the porous low dielectric constant film using one CVD apparatus 111 and the same reactive substance (TMCTS), Another insulating film can be formed on the high-quality low-k film.
  • the energy applied in the order of the process of modifying the porous low dielectric constant film, the process of sealing the vicinity of the surface, and the process of forming the insulating film is stepwise increased.
  • the applied energy may be gradually increased continuously.
  • the TMCTS concentration (flow rate ratio), the temperature of the semiconductor wafer W, and other conditions are continuously changed to control the size of the TMCTS active species.
  • the treatment and the film formation treatment can be performed continuously.
  • the insulating film is formed by plasma CVD, but may be formed by thermal CVD.
  • the chamber It is not necessary to arrange a configuration for applying a high-frequency electric field to the power supply, that is, to dispose an electrode, a high-frequency power supply, a matching device, and the like. Instead, a heater and heater controller that can perform thermal CVD will be installed.
  • the low dielectric constant film has been described as being formed using the spin coating apparatus 101, but may be a porous low dielectric constant film formed using a CVD apparatus.
  • a cyclic silicon compound gas such as cyclic siloxane and cyclic silazane can be used.
  • an oxygen-containing substance such as O, O, CO, CO, and N 2 O may be used as a raw material.
  • a silicon compound gas and a thermally unstable substance are supplied to the chamber of the CVD system to form a film, and then heated to a temperature higher than the film formation temperature to remove the thermally unstable substance.
  • the formed porous low dielectric constant film may be used.
  • the porous low dielectric constant film is not limited to the configuration including Si and O described in the above embodiment.
  • the low dielectric constant film may be either porous or non-porous.
  • Non-porous thin film containing at least Si and O as constituent elements.
  • One or more elements of C, H, N, and F may be contained in addition to Si and O.
  • One or more elements of 0, F, and N may be contained in addition to C and H.
  • Etc. can be used.
  • Monosilazane disilane, dimethylsilane, dichlorosilane, tetraethylcyclotetrasiloxane, 1,2,3-triethyl-2,4,6-trimethylcyclotrisilazane, 1,2,3,4,5,6-hexamethylcyclo Trisilazane, monomethylsilane, and the like.
  • the number of silicon atoms is 110, preferably about 112, more preferably 4 or less as in TMCTS, and hydrogen, oxygen, or the like.
  • a substance containing an element selected from nitrogen Further, a substance having a characteristic of a gas at normal temperature and normal pressure or a vapor pressure at normal temperature of lPa-101.3 kPa, preferably lOOPa-101.3 kPa is desirable.
  • the substances are not limited to the above-mentioned substances, and the following substances are also useful.
  • A is an integer from 1 to 3
  • b is an integer from 1 to 3
  • c is an integer from 0 to 10
  • d is an integer from 0 to 4
  • e is an integer from 2 to 4
  • L is an integer from 0 to 8
  • An integer m is an integer from 0-8, n is an integer from 0-8, and 3 ⁇ L + m + n ⁇ 8. At least one cyclic siloxane represented by).
  • RR 2 , R 3 , and R 4 may be the same or different and are each H, OH, C
  • A is an integer of 1 to 3
  • b is an integer of 1 to 3
  • c is an integer of 0 to 10
  • d is an integer of 0 to 4
  • e is an integer of 2 to 4.
  • R 5 , R 6 , R 7 , R 8 , R 9 , and R 10 may be the same or different and are each H, OH, CH or halogen
  • A is an integer from 1 to 3
  • b is an integer from 1 to 3
  • c is an integer from 0 to 10
  • d is an integer from 0 to 4
  • e is an integer from 2 to 4.
  • R 17 , R 18 , R 19 , R 2 °, R 21 , and R 22 may be the same or different and each is 11, OH, CH, CH, CH 0, CF (CF) (CH)
  • A is an integer from 1 to 3
  • b is an integer from 1 to 3
  • c is an integer from 0 to 10
  • d is an integer from 0 to 4.
  • Number, e is an integer from 2-4
  • X is 0, (CH), CH
  • YSiR 27 R 280 or NR 29 may be the same or different and are each H, OH, CH
  • 1 is an integer of 3
  • 3 is an integer of 0-10
  • d is an integer of 0-4
  • e is an integer of 2-4
  • f is an integer of 1-6
  • n is an integer of 1-10
  • CH represents 1
  • R 31 and R 32 may be the same or different and are each H or CH. )
  • At least one silicon compound At least one silicon compound.
  • R 33 , R 34 , R 35 , R 36 , R 37 , R 38 , R 39 , R 4 °, and R 41 may be the same or different from each other, and each of H, OH, CH
  • a raw material (predetermined reactive substance) for modifying the porous low-dielectric-constant film and forming another insulating film two, three, or four or more substances selected from the above-mentioned substances are used. Can be used in combination as appropriate.
  • the mixed gas may be stored in a gas source, or may be mixed in a shower head or a chamber.
  • the apparatus is not limited to a single wafer type apparatus, and a batch type apparatus can also be used.
  • a plurality of semiconductor wafers W are placed on the boat 401 between the upper electrode (electrode plate 133) and the lower electrode E of the chamber 112.
  • the above-described processing may be performed by introducing a force TMCTS gas such as a shower head or a gas introduction pipe.
  • the heater may be arranged on the side or the like.
  • the object to be processed is not limited to the semiconductor wafer W, but may be a glass substrate of a liquid crystal display device or a plasma display device, a circuit substrate of printed wiring, or the like.
  • the reactive substance used in the heat treatment step and the reaction used in forming the second insulating film are used. Since the materials are the same, the gas supply process can be simplified. In addition, since part of the processing performed in the film forming step (supply and heating of the reactive substance) is performed in the same manner as the processing performed in the heat treatment step, using the apparatus configuration used in the film forming step, The heat treatment step and the film forming step can be performed (although the invention is not limited to this), the configuration of the system can be simplified, and the control becomes easy.
  • the gas supply process can be simplified.
  • part of the processing performed in the film formation step supply and heating of 1,3,5,7-tetramethylcyclotetrasiloxane
  • the reforming step and the film forming step can be performed using the apparatus configuration to be used (not limited to this!), And the system configuration and the like can be simplified. , Control becomes easy.
  • the reactive material used in the heat treatment performed in the first chamber and the process of forming the second insulating film performed in the second chamber are performed. And the same reactive substance is used, and the gas supply system can be simplified. Further, the heat treatment performed in the first chamber overlaps with the heat treatment performed during the insulating film formation processing performed in the second chamber, so that the apparatuses and equipment can be shared.
  • the present invention can be applied to the manufacture of a semiconductor device, a liquid crystal display device, and the like, and a printed circuit board and the like.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 CVD装置(111)で、ヒータにより半導体ウエハWを加熱し、1,3,5,7−テトラメチルシクロテロラシロキサン(TMCTS)を導入し、高周波電圧を印加せずに、熱処理を行い、シリコンを含む多孔質低誘電率膜の改質処理を行う。続いて、同一のCVD装置(111)で、半導体ウエハWを加熱し、TMCTSを導入し、高周波電圧を印加して、TMCTSを含むガスのプラズマを生成し、多孔質低誘電率膜の上に密度・硬度の高い絶縁膜を形成する。

Description

絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方 法
技術分野
[0001] 本発明は、異なる種類の絶縁膜を効率良く成膜することが可能な成膜方法と成膜 装置等に関し、特に、低誘電率膜の改質と該低誘電率膜上への膜質の異なる絶縁 膜の形成に適した絶縁膜の形成方法と絶縁膜形成システムとに関する。
背景技術
[0002] 半導体集積回路において、処理速度を向上させるためには、 R'C時定数を低減す る必要がある。抵抗 Rは、抵抗率の低い銅を配線材として使用することにより低減され 、一方、静電容量 Cは層間絶縁膜として誘電率 Kの低い絶縁膜 (低誘電率膜)を使 用することにより低減される。また、低誘電率膜を使用することにより、クロストークを防 止することも可能となる。
[0003] 低誘電率の絶縁膜として、内部の空孔率を高めた多孔質絶縁膜が広く利用されて いる。しかし、多孔質絶縁膜は、その構造上、一般的に、強度が弱ぐ吸湿性が高い という問題がある。そのため、多孔質絶縁膜を疎水化、高強度化する改質手法が広く 検討されている。
[0004] 1, 3, 5, 7—テトラメチルシクロテトラシロキサン(1, 3, 5, 7-Tetra Methyl Cyclo
Tetra Siloxane:TMCTS)を用いてプラズマによる化学気相成長(CVD)を行い SiO C膜を成膜する技術が、例えば、米国特許第 6, 147, 009号明細書に開示されてい る。しかし、 CVD法を使用する場合、多孔質ィ匕が困難であるため、誘電率が極めて 低 、膜を形成することが難し 、と 、う問題がある。
[0005] また、銅配線は、ダマシン法により、低誘電率多孔質膜上に硬度及び密度の高 ヽ ハードマスクを形成した後、フォトリソグラフによりエッチングを行って、形成された溝 内に埋め込まれて形成される。また、溝内には、銅の拡散を防止するためのノリアメ タル層を形成して、銅配線を形成している。しかし、多孔質膜に関しては、バリアメタ ル層自体が拡散してしまう可能性がある。配線材料の多孔質膜中への拡散を防止す るためにシリコン酸ィ匕膜を設けることが、特開平 9— 298241号公報に開示されている 。以下、この酸ィ匕シリコン膜と同様の機能、即ち、配線材料 (金属)の拡散を防止でき る絶縁膜をシール膜と記述する。
[0006] 従来の成膜方法では、多孔質膜を改質して、多孔質膜とは膜質の異なる絶縁膜( ハードマスクやシール膜)をさらに堆積する場合には、異なるチャンバで異なる反応 物質を用いて多孔質絶縁膜を改質し、多孔質絶縁膜上に他の絶縁膜 (ハードマスク やシール膜)を形成しているので、装置構成が大きぐ工程数が多くなつてしまうとい う問題があった。
[0007] 同様の問題は、多孔質絶縁膜に限らず、低誘電率膜を改質し、その上に膜質の異 なる他の絶縁膜 (ハードマスク、シール膜、ノッシベーシヨン膜)等を形成する場合に も、発生していた。
発明の開示
[0008] 本発明は上記実状に鑑みてなされたもので、低誘電率膜を改質する処理と、改質 後の低誘電率膜上に膜質の異なる他の絶縁膜を形成する処理とを、効率良く実行で さるよう〖こすることを目的とする。
上記目的を達成するため、この発明の第 1の観点に係る絶縁膜の形成方法は、 第 1の絶縁膜を反応性物質を含む雰囲気中で加熱する熱処理工程と、 前記反応性物質を含むガスを用いた化学気相成長 (CVD)により、前記熱処理ェ 程にて熱処理された前記第 1の絶縁膜上に、第 2の絶縁膜を形成する成膜工程と、 を備えることを特徴とする。
[0009] 上記方法にお 、て、成膜工程は、例えば、熱処理工程で反応性物質を励起するよ りも高いエネルギーで前記反応性物質を励起することにより、化学気相成長を起こす 工程から構成される。
[0010] 上記方法にお!、て、例えば、熱処理工程では、実質的にプラズマを含まな ヽ雰囲 気中で第 1の絶縁膜が熱処理され、成膜工程では、前記反応性物質を含むガスのプ ラズマを生成して、化学気相成長(CVD)を行う。
[0011] 上記方法において、例えば、第 1の絶縁膜は、多孔質絶縁膜から構成され、熱処 理工程は、前記反応性物質を多孔質絶縁膜に結合させて該多孔質絶縁膜を改質す る改質工程から構成され、成膜工程は、前記反応性物質を含む雰囲気中に改質さ れた第 1の絶縁膜を配置し、該第 1の絶縁膜を加熱すると共に前記反応性物質を含 むガスのプラズマを生成して、前記第 1の絶縁膜上で前記反応性物質を化学気相成 長させる工程カゝら構成される。
[0012] 前記反応性物質は、例えば、常温常圧力で気体又は常温での蒸気圧が lPa— 10 1. 3kPa、望ましくは、 lOOPa— 101. 3kPaの反応性物質から構成される。
[0013] また、例えば、前記反応性物質は、シリコンと水素を含む物質力も構成される。
この場合、前記反応性物質は、シリコンと水素との結合を 2以上含む物質から構成さ れることが望ましい。
[0014] また、前記反応性物質は、シリコン原子の数が 1一 30、且つ、水素、酸素、窒素か ら得られる元素を含有する物質から構成されることが望ましい。
[0015] また、前記反応性物質は、例えば、
[化 1]
I—— (SiR"R120)L(SiR13R140)m(SiR15R16C¾—— I
(式中、 1、 R12、 R13、 R14、 R15、 R16は同一であっても異なっていてもよぐそれぞれ
H、 OH、 C H、 C H 、 C H 、 CF (CF ) (CH )、 C H またはハロゲン原
6 5 a 2a + l b 2b + l 3 2 c 2 d e 2e— 1
子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数、 Lは 0— 8の整数、 mは 0— 8の整数、 nは 0— 8の整数で、かつ 3≤ L+m+n≤8である。)で表される少なくとも 1種の環状シロキサン力 構成される。
[0016] なお、前記反応性物質は、これらに限定されず、例えば、
[化 2]
R2
R1 Si R3
R4
(式中、
Figure imgf000005_0001
R2、 R3、 R4は、同一であっても異なっていてもよぐそれぞれ H、 OH、 C H、 C H 、 C H 0、 CF (CF ) (CH )、 C H またはハロゲン原子を示し
5 a 2a + l b 2b + l 3 2 c 2 d e 2e— 1
、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4 の整数である。)で表される少なくとも 1種のケィ素化合物力 構成されるものも使用 可能である。
また、前記反応性物質は、例えば、
[化 3]
R6 R8
R5- Si Si -R 10
R7 R9
(式中、 R5、 R6、 R7、 R°、 R9、 R10は、同一であっても異なっていてもよぐそれぞれ H 、 OH、 C H、 C H 、 C H 0、 CF (CF ) (CH )、 C H またはハロゲン原
6 5 a 2a + l b 2b + l 3 2 c 2 d e 2e— 1
子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数である。 )で表される少なくとも 1種のケィ素化合物力 構成されるも のも使用可能である。
また、前記反応性物質は、例えば、
[化 4]
R 18 R20
R 17- Si . X Si
R 19 R21
(式中、 R17、 R18、 R19、 R2°、 R21、 R22は、同一であっても異なっていてもよぐそれぞ れ11、 OH、 C H、 C H 、 C H 0、 CF (CF ) (CH )、 C H またはハロゲ
6 5 a 2a+ l b 2b + l 3 2 c 2 d e 2e— 1 ン原子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整 数、 eは 2— 4の整数であり、 Xは、 0、 (CH )、 C H、 (OSiR23R24) 0、 OSiR25R26
YSiR R O、または NR であり、 RA RA\ R , RA R , R は互いに同一 でも異なっていてもよぐそれぞれ H、 OH、 C H
6 5、 C H
a 2a+ l、 C H 0
b 2b+ l 、 CF (CF )
3 2 (CH ) , C H 、ハロゲン原子または OSiR R R を示し、 aは 1一 3の整数、 bは c 2 d e 2e-l
1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数、 fは 1一 6の整 数、 nは 1一 10の整数であり、 Yは(CH ) , C Hを示し、 mは 1一 6の整数であり、 R
2 m 6 4
3°、 R31、 R32は同一でも異なっていてもよぐそれぞれ Hまたは CHである。)で表され
3
る少なくとも 1種のケィ素化合物力 構成されるものも使用可能である。
[0019] また、前記反応性物質は、例えば、
[化 5]
I (SiR33R34 NR35)L(SiR36R37 NR38)m(SiR39R40 NR41). 1
(式中、 R33、 R34、 R35、 R36、 R37、 R38、 R39、 R4、 R41は、互いに同一でも異なってい てもよく、それぞれ H、 OH、 C H
6 5、 C H
a 2a + l、 C H 0
b 2b + l 、 CF (CF ) (CH )
3 2 c 2 d、 C H e またはハロゲン原子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整
2e-l
数、 dは 0— 4の整数、 eは 2— 4の整数であり、 Lは 0— 8の整数、 mは 0— 8の整数、 n は 0— 8の整数、かつ 3≤L+m+n≤8である。)で表される少なくとも 1種の環状シラ ザン力 構成されるものも使用可能である。
[0020] また、前記反応性物質は、例えば、 1, 3, 5, 7—テトラメチルシクロテロラシロキサン である。
[0021] 第 1の絶縁膜はシリコンを含む多孔質絶縁膜から構成され、前記反応性物質は、 1
, 3, 5, 7—テトラメチルシクロテロラシロキサン力も構成されてもよい。
[0022] 第 1の絶縁膜は、半導体装置の層間絶縁膜を構成し、第 2の絶縁膜は、第 1の絶縁 膜よりも硬度と密度の少なくとも一方が高い絶縁層を構成することができる。
[0023] 熱処理工程は、熱処理時間の経過と共に雰囲気中の前記反応性物質の平均分子 量を (例えば連続的に段階的に)変化させる工程を含んでもよい。
[0024] 熱処理工程による処理対象の第 1の絶縁膜は、スピン塗布法により形成された多孔 質絶縁膜又は化学気相成長 (CVD)により形成された多孔質絶縁膜から構成されて ちょい。
[0025] この発明の第 2の観点に係る絶縁膜の形成方法は、
シリコンを含む多孔質膜を 1, 3, 5, 7—テトラメチルシクロテロラシロキサンを含む雰 囲気中に配置して加熱し、該多孔質膜を改質する改質工程と、
改質された前記多孔質膜を 1, 3, 5, 7—テトラメチルシクロテロラシロキサンを含む 雰囲気中に配置し、前記 1, 3, 5, 7—テトラメチルシクロテロラシロキサンを含むガス を用いたィ匕学気相成長により、前記多孔質膜上に、前記改質された多孔質膜よりも 硬度と密度の少なくとも一方が高い絶縁膜を形成する成膜工程と、
を備えることを特徴とする。
[0026] 改質工程では、 1, 3, 5, 7—テトラメチルシクロテロラシロキサンを含む雰囲気ガス のプラズマを生成させず、成膜工程では、 1, 3, 5, 7—テトラメチルシクロテロラシロキ サンを含むガスのプラズマを生成して化学気相成長を行うようにしてもよい。
[0027] 上記目的を達成するため、この発明の第 3の観点に係る絶縁膜形成システムは、 第 1のチャンバと、前記第 1のチャンバ内に配置され被処理体を支持する第 1の支 持体と、前記第 1の支持体により支持された被処理体を加熱する第 1のヒータと、前 記第 1のチャンバ内に所定の反応性物質を含むガスを供給する第 1のガス供給手段 と、第 1の支持体上に第 1の絶縁膜が配置された状態で、前記第 1のガス供給手段に より前記第 1のチャンバ内に前記反応性物質を含むガスを導入すると共に前記第 1 のヒータにより前記第 1の絶縁膜を加熱する第 1の制御手段と、第 2のチャンバと、前 記第 2のチャンバ内に配置され被処理体を支持する第 2の支持体と、前記第 2の支 持体により支持された被処理体を加熱する第 2のヒータと、前記第 2のチャンバ内に 前記反応性物質を含むガスを供給する第 2のガス供給手段と、第 2の支持体上に前 記第 1の絶縁膜が支持された状態で、前記第 2のガス供給手段により前記第 2のチヤ ンバ内に前記反応性物質を含むガスを導入し、前記第 1の絶縁膜上に前記反応物 質からの生成物を含む第 2の絶縁膜を形成する第 2の制御手段と、を備えることを特 徴とする。
[0028] 第 2のチャンバ内に前記反応性物質を含むガスのプラズマを生成するプラズマ生 成手段を更に配置し、第 2の制御手段は、第 2の支持体上に第 1の絶縁膜が配置さ れた状態で、第 2のガス供給手段により第 2のチャンバ内に前記反応性物質を含む ガスを導入し、第 2のヒータにより第 1の絶縁膜を加熱し、さら〖こ、プラズマ生成手段に より前記反応性物質を含むガスのプラズマを生成することにより、第 1の絶縁膜上に 前記反応性物質からの生成物を含む第 2の絶縁膜を形成するようにしてもょ 、。
[0029] 第 1と第 2のチャンバは物理的に一つのチャンバから構成され、第 1の第 2の支持体 は物理的に一つの支持体力 構成され、第 1と第 2のヒータとは、物理的に一つのヒ ータから構成され、第 1と第 2の制御手段は、物理的に共通の制御部から構成され、 チャンバは、該チャンバに導入されたガスに高周波電界を印加する高周波電界印加 手段を備え、制御部は、熱処理時にはガスに高周波電界を印加せず、成膜時には、 高周波電界を印加するように高周波電界印加手段を制御する手段を備え、 1台で第 1の絶縁膜の改質と改質された第 1の絶縁膜上への第 2の絶縁膜の形成が可能であ るようにしてちょい。
図面の簡単な説明
[0030] [図 1]本発明の実施の形態にカゝかる成膜装置のシステム構成を示す図である。
[図 2]図 1に示す CVD装置の一例を示す図である。
[図 3]本発明の第 1の実施の形態に力かるプロセスのレシピの例を示す図である。
[図 4]本発明の第 1の実施の形態に力かるプロセスの工程を説明するための結果物 の断面図である。
[図 5]本発明の第 2の実施の形態に力かるプロセスの工程を説明するための結果物 の断面図である。
[図 6]本発明の第 3の実施の形態に力かるプロセスの工程を説明するための結果物 の断面図である。
[図 7]図 1に示す CVD装置の他の例を示す図である。
符号の説明
[0031] 11 成膜装置
12 スピン塗布室
13 CVD装置
14 搬送室
15 搬入出室
17 搬送アーム
100 制御部 112 チャンノ
116 サセプタ
117 ヒータ
131 シャワーヘッド
135 ガス導入管
139 高周波電源
140 整 器
211 多孔質低誘電率膜
213 ノヽードマスク
225 銅配線
231 配線孔
234 銅配線
227 多孔質低誘電率膜
228 ノヽードマスク
311 多孔質低誘電率膜
発明を実施するための最良の形態の説明
[0032] (第 1の実施の形態)
本発明の実施の形態に力かる成膜装置 11について、以下図面を参照して説明す る。
[0033] 成膜装置 11は、図 1に示すように、スピン塗布室 12と、複数(図では 3つ)の CVD 室 13 (13— 13 )と、搬送室 14と、搬入出室 15と、搬送レール 16と、搬送アーム 17
1 3
と、制御部 100と、を備える。
[0034] スピン塗布室 12は、ゲート(ゲートバルブ) 21を介して搬送室 14に接続されており、 内部に、スピン塗布 (コータ)装置 101が載置されており、回転テーブル上に半導体 ウェハを載置し、半導体ウェハを高速回転させつつ、該半導体ウェハ上にポーラス シリカ膜形成材料を滴下して、ほぼ均一な厚さのポーラスシリカ膜を形成する。
[0035] CVD¾13 (13一 13 )は、それぞれ、ゲート 22 (22— 22 )を介して搬送室 14に 接続されており、内部に、 CVD (Chemical Vapor Deposition)装置 111が配置されて いる。
[0036] 各 CVD装置 111は、いわゆる平行平板型プラズマ CVD装置であり、図 2に示すよ うに、円筒形状のチャンバ 112を有する。チャンバ 112は、アルマイト処理(陽極酸ィ匕 処理)されたアルミニウム等の導電性材料力も構成される。
[0037] チャンバ 112の底部には排気口 113が設けられている。排気口 113には、ターボ分 子ポンプなどの真空ポンプを備える排気装置 114が接続されている。排気装置 114 は、チャンバ 112内を所定の圧力まで排気する。また、チャンバ 112の側壁にはゲー ト 22 (22— 22 )が設けられている。ゲート 22を開放した状態で、チャンバ 112と外 部 (搬送室 14)との間でウェハ Wの搬入出がなされる。
[0038] チャンバ 112の底部には略円柱形状のサセプタ支持台 115が設けられている。サ セプタ支持台 115の上には、ウェハ Wの載置台(支持台)としてのサセプタ 116が設 けられている。サセプタ 116は、アルミナ等の絶縁性セラミック等力も構成され、内部 に下部電極 Eが配置されて 、る。
[0039] サセプタ支持台 115の内部には、ヒータ 117が設けられている。ヒータ 117はヒータ コントローラ 118による通電により発熱し、サセプタ 116及びウエノ、 Wは所望の温度 に制御される。
[0040] サセプタ 116には、半導体ウェハ Wの受け渡しをするためのリフトピン 119が設けら れており、リフトピン 119はシリンダ(図示せず)により昇降可能となっている。
[0041] サセプタ 116内に埋設された下部電極 Eには、第 1の高周波電源 120が第 1の整 合器 121を介して接続されている。第 1の高周波電源 120は 0. 1— 13MHzの範囲 の周波数を有している。第 1の高周波電源 120には、直流電源 122が直列に接続さ れている。
[0042] これにより、下部電極 Eには、直流電圧が印加され、静電チャックとして機能する。
[0043] サセプタ 116の上方には、サセプタ 116と平行に対向してシャワーヘッド 131が設 けられている。
[0044] シャワーヘッド 131のサセプタ 116に対向する面には、多数のガス穴 132を有する 電極板 133が設けられている。シャワーヘッド 131は、電極支持体 134により、チャン ノ 112の天井部分に支持されている。 [0045] シャワーヘッド 131にはガス導入管 135が接続されている。ガス導入管 135は、 TM CTS (1, 3, 5, 7—テトラメチルシクロテロラシロキサン)ガス源 136と、不活性ガス源( 例えば、ヘリウム (He)ガス源、アルゴン (Ar)ガス源、窒素(N )ガス源) 137、その他
2
の添カ卩ガス(例えば、 O、 N 0、 NH、 H等)のガス源 138に、図示しないマスフロー
2 2 3 2
コントローラ、バルブ等を介して接続されている。
[0046] 各ガス源 136— 138からの処理ガスは、ガス導入管 135を介してシャワーヘッド 13 1の内部に形成された中空部(図示せず)に混合されて供給される。シャワーヘッド 1 31内に供給されたガスは、中空部で拡散され、シャワーヘッド 131のガス穴 132から チャンバ 112内のウェハ Wの表面領域に供給される。
[0047] シャワーヘッド 131には、第 2の高周波電源 139が接続されており、その給電線に は第 2の整合器 140が介在されている。第 2の高周波電源 139は、 13MHz— 2. 45 GHzの範囲の周波数を有しており、このように高い周波数を印加することにより、シャ ヮーヘッド 131は上部電極として機能し、チャンバ 112内に好まし 、解離状態でかつ 高密度のプラズマを形成する。
[0048] 搬送室 14は、スピン塗布室 12と各 CVD室 13とを連結し、スピンコート済の半導体 ウェハ Wをスピン塗布室 12から CVD室 13の!、ずれかの CVD装置 111に搬送する ために設けられている。搬送室 14には搬送レール 16に疎って移動可能な搬送ァー ム 17が備えられている。搬送アーム 17は、搬送室 14内を動いて半導体ウェハ Wを 搬送する。
[0049] 搬入出室 15は、この成膜装置 11に外部力も処理対象である半導体ウェハ Wの搬 入出を行うために設けられている。処理対象の半導体ウェハ Wは、ゲート 24を開い て搬入出室 15に搬入され、ゲート 24を閉じて、ゲート 23を開いた状態で、搬送ァー ム 17によりピックアップされる。また、処理済の半導体ウェハ Wは、ゲート 23を開いて 、搬送アーム 17より搬送室 14から搬入出室 15に搬入され、ゲート 23を閉じて、ゲー ト 24を開いた状態で、外部に搬出される。
[0050] 制御部 100は、マイクロプロセッサ及び制御メモリ等を備えるプロセスコントローラか ら構成され、この成膜装置 11全体の動作を制御する。制御部 100は、搬送アーム 17 による半導体ウェハ Wの搬送、ゲート 21, 22, 23, 24の開閉、スピン塗布装置 101 によるスピンコート処理、 CVD装置 111による多孔質低誘電率膜の改質処理及び多 孔質低誘電率膜上へのハードマスク層の成膜処理とを制御する。
[0051] 次に、上記構成の成膜装置 11による絶縁膜の形成方法を説明する。
[0052] この成膜装置 11による、成膜処理は概略的には、図 3の手順図に示すように、 6つ のステップ力も構成される。ステップ S 1で被処理体である半導体ウェハ Wを搬入し、 ステップ S2でスピン塗布装置 101により多孔質低誘電率膜原料の溶液のスピン塗布 処理を行う。ステップ S3でスピン塗布装置 101から CVD装置 111へ半導体ウェハ W を搬送する。ステップ S4で CVD装置 111により多孔質低誘電率膜の改質処理を行 い、ステップ S5で CVD装置 111によりハードマスクを形成する。ステップ S6で処理 済の半導体ウェハ Wを搬出する。
[0053] 以下、各ステップをより詳細に説明する。
なお、以下の手順は、内部に記憶しているプロセス制御プログラムに基づいて、す ベて制御部 100が実行する。ただし、理解を容易にするため、制御部 100に逐一言 及することは避けることとする。
[0054] ステップ S1 :
ゲート 24を開き、処理対象である半導体ウェハ Wを搬入出室 15に搬送し、ゲート 2 4を閉じる。ゲート 23を開き、搬送アーム 17により半導体ウエノ、 Wをピックアップし、こ れを搬送し、ゲート 21を開いて、スピン塗布室 12内のスピン塗布装置 101のターン テーブル上に半導体ウェハ Wを載置する。
[0055] ステップ S 2 :
ターンテーブルを回転し、所定回転速度になると、所定量の多孔質低誘電率膜原 料の溶液を、半導体ウェハ Wの上面に滴下しての原料溶液の塗布膜を形成しつつ、 一定時間回転を続ける。図 4 (A)に示すように、塗布膜が乾燥されて、所望の厚さの 多孔質低誘電率膜 211が半導体ウェハ Wの上に形成されると、ターンテーブルの回 転を停止する。
[0056] 多孔質低誘電率膜原料には、公知のシリカ化合物が使用できる他、例えば、炭素 C、水素 H、窒素 N、フッ素 F等の元素が含まれてもよい。すなわち、形成された多孔 質低誘電率膜 211は、シリコン Siと酸素 Oの他に、例えば、炭素 C、水素 H、窒素 N、 フッ素 F等の元素を含んで構成される。
[0057] ステップ S3 :
ゲート 22を開き、多孔質低誘電率膜 211が塗布'形成された半導体ウェハ Wをタ ーンテーブル上力も搬送アーム 17により取り出す。ゲート 22を閉じ、その時点で空い ている、 CVD室 13内の CVD装置 111のサセプタ 116の突出しているリフトピン 119 上に載置する。
[0058] 続いて、ゲート 22を閉じる。
[0059] ステップ S4 :
リフトピン 119を下げて、半導体ウェハ Wをサセプタ 116上に載置する。 続いて、ヒータコントローラ 118を介してヒータ 117に通電し、サセプタ 116と半導体 ウェハ Wとを、例えば、 200°C— 500°C、望ましくは、 400°C近傍まで加熱する。 その一方で、チャンバ 112内の圧力を lOPa— 100kPa、望ましくは、 24kPa程度ま で減圧する。
[0060] さらに、 TMCTSZ希釈ガス(ここでは、 Nガス) =0. 001—1. 0程度、望ましくは
2
、 0. 005近傍に設定し、 TMCTSガスをチャンバ 112内に供給する。
[0061] この状態を 0. 5分一 3時間(望ましくは、 2分程度)維持することにより、図 4 (B)に示 すように、多孔質低誘電率膜 211の改質 (疎水化、高強度化)を行う。
[0062] ステップ S 5 :
続いて、ヒータコントローラ 118を介してヒータ 117に通電し、サセプタ 116と半導体 ウエノ、 Wとを、例えば、 200°C— 500°C、望ましくは、 400°C近傍の温度に維持する その一方で、チャンバ 112内の圧力を 0. lPa— 100Pa、望ましくは、 5Pa程度まで 減圧する。
[0063] 続いて、 TMCTSZ希釈ガス(ここでは、 Heガス) =0. 001—1. 0程度、望ましく は、 0. 005近傍に設定し、 TMCTSガスをチャンバ 112内に供給する。
[0064] さらに、下部電極 Eと上部電極(電極板 133)とに、合計で 1W— 1000W、望ましく は、 50W近傍の電力を供給して、プラズマを生成し、この状態を 0. 5分一 1時間維持 する。これにより、プラズマにより TMCTSのラジカルやイオン等の活性種が発生し、 これらが半導体ウェハ wの表面近傍で重合しつつ、その生成物が多孔質低誘電率 膜 211上に堆積される。すなわち、図 4 (C)に示すように、 SiOC力 構成されるハー ドマスク 213が CVD法により多孔質低誘電率膜 211上に形成される。
[0065] このようにして形成されたハードマスク 213は、多孔質低誘電率膜 211よりも、硬度 と密度が大きぐ多孔質低誘電率膜 211との密着性も良好である。
[0066] ステップ S6 :
成膜処理を所望の時間継続すると、高周波電力の供給を停止し、ヒータ 117をオフ し、ガスの供給を停止する。チャンバ 112の内部をパージして常圧に戻し、リフトピン 119をアップする。
[0067] 続いて、ゲート 22を開き、搬送アーム 17により処理済の半導体ウエノ、 Wを CVD装 置 111から取り出す。ゲート 23を開き、搬入出室 15まで搬送し、ゲート 24を開いて、 成膜装置 11から搬出する。
[0068] 以上説明したように、本実施の形態によれば、 1つの CVD装置 111と同一の反応 性物質 (TMCTS)とを用いて、多孔質低誘電率膜 211の改質 (疎水化、高強度化) とハードマスク 213の形成処理とを行うことができる。
[0069] なお、希釈ガスの種類は、上記実施の形態に限定されず任意である。また、必要に 応じて、適当な種類の添加ガスを添加ガス源 138からチャンバ 112内に供給するよう にしてもよい。
[0070] (第 2の実施の形態)
第 1の実施の形態においては、同一の反応性物質を用いて、多孔質低誘電率膜 2
11の改質とハードマスク 213の形成処理とを行う例を説明した力 この発明はこれに 限定されず、種々の変形及び応用が可能である。
[0071] 以下、ダマシン法を用いた銅配線用の多孔質低誘電率層間絶縁膜の改質と銅配 線及びバリアメタル層の拡散防止用のシール層の形成とを、同一の反応性物質 (T
MCTS)と同一の CVD装置とを用いて行う実施の形態を説明する。
[0072] ステップ S11 :
処理対象である半導体ウェハ Wをスピン塗布装置 101に搬送する。
ここで、半導体ウェハ wには、ダマシン法により半導体ウェハ W上に形成された絶 縁膜 221上にハードマスク 222が形成され、これらに配線溝 223が形成され、配線溝 223の内面にノリアメタル層 224が形成され、さらに、ノリアメタル層 224の内側に銅 配線 225が形成されている。また、ハードマスク 222上にはエッチストッパ膜 226が形 成されている。
[0073] この構造物上に、スピン塗布装置 101により、第 1の実施の形態と同様にして、例え ば、多孔質無機シリカ、多孔質有機シリカ等からなる多孔質低誘電率膜 227を形成 する。さら〖こ、多孔質低誘電率膜 227上に、 CVD等によりハードマスク 228を形成し 、図 5 (A)に断面を示す構造体を製造する。
[0074] ステップ S12 :
上記構造体を成膜装置 111内に配置されて 、る図示せぬフォトリソグラフ装置及び エッチング装置に搬送し、フォトリソグラフ処理とエッチング処理を行う。エッチング処 理では、エッチストッパ膜 226までエッチングして、図 5 (B)に示すように、配線孔 231 を形成する。続いて、図 5 (B)に示す構造体を洗浄装置に移して、洗浄処理を行い、 エッチング残渣を除去する。
[0075] ステップ S13 :
上記構造体を CVD装置 111に搬送し、第 1の実施の形態と同様にして、 TMCTS を含む雰囲気における熱処理により、図 5 (C)に示すように、多孔質低誘電率膜 227 の改質処理を行う。
[0076] ステップ S 14 :
第 1の実施の形態と同様にして、 TMCTSを含む雰囲気で行うプラズマを利用した CVD法により、図 5 (D)に示すように、配線孔 231の少なくとも内面に、高密度'高硬 度でノリアメタルの拡散を防止可能なシール膜 232を形成する。
[0077] ステップ S15 :
異方性気相エッチングにより、図 5 (E)に示すように、シール膜 232の底面部分とェ ツチストッパ膜 226とをエッチングし、銅配線 225の上面を露出する。
[0078] ステップ S 16 :
図 5 (F)に示すように、配線孔 231の内面 (側壁)にノリアメタル層 233を形成し、銅 配線 234を形成する。 [0079] 以上説明したように、この実施の形態によれば、バリアメタル層上に多孔質低誘電 率膜 227が形成され、配線孔が形成された半導体装置に、 1つの CVD装置と同一 の反応性物質 (TMCTS)とを用いて、多孔質低誘電率膜 227の改質 (疎水化、高強 度化)とシール膜 232の形成処理とを行うことができる。
[0080] また、この成膜方法によれば、多孔質シリカ膜の TMCTS処理が厚さ方向に均一 になされることが期待でき、ポーラスシリカ膜全体の高強度化が可能となる。
[0081] 上記実施の形態によれば、 1回目のエッチングでは、エッチストッパ膜までエツチン グを行っていないため、 1回目の洗浄時には、銅を含むエッチング残渣物質を除去 する必要がない。通常、多孔質膜の洗浄には、多孔質膜の薬液耐性と薬液の銅除 去性能の両立が求められるため、使用可能な洗浄液は限定される。しかし、本手法 によれば、 1回目の洗浄時には銅残渣の剥離性能は求められないため、種々の洗浄 液の使用が可能となる。
[0082] また、 2回目のエッチング後の洗浄では、多孔質膜は TMCTSを用いた CVD法に より形成されたシール膜で保護されている。シール膜の洗浄薬液耐性は、多孔質膜 の薬液耐性より優れているため、多孔質膜は洗浄液力も保護される。
[0083] さらに、多孔質膜がシール膜によりシールされているため、ノ リアメタル成膜時に金 属原料が多孔質膜に拡散しにくくなる。
[0084] 上記実施の形態では、図 5において、配線溝 223と配線孔 231とを積層する例を示 したが、配線孔を下層に配線溝を上層に配置する構成にも適用可能である。また、 シンプルダマシンでなぐデュアルダマシン法にも適用可能である。さらに、下層の絶 縁膜 221に形成された配線溝 223の側壁にシール膜を配置してもよい。
[0085] (第 3の実施の形態)
この発明は上記第 1、第 2の実施の形態に限定されず、さらに種々の変形が可能で ある。
例えば、多孔質膜の改質、表面領域の空孔をふさぐことによる疎水処理、さらに他 の膜の形成という一連の工程に適用することも可能である。
[0086] この実施の例を図 6に示す多孔質低誘電率膜の断面モデルを用いて説明する。
[0087] まず、図 6 (A)に示すように、基板上に多孔質低誘電率膜 311を形成する。 [0088] 次に、第 1の実施の形態と同様にして、 CVD装置 111により TMCTSを用いた熱 処理を行って、図 6 (B)に示すように、多孔質低誘電率膜 311内の空孔の内部に T MCTSモノマ又は重合度の小さい(平均分子量が相対的に小さい) TMCTSポリマ を付着させて、改質処理を行う。
[0089] 続いて、雰囲気ガスとして TMCTSを含むガスを用いて、 CVD装置 111のヒータに より基板全体を熱処理工程と同程度に加熱すると共に、下部電極 Eと電極板 133と に弱い高周波電力を印加する。ここで、高周波電力は、第 1の実施の形態における 電力よりも 1一 2桁程度低下される。これにより、図 6 (C)〖こ模式的に示すように、重合 度が中程度(平均分子量が相対的に中程度)の TMCTSポリマが、多孔質膜内の空 孔のネットワークの局所的に狭くなつている部分を塞ぎ、多孔質低誘電率膜 311の表 面近傍領域をシールする。
[0090] 次に、第 1の実施の形態と同様にして、雰囲気ガスとして TMCTSを含むガスを用 いて、 C VD装置 111のヒータにより基板全体を熱処理工程と同程度に加熱すると共 に、下部電極 Eと電極板 133とに合計で 50W近傍の高周波電力を印加する。これに より、図 6 (D)に示すように、重合度の高い(平均分子量が相対的に大きい)ポリマを 多孔質膜上に堆積し、絶縁膜 313を形成する
[0091] 以上説明したように、この実施の形態によれば、 1つの CVD装置 111と同一の反応 性物質 (TMCTS)とを用いて、多孔質低誘電率膜の改質 'シール、該多孔質低誘電 率膜上への他の絶縁膜の形成を行うことができる。
[0092] なお、この例では、多孔質低誘電率膜の改質処理、表面近傍のシール処理、絶縁 膜の形成処理の順に加えるエネルギーを段階的に大きくする例を示した。しかし、加 えるエネルギーを連続的に徐々に増加するようにしてもよい。さらに、高周波電力以 外に、 TMCTSの濃度 (流量比)、半導体ウェハ Wの温度等の条件を連続的に変更 して、 TMCTS活性種のサイズを制御することにより、上記の改質処理、シール処理 、膜形成処理を連続的に行うようにすることもできる。
[0093] (変形例)
上記実施の形態においては、絶縁膜 (ノヽードマスク、シール膜)をプラズマ CVDに より成膜したが、熱 CVDにより成膜することも可能である。この場合には、チャンバ内 に高周波電界を印加するための構成、即ち、電極、高周波電源、整合器などを配置 する必要はない。代わりに、熱 CVDを実現できる程度のヒータとヒータコントローラを 配置する。
[0094] 上記実施の形態では、低誘電率膜は、スピン塗布装置 101を用いて形成されると 説明したが、 CVD装置を用いて形成された多孔質低誘電率膜であってもよい。 CV D装置のチャンバに供給する原料ガスとしては、例えば、環状シロキサン、環状シラ ザン等の環状シリコン化合物ガスが使用可能である。また、環状シリコン化合物ガス の他に、 O、 O、 CO、 CO、 N O等の酸素含有物質を原料に使用してもよい。さら
2 3 2 2
に、シリコン化合物ガスと熱的に不安定な物質を CVD装置のチャンバに供給して成 膜した後、成膜温度より高い温度に加熱することで熱的に不安定な物質を除去する ことによって形成した多孔質低誘電率膜であってもよい。
[0095] 多孔質低誘電率膜は、上記実施の形態で示した Siと Oを含む構成に限定されな ヽ
。また、低誘電率膜は、多孔質、非多孔質のいずれでもあり得る。
[0096] 低誘電率膜の材質としては、
1) 構成元素として少なくとも Siと Oを含む、非多孔質の薄膜。
Siと Oの他に C、 H、 N、 Fの元素が一つ以上含まれていても良い。
2) 構成元素として、少なくとも Cと Hを含む有機薄膜。
Cと Hの他に 0、 F、 Nの元素が一つ以上含まれていても良い。
等が使用可能である。
[0097] さらに、 TMCTS以外の多孔質シリカ膜を改質可能な物質でしかも化学気相成長 の原料となり材料としては、以下のようなものがあり、これらを使用することも可能であ る。
1) TMCTSと同等の効果の発現が期待できる材料
Si - H結合を分子内に 2個以上含む物質、例えば、
モノシラザン、ジシラン、ジメチルシラン、ジクロロシラン、テトラエチルシクロテトラ シロキサン、 1, 2, 3—トリェチル—2, 4, 6—トリメチルシクロトリシラザン、 1, 2, 3, 4, 5 , 6—へキサメチルシクロトリシラザン、モノメチルシラン、等である。
2) また、 TMCTSの疎水化効果と同等な効果が期待できる材料として、 へキサメチルジシラザン、へキサメチルジシラン、へキサメチルジロキサン、トリメチ ルシラン、テトラメチルシラン、ジメチルジメトキシシラン、オタタメチルシクロテトラシ口 キサン、トリメトキシメチルシラン、へキサェチルジシラザン、へキサフエ-ルジシラザ ン、ヘプタメチルジシラザン、ジプロピルーテトラメチルジシラザン、ジー n—ブチルーテト ラメチルジシラザン、ジー n—才クチルーテトラメチルジシラザン、ジビ-ルーテトラメチル ジシラザン、 1, 1, 3, 3, 5, 5—へキサメチルシクロトリシラザン、へキサェチルシクロト リシラザン、へキサフエ-ルシクロトリシラザン、オタタメチルシクロテトラシラザン、オタ タエチルシクロテトラシラザン、テトラエチルーテトラメチルシクロテトラシラザン、テトラ フエニルジメチルジシラザン、ジフエ-ルーテトラメチルシラザン、トリビ-ルートリメチル シクロトリシラザン、テトラビ-ルーテトラメチルシクロテトラシラザン、等の物質を使用 することも可會である。
3) また、 TMCTS効果のうち、高強度化に重点をおきたい場合には、テトラエトキ シシラン等を使用することも可能である。
[0098] また、ガス化の観点からは、シリコン原子の数が 1一 30、望ましくは、 1一 12程度、さ らに望ましくは、 TMCTSのように 4個以下であり、且つ、水素、酸素、窒素から選ば れた元素を含有する物質であることが望ましい。さらに、常温常圧で気体、又は、常 温での蒸気圧が、 lPa— 101. 3kPa、望ましくは lOOPa— 101. 3kPaという特性を 有する物質が望ましい。
[0099] また、上述の物質に限定されず、以下に示す物質も有用である。
[0100] [化 1]
Figure imgf000020_0001
(式中、 1、 R12、 R13、 R14、 R15、 R16は同一であっても異なっていてもよぐそれぞれ
H、 OH、 C H、 C H 、 C H 、 CF (CF ) (CH )
6 5 a 2a + l b 2b + l 3 2 c 2 d、 C H またはハロゲン原 e 2e— 1
子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数、 Lは 0— 8の整数、 mは 0— 8の整数、 nは 0— 8の整数で、かつ 3≤ L+m+n≤8である。)で表される少なくとも 1種の環状シロキサン。 [0101] [ィ匕 2]
R2
R1 Si R3
R4
(式中、 R R2、 R3、 R4は、同一であっても異なっていてもよぐそれぞれ H、 OH、 C
6
H、 C H 、 C H 0、 CF (CF ) (CH )、 C H またはハロゲン原子を示し
5 a 2a + l b 2b + l 3 2 c 2 d e 2e— 1
、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4 の整数である。)で表される少なくとも 1種のケィ素化合物。
[0102] [化 3]
R6 R8
R5- Si Si -R 10
R7 R9
(式中、 R5、 R6、 R7、 R8、 R9、 R10は、同一であっても異なっていてもよぐそれぞれ H 、 OH、 C H またはハロゲン
6 5、 C H
a 2a + l、 C H 0
b 2b + l 、 CF (CF ) (CH )
3 2 c 2 d、 C H 原 e 2e— 1
子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数である。 )で表される少なくとも 1種のケィ素化合物。
[化 4]
R 18 R20
R 17- Si . X Si — R22
R 19 R21
(式中、 R17、 R18、 R19、 R2°、 R21、 R22は、同一であっても異なっていてもよぐそれぞ れ11、 OH、 C H、 C H 、 C H 0、 CF (CF ) (CH )
6 5 a 2a+ l b 2b + l 3 2 c 2 d、 C H またはハロゲ e 2e— 1
ン原子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整 数、 eは 2— 4の整数であり、 Xは、 0、 (CH )、 C H
6 4、 (OSiR23R24) 0
n 、 OSiR25R26
2 f
YSiR27R280、または NR29であり、 R23、 R24, R25、 R26、 R2 R28、 R29は互いに同一 でも異なっていてもよぐそれぞれ H、 OH、 C H
6 5、 C H
a 2a+ l、 C H 0
b 2b+ l 、 CF (CF )
3 2
(CH ) , C H 、ハロゲン原子または OSiR3GR31R32を示し、 aは 1一 3の整数、 bは c 2 d e 2e-l
1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数、 eは 2— 4の整数、 fは 1一 6の整 数、 nは 1一 10の整数であり、 Yは(CH ) , C Hを示し、 mは 1
2 m 6 4 一 6の整数であり、 R
3°、 R31、 R32は同一でも異なっていてもよぐそれぞれ Hまたは CHである。)で表され
3
る少なくとも 1種のケィ素化合物。
[0104] [化 5]
I (SiR33R34 NR35)L(SiR36R37 NR38)m(SiR39R40 NR41) 1
(式中、 R33、 R34、 R35、 R36、 R37、 R38、 R39、 R4°、 R41は、互いに同一でも異なってい てもよく、それぞれ H、 OH、 C H
6 5、 C H
a 2a + l、 C H 0
b 2b + l 、 CF (CF ) (CH )
3 2 c 2 d、 C H e またはハロゲン原子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整
2e-l
数、 dは 0— 4の整数、 eは 2— 4の整数であり、 Lは 0— 8の整数、 mは 0— 8の整数、 n は 0— 8の整数、かつ 3≤L+m+n≤8である。)で表される少なくとも 1種の環状シラ ザン。
[0105] なお、多孔質低誘電率膜の改質及び他の絶縁膜を形成するための原料 (所定の 反応性物質)として、上述の物質のうちから選択した 2、 3又は 4以上の物質を適宜組 み合わせて、使用することも可能である。複数のガスの混合は、混合したガスをガス 源に蓄えておいてもよぐ或いは、シャワーヘッド内やチャンバ内で混合するようにし てもよい。
[0106] また、装置構成やプロセスも、上記実施の形態に限定されない。
例えば、枚葉方式の装置に限定されず、バッチ式の装置を使用することも可能であ る。この場合には、例えば、図 7に示すように、チャンバ 112の上部電極 (電極板 133 )と下部電極 Eとの間に、ボート 401に載置して複数の半導体ウエノ、 Wを載置し、シャ ヮーヘッド又はガス導入管など力 TMCTSガスを導入して、上述の処理を行うよう にしてもよい。また、ヒータは、側方等に配置してもよい。 [0107] 更に、被処理体も半導体ウェハ Wに限らず、液晶表示装置やプラズマディスプレイ 装置のガラス基板、プリント配線の回路基板等でもよい。
[0108] 以上説明したように、この発明の第 1の観点に係る絶縁膜の形成方法によれば、熱 処理工程で使用する反応性物質と第 2の絶縁膜を形成する際に使用する反応性物 質とが同一であり、ガス供給プロセスが簡略ィ匕可能である。また、成膜工程で実行す る処理の一部 (反応性物質の供給と加熱処理)が熱処理工程で実行する処理と同様 にして行われるので、成膜工程で使用する装置構成を用いて、熱処理工程と成膜ェ 程とを実行することが可能であり(これに限定されるものではないが)、システムの構成 などが簡略ィ匕可能であり、制御も容易となる。
[0109] この発明の第 2の観点に係る絶縁膜の形成方法によれば、
1, 3, 5, 7—テトラメチルシクロテトラシロキサンを使用して改質工程と成膜工程とを 実行するので、ガス供給プロセスが簡略ィ匕可能である。また、成膜工程で実行する処 理の一部(1, 3, 5, 7—テトラメチルシクロテトラシロキサンの供給と加熱処理)が改質 工程で実行する処理にほぼ等しいので、成膜工程で使用する装置構成を用いて、 改質工程と成膜工程とを実行することが可能であり(これに限定されるものではな!/ヽ が)、システムの構成などが簡略ィ匕可能であり、制御も容易となる。
[0110] この発明の第 3の観点に係る絶縁膜形成システムによれば、第 1のチャンバで実行 する熱処理で使用する反応性物質と第 2のチャンバで実行する第 2の絶縁膜の形成 処理で使用する反応性物質とが同一であり、ガス供給系が簡略ィ匕可能である。また、 第 1のチャンバで実行する熱処理が第 2のチャンバで実行する絶縁膜の成膜処理中 の熱処理と重複するため、装置 ·設備の共通化が可能である。
[0111] 本発明の思想及び範囲を逸脱することなぐ当業者により上記の実施形態に種々 の改良等が加えられるであろう。上記の実施形態は、図解目的であり、本発明の範 囲を限定するものではない。従って、本発明の範囲は、上記記載を参照するのでは なぐ下記のクレームが権利を与えられる均等の全範囲に沿って決定されるべきであ る。
[0112] 本出願は、 2003年 11月 28日に出願された、 日本国特許出願特願 2003— 39982 7を基礎とするものであり、その明細書、請求の範囲、図面及び要約書の内容を含む 。この出願の全ての内容は、ここで、援用される。
産業上の利用の可能性
本発明は、半導体装置、液晶表示装置等の製造、及び、プリント配線の回路基板 等に適用することができる。

Claims

請求の範囲
[1] 第 1の絶縁膜 (211, 227, 311)を反応性物質を含む雰囲気中で加熱する熱処理 工程と、
前記反応性物質を含むガスを用いた化学気相成長により、前記熱処理工程にて熱 処理された前記第 1の絶縁膜上(211, 227, 311)に、第 2の絶縁膜 (213, 228, 3 13)を形成する成膜工程と、
を備えることを特徴とする絶縁膜の形成方法。
[2] 前記成膜工程は、前記熱処理工程で前記反応性物質を励起するよりも高 、ェネル ギ一で前記反応性物質を励起することにより、化学気相成長を起こす工程力 構成 される、ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[3] 前記熱処理工程では、実質的にプラズマを含まない雰囲気中で第 1の絶縁膜が熱 処理され、成膜工程では、前記反応性物質を含むガスのプラズマを生成して、化学 気相成長を行う、ことを特徴とする請求項 1又は 2に記載の絶縁膜の形成方法。
[4] 前記第 1の絶縁膜は、多孔質絶縁膜から構成され、
熱処理工程は、前記反応性物質を多孔質絶縁膜に結合させて該多孔質絶縁膜を 改質する改質工程から構成され、
成膜工程は、前記反応性物質を含む雰囲気中に改質された第 1の絶縁膜を配置し 、該第 1の絶縁膜を加熱すると共に前記反応性物質を含むガスのプラズマを生成し て、前記第 1の絶縁膜上に前記反応性物質をィ匕学気相成長させる工程力も構成され る、
ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[5] 前記反応性物質は、常温常圧力で気体又は常温での蒸気圧が lPa— 101. 3kPa の反応性物質から構成される、ことを特徴とする請求項 1に記載の絶縁膜の形成方 法。
[6] 前記反応性物質は、シリコンと水素を含む物質から構成される、ことを特徴とする請 求項 1に記載の絶縁膜の形成方法。
[7] 前記反応性物質は、シリコンと水素との結合を 2以上含む物質力 構成される、こと を特徴とする請求項 6に記載の絶縁膜の形成方法。
[8] 前記反応性物質は、シリコン原子の数が 1一 30、且つ、水素、酸素、窒素から得ら れる元素を含有する物質から構成される、ことを特徴とする請求項 1に記載の絶縁膜 の形成方法。
[9] 前記反応物質が、
[化 1]
1—— (SiR"R120)L(SiR13R14〇)m(SiR15R16C¾—— 1 ここで、尺11、 R12、 R13、 R14、 R15、 R16は同一であっても異なっていてもよぐそれぞ れ11、 OH、 C H )
6 5、 C H
a 2a+ l、 C H
b 2b + l、 CF (CF ) (CH
3 2 c 2 d、 C H またはハロゲン e 2e— 1
原子を示し、 aは 1一 3の整数、 bは 1一 3の整数、 cは 0— 10の整数、 dは 0— 4の整数
、 eは 2— 4の整数、 Lは 0— 8の整数、 mは 0— 8の整数、 nは 0— 8の整数、かつ 3≤L
+m+n≤ 8で表される少なくとも 1種の環状シロキサンであることを特徴とする請求 項 6に記載の絶縁膜の形成方法。
[10] 前記反応性物質が、 1, 3, 5, 7—テトラメチルシクロテトラシロキサンであることを特 徴とする請求項 6に記載の絶縁膜の形成方法。
[11] 前記第 1の絶縁膜はシリコンを含む多孔質絶縁膜から構成される、ことを特徴とする 請求項 1に記載の絶縁膜の形成方法。
[12] 前記第 1の絶縁膜は、半導体装置の層間絶縁膜を構成し、
前記第 2の絶縁膜は、前記第 1の絶縁膜よりも硬度と密度の少なくとも一方が高い 絶縁層を構成する、
ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[13] 熱処理工程は、熱処理時間の経過と共に雰囲気中の前記反応性物質の平均分子 量を変化させる工程を含む、ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[14] 前記熱処理工程で前記反応性物質を励起するエネルギーよりも高 、エネルギーで 前記反応性物質を励起して、前記第 1の絶縁膜を熱処理する第 2の熱処理工程をさ らに備え、
前記成膜工程で、前記第 2の熱処理工程で前記反応性物質を励起するエネルギ 一よりも高!、エネルギーで前記反応性物質を励起して、前記前記第 2の熱処理工程 にて熱処理された前記第 1の絶縁膜上に、第 2の絶縁膜を形成する、 ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[15] 前記熱処理工程による熱処理対象の第 1の絶縁膜は、スピン塗布法により形成さ れた多孔質絶縁膜又は化学気相成長により形成された多孔質絶縁膜から構成され る、ことを特徴とする請求項 1に記載の絶縁膜の形成方法。
[16] シリコンを含む多孔質膜を 1, 3, 5, 7—テトラメチルシクロテトラシロキサンを含む雰 囲気中に配置して加熱し、該多孔質膜を改質する改質工程と、
改質された前記多孔質膜を 1, 3, 5, 7—テトラメチルシクロテトラシロキサンを含む 雰囲気中に配置し、前記 1, 3, 5, 7—テトラメチルシクロテトラシロキサンを含むガスを 用いたィ匕学気相成長により、前記多孔質膜上に、前記改質された多孔質膜よりも硬 度と密度の少なくとも一方が高い絶縁膜を形成する成膜工程と、
を備える絶縁膜の形成方法。
[17] 前記改質工程では、 1, 3, 5, 7—テトラメチルシクロテトラシロキサンを含む雰囲気 ガスのプラズマを生成させず、
前記成膜工程では、 1, 3, 5, 7—テトラメチルシクロテトラシロキサンを含むガスにプ ラズマを生成して化学気相成長を行う、
ことを特徴とする請求項 16に記載の絶縁膜の形成方法。
[18] チャンバ内に第 1の絶縁膜 (211, 227, 311)が形成された被処理基板を配置し、 該チャンバに反応性物質を含むガスを供給し、前記第 1の絶縁膜を加熱する熱処理 工程と、
前記チャンバ内で前記反応性物質を含むガスのプラズマを生成して、前記熱処理 工程にて熱処理された前記第 1の絶縁膜上に、前記プラズマ中の励起された前記反 応性物質をィ匕学気相成長させて第 2の絶縁膜 (213, 228, 313)を形成する成膜ェ 程と、
を備える絶縁膜の形成方法。
[19] 第 1のチャンバ(112)と、前記第 1のチャンバ内に配置され被処理体を支持する第 1の支持体(116)と、前記第 1の支持体により支持された被処理体を加熱する第 1の ヒータ(117)と、 前記第 1のチャンバ内に所定の反応性物質を含むガスを供給する第 1のガス供給 手段(131, 135)と、
第 1の支持体上に第 1の絶縁膜が配置された状態で、前記第 1のガス供給手段に より前記第 1のチャンバ内に前記反応性物質を含むガスを導入すると共に前記第 1 のヒータにより前記第 1の絶縁膜を加熱する第 1の制御手段(100)と、
第 2のチャンバ(112)と、前記第 2のチャンバ内に配置され被処理体を支持する第 2の支持体(116)と、前記第 2の支持体により支持された被処理体を加熱する第 2の ヒータ(117)と、
前記第 2のチャンバ内に前記反応性物質を含むガスを供給する第 2のガス供給手 段(131, 135)と、
第 2の支持体上に前記第 1の絶縁膜が支持された状態で、前記第 2のガス供給手 段により前記第 2のチャンバ内に前記反応性物質を含むガスを導入し、前記第 1の絶 縁膜上に前記反応物質力 の生成物を含む第 2の絶縁膜を形成する第 2の制御手 段(100)と、
を備えることを特徴とする絶縁膜形成システム。
[20] 前記第 2のチャンバ内に前記反応性物質を含むガスのプラズマを生成するプラズ マ生成手段(131, 139)を更に備え、
前記第 2の制御手段は、第 2の支持体上に第 1の絶縁膜が配置された状態で、前 記第 2のガス供給手段により前記第 2のチャンバ内に前記反応性物質を含むガスを 導入し、前記第 2のヒータにより前記第 1の絶縁膜を加熱し、さらに、プラズマ生成手 段により前記反応性物質を含むガスのプラズマを生成することにより、前記第 1の絶 縁膜上に前記反応性物質力 の生成物を含む第 2の絶縁膜を形成する、
ことを特徴とする請求項 19に記載の絶縁膜形成システム。
[21] 前記第 1と第 2のチャンバは物理的に一つのチャンバから構成され、
前記第 1の第 2の支持体は物理的に一つの支持体から構成され、
前記第 1と第 2のヒータとは、物理的に一つのヒータから構成され、
前記第 1と第 2の制御手段は、物理的に共通の制御部力も構成され、
前記チャンバは、該チャンバに導入されたガスに高周波電界を印加する高周波電 界印加手段(139, 140)を備え、
前記制御部は、熱処理時にはガスに高周波電界を印加せず、成膜時には、高周 波電界を印加するように高周波電界印加手段を制御する手段を備え、
1台で前記第 1の絶縁膜の熱処理と熱処理された第 1の絶縁膜上への第 2の絶縁 膜の形成が可能なことを特徴とする請求項 19に記載の絶縁膜形成システム。
[22] 複数のチャンバ(112)と、
チャンバに被処理体を搬送して配置する搬送手段(17)と、
前記搬送手段により被処理体が配置されたチャンバに所定の反応性物質を含むガ スを供給するガス供給手段(131, 135)と、
前記ガス供給手段により供給されたガスの雰囲気中でチャンバ内に配置された被 処理体を加熱し、該被処理体に形成された第 1の絶縁膜を熱処理する加熱手段(11 7)と、
第 1の絶縁膜が熱処理された被処理体が配置された前記チャンバ内に前記反応性 物質を含むガスのプラズマを生成するプラズマ生成手段(131, 139)と、
前記加熱手段により加熱された被処理体の前記第 1の絶縁膜上に、第 2の絶縁膜 を、前記プラズマ生成手段により生成されたプラズマを利用した化学気相成長により 形成する膜形成手段と、
を備えることを特徴とする絶縁膜形成システム。
[23] 金属配線(225)が埋め込まれた基板を用意する工程と、
前記基板に第 1の絶縁膜 (227)を形成する工程と、
ノリアメタルを介して金属配線を形成するための配線孔(231)を前記第 1の絶縁膜 に形成する工程と、
ノリアメタルの前記第 1の絶縁膜中への移動を低下させるように該第 1の絶縁膜を 改質する改質工程と、
改質された前記第 1の絶縁膜を被覆する第 2の絶縁膜 (228)を形成する膜形成ェ 程と、
前記配線孔に前記第 2の絶縁膜とバリアメタルとを介して金属配線 (234)を形成し 、形成された金属配線と埋め込まれた金属配線とを結合する工程と、 を備えることを特徴とする半導体装置の製造方法。
[24] 前記第 2の絶縁膜は、改質された前記第 1の絶縁膜よりも硬度と密度の少なくともい ずれか一方が高い絶縁層を形成する、ことを特徴とする請求項 23に記載の半導体 装置の製造方法。
[25] 前記改質工程にて、所定の反応性物質を含む雰囲気中で前記第 1の絶縁膜を熱 処理し、
前記膜形成工程にて、前記反応性物質を含むガスを用いた化学気相成長により、 前記第 2の絶縁膜を形成する、
ことを特徴とする請求項 23に記載の半導体装置の製造方法。
PCT/JP2004/017692 2003-11-28 2004-11-29 絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法 WO2005053008A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/580,824 US7772130B2 (en) 2003-11-28 2004-11-29 Insulation film forming method, insulation film forming system, and semiconductor device manufacturing method
CN2004800307372A CN1871696B (zh) 2003-11-28 2004-11-29 绝缘膜的形成方法及其形成系统、半导体装置的制造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-399827 2003-11-28
JP2003399827A JP3666751B2 (ja) 2003-11-28 2003-11-28 絶縁膜の形成方法及び絶縁膜形成システム

Publications (1)

Publication Number Publication Date
WO2005053008A1 true WO2005053008A1 (ja) 2005-06-09

Family

ID=34631617

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/017692 WO2005053008A1 (ja) 2003-11-28 2004-11-29 絶縁膜の形成方法及び絶縁膜形成システム並びに半導体装置の製造方法

Country Status (6)

Country Link
US (1) US7772130B2 (ja)
JP (1) JP3666751B2 (ja)
KR (1) KR100789858B1 (ja)
CN (1) CN1871696B (ja)
TW (1) TWI280632B (ja)
WO (1) WO2005053008A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8043957B2 (en) 2006-05-17 2011-10-25 Nec Corporation Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1855313A4 (en) * 2005-02-15 2011-09-28 Ulvac Inc METHOD FOR MANUFACTURING SILICA-MODIFIED POROUS FILM, SILICA-MODIFIED POROUS FILM OBTAINED THEREBY, AND SEMICONDUCTOR DEVICE USING SILICA-MODIFIED POROUS FILM
JP4630756B2 (ja) * 2005-08-05 2011-02-09 パナソニック株式会社 半導体装置及びその製造方法
JP4657859B2 (ja) * 2005-09-09 2011-03-23 ローム株式会社 多孔質薄膜の製造方法、多孔質薄膜およびこれを用いた半導体装置
US8039049B2 (en) * 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置
JP4854286B2 (ja) * 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
JP4623520B2 (ja) * 2006-04-10 2011-02-02 株式会社神戸製鋼所 多孔質膜の製造方法及びその方法によって製造された多孔質膜
JP5030478B2 (ja) 2006-06-02 2012-09-19 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
JP2008021800A (ja) 2006-07-12 2008-01-31 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP2008117903A (ja) * 2006-11-02 2008-05-22 Toshiba Corp 半導体装置の製造方法
US7947565B2 (en) * 2007-02-07 2011-05-24 United Microelectronics Corp. Forming method of porous low-k layer and interconnect process
JP2009094183A (ja) 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
JP5349789B2 (ja) * 2007-11-14 2013-11-20 ルネサスエレクトロニクス株式会社 多層配線の形成方法
US20090159104A1 (en) * 2007-12-19 2009-06-25 Judy Huang Method and apparatus for chamber cleaning by in-situ plasma excitation
JP5251156B2 (ja) * 2008-02-12 2013-07-31 Jsr株式会社 ケイ素含有膜およびその形成方法
KR101177829B1 (ko) * 2008-05-29 2012-08-28 가부시키가이샤 아루바쿠 시릴화다공질절연막의 제조방법, 반도체장치의 제조방법 및 시릴화재료
JP5582710B2 (ja) * 2009-03-24 2014-09-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
CN103178001B (zh) * 2011-12-21 2016-06-01 中芯国际集成电路制造(上海)有限公司 处理多孔超低介电常数层的方法
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
JP6206096B2 (ja) * 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法
CN113373428B (zh) 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN106388855B (zh) * 2015-08-03 2019-05-21 长庚大学 超声波能量显示设备
CN105428253B (zh) * 2015-12-23 2018-09-28 通富微电子股份有限公司 半导体封装中控制凸点蚀刻底切的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092804A (ja) * 1996-09-19 1998-04-10 Sony Corp 多孔質誘電体膜の製造方法
JP2000068264A (ja) * 1998-08-26 2000-03-03 Sony Corp 多孔質絶縁膜の表面処理方法およびこれを用いた電子装置の製造方法
JP2000150510A (ja) * 1998-11-05 2000-05-30 Sony Corp 複合多孔質絶縁膜およびその形成方法、ならびに電子装置およびその製造方法
JP2001035917A (ja) * 1999-07-19 2001-02-09 Hitachi Ltd 半導体装置およびその製造方法
JP2003100757A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH053258A (ja) * 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
JP2915357B2 (ja) 1996-03-06 1999-07-05 松下電器産業株式会社 半導体装置、その製造方法及び多孔質膜の形成方法
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
WO2001075957A1 (fr) 2000-04-03 2001-10-11 Ulvac, Inc. Procede de preparation d'un film poreux sog

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092804A (ja) * 1996-09-19 1998-04-10 Sony Corp 多孔質誘電体膜の製造方法
JP2000068264A (ja) * 1998-08-26 2000-03-03 Sony Corp 多孔質絶縁膜の表面処理方法およびこれを用いた電子装置の製造方法
JP2000150510A (ja) * 1998-11-05 2000-05-30 Sony Corp 複合多孔質絶縁膜およびその形成方法、ならびに電子装置およびその製造方法
JP2001035917A (ja) * 1999-07-19 2001-02-09 Hitachi Ltd 半導体装置およびその製造方法
JP2003100757A (ja) * 2001-09-27 2003-04-04 Toshiba Corp 半導体装置およびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8043957B2 (en) 2006-05-17 2011-10-25 Nec Corporation Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
US8278763B2 (en) 2006-05-17 2012-10-02 Nec Corporation Semiconductor device

Also Published As

Publication number Publication date
KR20060083977A (ko) 2006-07-21
JP2005166716A (ja) 2005-06-23
US20070275568A1 (en) 2007-11-29
JP3666751B2 (ja) 2005-06-29
TWI280632B (en) 2007-05-01
KR100789858B1 (ko) 2008-01-02
TW200531204A (en) 2005-09-16
CN1871696B (zh) 2011-01-19
US7772130B2 (en) 2010-08-10
CN1871696A (zh) 2006-11-29

Similar Documents

Publication Publication Date Title
KR100789858B1 (ko) 절연막의 형성 방법, 절연막 형성 장치 및 반도체 장치의 제조 방법
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP6928043B2 (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
KR100906516B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100933374B1 (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
KR100300177B1 (ko) 할로겐도핑된산화실리콘막의막안정성개선을위한방법및그장치
US20070004204A1 (en) Method for forming insulation film
KR100778947B1 (ko) 성막 방법 및 성막 장치
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR20120025543A (ko) 성막 방법, 전 처리 장치 및 처리 시스템
JP3967253B2 (ja) 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
KR20090006769A (ko) 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
WO2021247590A1 (en) Self-assembled monolayer deposition from low vapor pressure organic molecules
JP3915697B2 (ja) 成膜方法及び成膜装置
KR102041521B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films
JP2007027792A (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
TW202244313A (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480030737.2

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020067005431

Country of ref document: KR

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWP Wipo information: published in national office

Ref document number: 1020067005431

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 10580824

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10580824

Country of ref document: US