CN100573830C - 等离子体处理装置和等离子体处理方法 - Google Patents

等离子体处理装置和等离子体处理方法 Download PDF

Info

Publication number
CN100573830C
CN100573830C CNB2005800312962A CN200580031296A CN100573830C CN 100573830 C CN100573830 C CN 100573830C CN B2005800312962 A CNB2005800312962 A CN B2005800312962A CN 200580031296 A CN200580031296 A CN 200580031296A CN 100573830 C CN100573830 C CN 100573830C
Authority
CN
China
Prior art keywords
plasma
plasma processing
film
processing apparatus
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005800312962A
Other languages
English (en)
Other versions
CN101023513A (zh
Inventor
井出真司
佐佐木胜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101023513A publication Critical patent/CN101023513A/zh
Application granted granted Critical
Publication of CN100573830C publication Critical patent/CN100573830C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

在等离子体处理装置(100)中,在基座(2)的上方配备有上侧板(60)和下侧板(61)。上侧板(60)和下侧板(61)由石英等耐热性绝缘体构成,并且相互离开规定的间隔,例如5mm,平行设置,具有多个贯通孔(60a)或(61a)。在重叠两片板的状态下,使它们错开位置形成,使得下侧板(61)的贯通孔(61a)和上侧板(60)的贯通孔(60a)不重合。

Description

等离子体处理装置和等离子体处理方法
技术领域
本发明涉及一种使用等离子体处理半导体基板等被处理基板的等离子体处理装置和等离子体处理方法。
背景技术
近几年在高速逻辑器件中,为了降低布线间的寄生电容,层间绝缘膜的低介电常数化(Low-k化)不断发展。正在研究在超LSI器件特别是65nm技术节点以下的Low-k膜中,采用空孔率大的多孔材料。通常,由于多孔Low-k膜的机械强度欠缺,因此,在形成Low-k膜之后埋入Cu而形成,然后利用CMP实施平坦化时,有可能发生膜剥离。因此,必须事先进行Low-k膜的固化处理(硬化),例如,采用热处理、UV处理、电子束处理等方法来进行硬化。此外,作为利用等离子体进行的硬化处理,提出使用平行平板方式的等离子体处理装置对Low-k膜进行等离子体处理的方法(例如,专利文献1)。
专利文献1:日本特开2004-103747号公报
发明内容
如专利文献1所述,通过等离子体处理进行Low-k膜的硬化,能够提高膜的机械强度。但是存在着在硬化的过程中Low-k膜的介电常数也上升的问题。本发明人对该原因进行调查,结果判定,等离子体中的离子成分使存在于Low-k膜中的甲基等烷基或烷氧基脱离,从而引起使膜中分子极化发展的现象。
因此,本发明的目的在于提供一种等离子体处理装置和等离子体处理方法,能够在以硬化为目的进行等离子体处理时,防止或者抑制等离子体中的离子成分对Low-k膜产生不良影响。
为了解决上述问题,根据本发明的第一观点,提供一种等离子体处理装置,其特征在于,包括:对被处理基板进行等离子体处理的处理室;在上述处理室内载置上述被处理基板的基板保持台;和选择通过单元,配备在上述基板保持台的上方,抑制等离子体中的离子的通过,选择性地使氢自由基通过。
在上述第一观点中,优选从上述处理室内的上部通过上述选择通过单元向载置在上述基板保持台上的被处理基板供给等离子体。此外,优选上述选择通过单元配置有形成有多个贯通开口部的2片以上的板,使得该贯通开口部的位置不重合。
此外,根据本发明的第二观点,提供一种等离子体处理装置,其特征在于,包括:对被处理基板进行等离子体处理的处理室;在上述处理室内载置上述被处理基板的基板保持台;和2片以上的板,设置在上述基板保持台的上方,形成有多个贯通开口部,并且以该贯通开口部的位置不重合的方式配置。
在上述第二观点中,优选从上述处理室内的上部通过上述板向载置在上述基板保持台上的被处理基板供给等离子体。
此外,根据本发明的第三观点,提供一种等离子体处理装置,其特征在于,包括:对被处理基板进行等离子体处理的处理室;在上述处理室内载置上述被处理基板的基板保持台;用于对上述处理室内进行减压的排气单元;用于向上述处理室内供给气体的气体供给单元;具有多个缝隙的平面天线,配备在上述处理室内的上部,与外部的微波发生装置连接,将微波导入上述处理室内而生成等离子体;和2片以上的板,配置在上述平面天线和上述基板保持台之间,形成有多个贯通开口部,并且以该贯通开口部的位置不重合的方式配置。
在上述第三观点中,优选从上述处理室内的上部通过上述板向载置在上述基板保持台上的被处理基板供给等离子体。
此外,在上述第一观点至第三观点的等离子体处理装置中,优选上述贯通开口部是贯通孔或者狭缝。并且,优选上述板由绝缘体构成。
此外,根据本发明的第四观点,提供一种等离子体处理方法,其特征在于:在等离子体处理装置的上述处理室内,对被处理基板进行等离子体处理,上述等离子体处理装置构成为,从对被处理基板进行等离子体处理的处理室的上部向载置在基板保持台上的被处理基板供给等离子体,同时设有选择通过单元,上述选择通过单元在上述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过。
在上述第四观点中,优选等离子体处理选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理。此外,优选上述Low-k膜是SiOCH系膜。并且,作为处理气体,优选使用稀有气体和含氢气体。
根据本发明的第五观点,提供一种控制程序,其特征在于:当在计算机上运行时,控制上述等离子体处理装置,使得进行下述等离子体处理方法:在等离子体处理装置的上述处理室内,选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理,上述等离子体处理装置构成为,从对被处理基板进行等离子体处理的处理室的上部向载置在基板保持台上的被处理基板供给等离子体,同时设有选择通过单元,上述选择通过单元在上述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过。
根据本发明的第六观点,提供一种计算机存储介质,存储有在计算机上操作的控制程序,其特征在于:上述控制程序在运行时,控制上述等离子体处理装置,使得进行下述等离子体处理方法:在等离子体处理装置的上述处理室内,选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理,上述等离子体处理装置构成为,从对被处理基板进行等离子体处理的处理室的上部向载置在基板保持台上的被处理基板供给等离子体,同时设有选择通过单元,上述选择通过单元在上述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过。
根据本发明的第七观点,提供一种等离子体处理装置,其特征在于,包括:用于利用等离子体对被处理体进行处理的能够真空排气的处理室;在上述处理室内载置上述被处理基板的基板保持台;选择通过单元,在上述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过;和控制部,进行控制使得进行下述等离子体处理方法:选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理。
本发明的等离子体处理装置中具备选择通过单元,该选择通过单元抑制等离子体中离子的通过而选择性地使氢自由基通过,因此,例如能够排除离子对在作为被处理基板的晶片上所形成的膜的影响,不使膜的介电常数上升,利用氢自由基进行硬化。
此外,作为选择通过单元,使用配置有形成有多个贯通开口部的2片以上的板、该贯通开口部的位置不重合的单元,由此能够使用简单的结构阻止几乎全部的离子。
此外,在本发明的等离子体处理方法中,通过使用上述等离子体处理装置,能够可靠地进行Low-k膜的硬化处理。
附图说明
图1是本发明实施方式等离子体处理装置一个示例的截面示意图。
图2是用于说明板的平面图。
图3是用于说明板的主要部分的截面图。
图4是用于说明平面天线部件的图。
图5是用于说明上下板的作用的原理图。
图6是表示膜的介电常数与弹性模量关系的曲线图。
图7是等离子体处理系统结构的示意图。
图8是平行平板型等离子体CVD装置结构的截面示意图。
图9是说明上下板的其它实施方式的图。
具体实施方式
下面,参照附图对本发明的优选方式进行说明。图1是本发明实施方式等离子体处理装置一个示例的截面示意图。该等离子体处理装置利用由具有若干缝隙的平面天线将微波导入处理室内并生成等离子体的RLSA(Radial Line Slot Antenna:径向缝隙天线)等离子体生成技术,能够生成高密度且低电子温度的微波等离子体。
该等离子体处理装置100能够在500度以下的低温下进行对基膜等无破坏的等离子体处理,并且,等离子体均匀性优异,能够实现与ICP方式或平行平板方式的等离子体处理装置相比也毫不逊色的工艺的均匀性。因此,等离子体处理装置100可适用于例如对Low-k膜的硬化处理。
该等离子体处理装置100具有以气密方式构成且接地的大致圆筒状的腔室1。在腔室1的底壁1a的大致中央部位形成有圆形的开口部10,在底壁1a上设置有与该开口部10连通、且朝着下方突出的排气室11。
在腔室1内设置有用于水平支承作为被处理基板的晶片W的AlN等陶瓷制成的作为基板保持台的基座2。该基座2被从排气室11的底部中央向上方延伸的圆筒状的AlN等陶瓷制成的支承部件3所支承。在基座2的外边缘部设置有用于引导晶片W的引导环4。此外,电阻加热型的加热器5埋入基座2中,该加热器5利用加热器电源6的供电加热基座2,并利用该热量加热作为被处理体的晶片W。此时,可以将温度控制在例如室温至800℃的范围。此外,在腔室1的内周设置有石英制造的圆筒状的衬管7。
在基座2上设置有用于支承并使晶片W升降的晶片支承销(未图示),可以相对于基座2的表面突出没入。
在基座2的上方配备有捕获生成等离子体的离子,或者用作挡板的上侧板60和下侧板61。上下板60和61例如由石英、蓝宝石、SiN、SiC、Al2O3、AlN等陶瓷的介电体形成的绝缘体及其组合构成,优选使用石英。上侧板60和下侧板61在周边部附近部分连结,这2片板60、61相互离开规定的间隔(后述),平行配置。并且,下侧板61通过将其外周部与从腔室1内的衬管7朝着内侧在整个周面突起的支承部70卡合而被支承。
优选板60和61的安装位置接近晶片W,例如下侧板61的下端与晶片W之间的距离优选为例如3~20mm,更优选为10mm左右。在这种情况下,上侧板60的上端与微波透过板28(后述)的下端之间的距离优选为例如20~50mm,更优选为35mm左右。
在上侧板60上形成有多个贯通孔60a,并且同样在下侧板61上也形成有多个贯通孔61a。图2和图3是表示上下板60、61详细情况的图。图2是重叠上下板60、61从上观察状态的图,图3是重叠上下板60、61状态下主要部分的截面图。
上侧板60的厚度(T1)和下侧板61的厚度(T2)均优选为例如2~10mm左右,更优选分别设定为5mm左右。此外,上下板60、61的厚度T1和T2不必相同。
此外,2片板60、61之间的间隔(L1)优选为例如3~10mm左右,更优选设定为5mm。
上侧板60的贯通孔60a和下侧板61的贯通孔61a大致均等地配置,以覆盖图2中虚线所表示的晶片W的载置区域。并且,如图2和图3所示,在重叠两片板60、61的状态下,使它们错开位置形成,使得下侧板61的贯通孔61a和上侧板60的贯通孔60a不重叠,。即,以不形成直线连接上侧板60上方至晶片表面的开口的方式设置贯通孔60a和贯通孔61a。
贯通孔60a的直径D1和贯通孔61a的直径D2可以任意设定,例如,在本实施方式中设定为5mm左右。此外,可以在同一板内根据贯通孔60a或61a的位置改变孔的大小,也可以形成大小各异的上侧板60的贯通孔60a和下侧板61的贯通孔61a。此外,如果在上下板60、61上孔的位置错开,则贯通孔60a、61a的配置也可以选择同心圆状、放射状、螺旋状等任意的排列。
此外,贯通孔60a与贯通孔61a的位置错开,即构成上侧板60的贯通孔60a的壁60b与构成下侧板61的贯通孔61a的壁61b之间的距离L2,可以根据与上下板60、61的间隔L1的关系,决定最佳的条件。
即,从仅选择性地使等离子体中的自由基通过而阻止离子的观点出发,在上下板60、61的间隔L1大的情况下,需要也相对增大L2。反之,在L1小的情况下,即使相对缩小L2,仍然能够发挥作为自由基选择通过单元的作用。此外,除了L1和L2的关系,通过综合考虑上下板60、61的厚度T1、T2(即,在自由基的通过方向呈平行面的壁60b、61b的高度),贯通孔60a、61a的直径D1、D2,以及贯通孔60a、61a的形状和配置,上下板60、61的设置位置(与晶片W的距离)等,能够最大限度地发挥自由基的选择性和离子的阻止作用。
在图1中,在腔室1的侧壁上设置有环状的气体导入部件15,该气体导入部件15与气体供给系统16连接。此外,气体导入部件也可以配置成喷头状。该气体供给系统16具有用于供给氩气的Ar气供给源17和用于供给氢气的H2气供给源18,这些气体分别经由气体管道20到达气体导入部件15,并从气体导入部件15导入腔室1内。即,气体导入部件15和气体供给系统16构成气体供给单元。
各气体管道20上设置有质量流量控制器21和其前后的开关阀22。
作为对晶片W上的Low-k膜进行等离子体硬化时的气体,使用含氢气体,具体而言,优选为按照规定比率组合氢和选自氪、氙、氦、氩等的稀有气体构成的不活泼气体的气体。
在上述排气室11的侧面,连接有排气管23,该排气管23与包括高速真空泵的排气装置24连接。并且通过操作该排气装置24,腔室1内的气体被均匀地排出至排气室11的空间11a内,并通过排气管23被排出。即,排气管23和排气装置24构成排气单元。由此,腔室1内可以高速减压至例如0.133Pa的规定的真空度。
在腔室1的侧壁上,设置有用于在与等离子体处理装置100相邻的搬送室(未图示)之间搬入搬出晶片W的搬入搬出口25、和开关该搬入搬出口25的闸阀26。
腔室1的上部形成为开口部,沿该开口部的周边部设置有环状的支承部27。例如石英等介电体制成的且透过微波的微波透过板28通过密封部件29气密地配备在该支承部27上。因此,腔室1内保持气密。支承微波透过板28的支承部27例如由Al合金或SUS形成。
作为等离子体处理装置100的上部构造,在微波透过板28的上方,以与基座2相向的方式设置有圆板状的平面天线部件31。该平面天线部件31配置在微波透过板28之上,并且以覆盖平面天线部件31上部的方式配备有滞波部件33。该平面天线部件31和滞波部件33在其周边部由压紧部件34b所固定。此外,以覆盖滞波部件33的方式设置有保护盖体34,该保护盖体34支承在腔室1的侧壁上端。
例如,在与8英寸的晶片W对应的情况下,平面天线部件31是由直径为300~400mm、厚度为0.1mm~数mm(例如0.5mm)的导电性材料制成的圆板。并且,平面天线部件31不限于圆形,也可以是多边形,例如四边形。具体而言,该平面天线部件31,例如由表面经过镀金的铜板或者铝板制成,并且多个微波放射孔32按照规定的图案贯通构成。该微波放射孔32可以采用下述结构,例如由图4所示的长槽状的缝隙32a构成,邻接的缝隙32a之间配置成“T”字形状,并且,这些多个缝隙32a以Δr的间隔向直径外方向配置成同心圆状。缝隙32a的长度和排列间隔根据微波发生装置39所产生的高频波的波长来决定。此外,微波放射孔32(缝隙32a)也可以是圆形的贯通孔等其它形状。此外,微波放射孔32(缝隙32a)的配置方式没有特别的限制,除了同心圆状之外,也可以配置成例如螺旋状、放射状等。
如上所述,在平面天线部件31的上面设置有具有介电常数大于真空的滞波部件33。在腔室1的上面以覆盖这些平面天线部件31和滞波部件33的方式设置有例如由铝或不锈钢等金属材料制成的保护盖体34。腔室1的上面和保护盖体34由密封部件35密封。在保护盖体34上形成有多条冷却水流路34a,通过使冷却水在流路中流通,冷却平面天线31、微波透过板28、滞波部件33和保护盖体34。此外,保护盖体34接地。
在保护盖体34的上壁的中央,形成有开口部36,该开口部36与波导管37连接。在该波导管37的端部,经由匹配电路38连接有微波发生装置39。由此,在微波发生装置39中产生的例如频率为2.45GHz的微波通过波导管37向上述平面天线部件31传播。此外,作为微波的频率,也可以使用8.35GHz、1.98GHz等。
波导管37具有从上述保护盖体34的开口部36朝着上方延伸出的截面为圆形的同轴波导管37a、和与该同轴波导管37a的上端部连接的沿水平方向延伸的方形波导管37b。方形波导管37b与同轴波导管37a的连接部侧的端部为模式转换器40。在同轴波导管37a的中心延伸有内导体41,该内导体4 1的下端部通过突出部(バンブ)41a连接固定在平面天线部件31的中心。突出部41a是朝着平面天线部件31张开的形状,用于使微波沿水平方向均匀而有效地传播。由此,微波经由同轴波导管37a的内导体41、突出部41a而有效地向平面天线部件31传播。
等离子体处理装置100的各构成部构成为,与控制部101的过程控制器50连接并被控制。过程控制器50与用户界面51连接,用户界面51由工序管理者为管理等离子体处理装置100而进行命令输入操作等的键盘、可视化显示等离子体处理装置100的工作情况的显示器等构成。
此外,过程控制器50与存储部52连接,存储部52中存储有记录用于在过程控制器50的控制下实现在等离子体处理装置100中实施的各种处理的控制程序和处理条件数据等的方案。
并且,根据需要,由用户界面51发出的指令等,从存储部52中读取任意的方案,并在过程控制器50中运行,由此能够在过程控制器50的控制下,在等离子体处理装置100中进行预期的处理。此外,上述控制程序和处理条件数据等方案,可以利用处于收容在可由计算机读取的存储介质,例如CD-ROM、硬盘、软盘、闪存等中的状态的方案,或者可从其他装置通过例如专用线路,随时传送,在线(on-line)地利用。
在如上所述构成的RLSA方式的等离子体处理装置100中,按照以下顺序对在晶片W上形成的Low-k膜进行硬化。其中,作为硬化对象的Low-k膜,例如可列举采用CVD法或涂布法形成的SiOCH系的Low-k膜,特别是对于多孔质的SiOCH系Low-k膜的硬化,通过使用本实施方式的等离子体处理装置100,能够不使介电常数上升而提高膜硬度,因此,效果非常明显。此外,作为其它的Low-k材料,也可适用于多孔硅(多孔质硅)系、CF系、有机聚合物系、MSQ、多孔MSQ等的硬化。
首先,打开闸阀26,将晶片W从搬入搬出口25搬入腔室1内,载置在基座2上。接着,例如以规定的流量,分别将Ar气和H2气从气体供给系统16的Ar气供给源17和H2气供给源18通过气体导入部件15导入腔室1内,维持在规定的压力。作为优选的等离子体处理条件,可以从以下范围中选择,例如Ar气的流量为50~1000mL/min,H2气的流量为50~1000mL/min,压力为100mTorr~10Torr,微波功率为0.5~5kW,温度为25~500℃。
接着,将来自微波发生装置39的微波经过匹配电路38导入波导管37。微波依次通过方形波导管37b、模式转换器40和同轴波导管37a,供给至平面天线部件31,并从平面天线部件31经过微波透过板28放射到腔室1内的晶片W的上方空间。微波在方形波导管37b内按照TE模式进行传播,该TE模式的微波由模式转换器40转换成TEM模式,在同轴波导管37a内朝向平面天线部件31传播。
利用从平面天线部件31经过微波透过板28放射到腔室1中的微波,在腔室1内Ar气和H2气等离子体化,利用该等离子体,进行晶片W的Low-k膜的硬化处理。由于该微波等离子体是大约为1011/cm3以上的等离子体密度、并且在晶片W附近大约为1.5eV以下的低电子温度等离子体,因此,能够在低温且短时间内进行硬化处理,离子等对基膜的等离子体破坏小,采用双层构造配置作为选择通过单元的上侧板60和下侧板61,使等离子体的离子能减弱,从而能够进行将离子的影响降低至极限的处置。该选择通过单元抑制等离子体中的离子的通过,选择性地使氢自由基通过。
下面参照图5,对本发明的作用进行阐述。图5是示意性表示利用等离子体处理装置100实施晶片W硬化处理的实施方式的原理图。从等离子体处理装置100的平面天线部件31供给的微波与Ar/H2气作用生成的等离子体,在腔室1内的空间朝着载置在基座2上的晶片W的方向下降。在下降的中途,由于配备有双层重叠的上侧板60和下侧板61,此处等离子体中的自由基选择性地通过。
即,如图5所示,由于等离子体中所含的1价氩离子(Ar+)、氢离子(H+)等离子或电子(e-)是带电粒子,不能通过石英等绝缘材质构成的上侧板60和下侧板61,它的一部分或绝大部分失去活性,但作为中性粒子的氢自由基(H*)挤进穿过贯通孔60a和61a,到达晶片W。为了断开等离子体中的离子,在重叠两片板的状态下,以下侧板61的贯通孔61a和上侧板60的贯通孔60a不重合的方式错开位置形成非常重要(参照图2和图3)。利用贯通孔60a、61a的这种配置,能够阻止等离子体中离子的通过,减少到达晶片W的离子数量,同时还能够选择性地使氢自由基通过。
通过上下板60、61的氢自由基作用在晶片W上的Low-k膜上,使膜质固化。此时,由于导致Low-k膜的介电常数上升的离子的作用被排除,因此,能够不使介电常数上升而维持优良膜质,使膜固化。这种效果在多孔质的Low-k膜中表现得更加显著。
下面参照图6,对作为本发明基础的实验数据进行说明。图6是表示使用与图1结构相同的等离子体处理装置100对SiOCH系的Low-k膜进行等离子体处理,进行硬化之后的膜的介电常数与弹性模量关系的图。
图6的曲线图的纵轴表示膜厚15%的弹性模量(GPa),横轴表示介电常数。其中,等离子体处理条件为,作为处理气体使用流量比为50/500mL/min(sccm)的Ar/H2、晶片温度为400℃、压力约为400Pa(3Torr)、向等离子体的供给功率为2kW、处理时间为60~600秒。
此外,为了与本发明的等离子体处理装置的结果(直线A)进行比较,使用除了不设上下板60、61这一点以外与等离子体处理装置100相同构造的现有等离子体处理装置,一并记录在相同的等离子体处理条件下进行处理时的结果(直线B)和在等离子体中离子的存在处于更加支配作用的低压条件(6.7Pa,其它条件与上述相同)下进行处理时的结果(直线C)。
由图6可知,在现有型的等离子体处理装置的结果(直线B)中,随着Low-k膜弹性模量的上升,介电常数也上升,膜的固化性和低介电常数是折衷的关系。并且可知,这种倾向在使用现有型的等离子体处理装置、等离子体中的离子比例高的低压条件(直线C)时更加明显。
另一方面,在利用具备上下板60、61的等离子体处理装置100实施的硬化处理中,如直线A所示,能够在维持低介电常数的情况下提高膜的弹性模量。
从以上结果可知,在设置有上下板60、61的等离子体处理装置100中,通过阻止离子的通过、实现氢自由基的选择性通过,能够排除或者降低硬化处理中离子的影响,从而能够可靠地使Low-k膜固化。
此时,在Low-k膜的硬化过程中,利用在等离子体处理装置100中产生的具有氢自由基的高密度等离子体,主要是表层的膜质变得致密且硬化,但在膜的下层部形成疏松的膜。通过等离子体照射,构成Low-k膜的Si-CHx键被氢自由基等具有能量的活性种切断,CHx被切除,同时其它分子的Si-OH键也同样发生切断反应。并且,由于Low-k膜中的CHx或OH等分子飞出,形成CH3-Si-O基的梯型分子结构(梯子结构),因此能够在分子间形成空间。在这些反应过程中,作为自由基选择通过单元,使用设置有双层板60、61的等离子体处理装置100,由此离子的影响被降低,不会过度引起上述甲基等的脱离,能够适度进行温和的反应。由此,膜中分子的极化得以抑制,从而能够将介电常数k控制在较低的水平而进行Low-k膜的硬化。
下面,对于包括等离子体处理装置100并且能够连续实施从Low-k膜的成膜到硬化的等离子体处理系统的一个示例进行说明。如图7所示,该处理系统200主要包括:多个例如四个处理室204A、204B、204C、204D;大致六边形的通用搬送室206;具有负载锁定(load lock)功能的第一和第二负载锁定室208A、208B;细长的导入侧搬送室210。具体而言,在大致六边形的上述通用搬送室206的4个边上,接合有上述各处理室204A~204D,在其他侧的2个边上分别接合有上述第一和第二负载锁定室208A、208B。并且,该第一和第二负载锁定室208A、208B与上述导入侧搬送室210共通地连接。
在上述通用搬送室206与上述4个各处理装置204A~204D之间以及上述通用搬送室206与上述第一和第二负载锁定室208A、208B之间,分别通过能够气密开合的闸阀G进行接合,实现多工具(clustertool)化,能够根据需要与通用搬送室206内连通。此外,在上述第一和第二各负载锁定室208A、208B与上述导入侧搬送室210之间,分别设置有能够气密开合的闸阀G。
在上述4个处理室204A~204D内,分别设置有载置作为被处理体的半导体晶片的基座212A~212D,对作为被处理体的半导体晶片W实施相同或者不同的处理。例如,在处理室204A和204B中,使用后述的平行平板型等离子体CVD装置300(参照图8)进行Low-k膜的成膜处理;在处理室204C和204D中,使用上述图1的RLSA方式的等离子体处理装置100进行Low-k膜的硬化处理。在通用搬送室206内,在能够进入上述2个各负载锁定室208A、208B和4个各处理室204A~204D的位置,设置有能够伸缩、升降和旋转的多关节臂构成的第二搬送机构214,它具有能够独立朝着彼此相反方向伸缩的2个拾取器(pick)B1、B2,能够一次处理2片晶片。此外,作为上述第二搬送机构214,也可以使用只有一个拾取器的构件。
上述导入侧搬送室210由长方形的箱体形成,在该长方形的一侧,设置有用于导入作为被处理体的半导体晶片的1个或者多个、图示例中为3个搬入口216,在各搬入口216上设置有能够开关的开关门221。并且,与该各搬入口216对应,分别设置有导入口218A、218B、218C,能够在此处分别载置一个个盒容器220。在各盒容器220中能够以相等间距分多级载置并收容多片例如25片晶片W。
在该导入侧搬送室210内,设置有用于沿其长度方向搬送晶片W的作为导入侧搬送机构的第一搬送机构222。该第一搬送机构222以能够滑动移动的方式支承在导轨224上,该导轨在导入侧搬送室210内的中心部沿着长度方向延伸设置。在该导轨224上内置有作为移动机构的例如具有编码器的直线电动机,通过驱动该直线电动机,上述第一搬送机构222沿着导轨224移动。
此外,上述第一搬送机构222具有上下2级配置的2个多关节臂232、234。在各多关节臂232、234的前端分别安装有U字形的拾取器A1、A2,能够分别将晶片W直接保持在该拾取器A1、A2上。因此,各多关节臂232、234可以从其中心朝着半径方向自由伸缩及自由升降,此外还可以单独控制各多关节臂232、234的伸缩操作。
上述多关节臂232、234的各旋转轴以能够分别相对于基台236同轴状旋转的方式连结,能够一体地朝着相对于基台236的旋转方向进行旋转。此外,此处上述拾取器A1、A2有时不设置2个,只设1个。
此外,在导入侧搬送室210的另一端,设置有对晶片进行定位的定位仪226,并且在导入侧搬送室210长度方向的中途,隔着能够分别开关的上述闸阀G,设置有上述2个负载锁定室208A、208B。
上述定位仪226具有由未图示的驱动电动机驱动的旋转台228,在其上面载置有晶片W的状态下进行旋转。在该旋转台228的外周,设置有用于检测晶片W的周边部的光学传感器230,由此就能够检测晶片W的定位缺口,例如凹口或定位平面的位置方向以及晶片W中心的位置偏移量。
此外,在上述第一和第二负载锁定室208A、208B内,为了暂时载置晶片W,分别设置直径小于晶片直径的载置台238A、238B。此外,该处理系统200的全部操作的控制,例如各搬送机构214、222和定位仪226等的操作控制,利用例如配备有过程控制器50(参照图1)的控制部101进行。
下面,参照图8,对Low-k膜形成方法的一个示例进行说明。此处,对于形成以硅(Si)、氧(O)和碳(C)为主要成分而构成的、在厚度方向具有均匀的空孔的Low-k膜(以下称SiOC系膜)的情况进行说明。首先,图8所示的处理装置构成为,具有上下平行相对的电极的所谓平行平板型等离子体CVD装置,利用CVD在半导体晶片(以下称晶片W)的表面形成SiOC系膜。该平行平板型等离子体CVD装置300具有圆筒形状的腔室312。腔室312由经过铝阳极化处理(阳极氧化处理)的铝等导电性材料制成。并且,腔室312接地。
在腔室312的底部设置有排气口313。排气口313与配备有涡轮分子泵等真空泵的排气装置314连接。排气装置314将腔室312内排气至规定的压力。并且,在腔室312的侧壁上设置有闸阀315。在打开闸阀315的状态下,在腔室312与外部之间进行晶片W的搬入搬出。除害装置336是用于对由排气装置314排出的腔室312内的氛围气体进行无害化处理的装置,利用规定的催化剂燃烧或者热分解氛围气体,从而将其转换成无害物质。
在腔室312的底部设置有大致圆柱形的基座支承台316。在基座支承台316的上面设置有作为晶片W的载置台的基座317。基座317具有作为下部电极的功能,基座支承台316与基座317之间利用陶瓷等绝缘体318绝缘。在基座支承台316的内部设置有使制冷剂循环的下部制冷剂流路319。通过使制冷剂在下部制冷剂流路319内循环,能够将基座317和晶片W控制在预期的温度。
在基座支承台316上设置有用于交接晶片W的提升销320,提升销320能够通过汽缸(未图示)进行升降。此外,基座317形成为其上中央部为凸状的圆板状,其上设置有与晶片W大致同形状的未图示的静电卡盘,通过向该静电卡盘施加直流电压,静电吸附载置在基座317上的晶片W。具有下部电极功能的基座317隔着第一匹配器322与第一高频电源321连接。第一高频电源321具有450kHz~60MHz范围的频率,能够在基座317上施加上述范围的高频。
在基座317的上方,设置有与该基座317平行相对的喷头323。在喷头323的与基座317相向的面上,设置有具备多个气孔324并且由铝等制成的电极板325。并且,喷头323通过电极支承体326支承在腔室312的顶部。在喷头323的内部,设置有上部制冷剂流路327,通过使制冷剂在该上部制冷剂流路327中循环,能够将喷头323控制在预期的温度。
并且,喷头323与气体导入管328连接。气体导入管328隔着未图示的质量流量控制器、阀等与1,3,5-三甲基-1,3,5-三乙烯基环三硅氧烷(V3D3)气体源329、异丙醇(IPA)气体源330、氩(Ar)气体源331连接。由于V3D3和IPA在常温下均为液体,在通过未图示的加热部气化的状态下供给至各气体源329、330。并且,作为用于形成空孔的处理气体的NH3气体源335也隔着未图示的质量流量控制器、阀等与气体导入管328连接。
来自各气体源329~331、335的原料气体和处理气体通过气体导入管328被混合供给至在喷头323内部形成的中空部(未图示)。供给至喷头323内的气体在中空部中扩散,从喷头323的气孔324供给至晶片W的表面。
喷头323与第二高频电源332连接,在其供电线中设置有第二匹配器333。第二高频电源332具有例如450kHz~150MHz范围的频率,这样,通过在喷头323上施加高频率的高频,使喷头323发挥作为上部电极的功能,能够在腔室312内在优选离解状态下形成高密度的等离子体。
控制部101控制包括对晶片W实施成膜处理的平行平板型等离子体CVD装置300的全部操作。如上所述,控制部101将用于根据规定的处理顺序控制装置各部的程序存储在存储部52(参照图1)中,并根据该程序,向装置各部发送控制信号。
下面,对使用平行平板型等离子体CVD装置300形成绝缘膜的方法进行说明。首先,将未处理的晶片W保持在由多关节臂构成的第二搬送机构214(参照图7)上,通过打开状态的闸阀315搬入腔室312内。搬送臂将晶片W交接至位于上升位置的提升销320,从腔室312内退出。然后,利用提升销320的下降,将晶片W载置在基座317上。晶片W通过静电卡盘固定在基座317上。
接着,通过排气装置314将腔室312内减压至例如50Pa(3.8×10-1Torr)。并且同时将基座317的温度设定为400℃以下的温度,例如300℃。
然后,从各气体源329~331以规定的流量向腔室312内供给V3D3、IPA和Ar气体。处理气体的混合气体从喷头323的气孔324朝着晶片W均匀喷出。例如,V3D3、IPA和Ar的供给按照V3D3/IPA/Ar=30/10/100的流量比(各sccm)进行。
然后,从第二高频电源332向上部电极(喷头323)施加例如27MHz的高频电力。由此,在上部电极与下部电极(基座317)之间产生高频电场,生成混和气体的等离子体。另一方面,从第一高频电源321向下部电极施加例如2MHz的高频电力。由此,生成的等离子体中的带电粒子,特别是V3D3和IPA的分子状的活性种被吸引到晶片W的表面附近并发生反应,在晶片W的表面形成包含IPA分子的SiOC系膜。
此处,向上下电极323、317施加数秒至数十秒的高频电力,在晶片W表面形成例如50nm(500
Figure C20058003129600191
)厚度的SiOC系膜。从开始施加高频电力开始的规定时间后,停止向上部电极和下部电极施加高频电力,同时停止从V3D3气体源329和IPA气体源330导入V3D3和IPA。以上成膜工序暂且结束。此时,Ar流入腔室312内。
利用Ar气对腔室312内进行规定时间的净化,从腔室312内除去残存的V3D3和IPA。
在这种情况下,在成膜处理后,通过进行NH3等离子体退火处理,能够提高膜中的空孔率。这样,反复进行成膜处理、等离子体退火处理和各处理之间的净化,能够形成例如厚度为500nm(5000
Figure C20058003129600192
)的SiOC系叠层膜。成膜处理后,停止基座317的加热,同时使腔室312内的压力恢复到腔室312外的压力程度。然后,解除静电卡盘,提升销320上升。接着,打开闸阀315,第二搬送机构214的搬送臂进入腔室312内。通过第二搬送机构214的搬送臂,将晶片W搬出腔室312外。
在上述实施方式中,以V3D3和IPA为原料化合物形成作为绝缘膜的SiOC系膜,但作为其它的原料,也可以取代V3D3使用例如八甲基环四硅氧烷(D4)、六乙基环三硅氧烷、六甲基环三硅氧烷、八苯基环三硅氧烷、四乙基环四硅氧烷等环状硅氧烷化合物或其它有机硅烷气体,例如三甲基硅烷、二甲基二甲氧基硅烷(DMDMOS)等。并且,绝缘膜不限于SiOC系膜,也可以是例如通过CVD法、涂布法等形成的MSQ、多孔MSQ、有机聚合物等有机系低介电常数膜或SiC、SiN、SiCN、SiOF或SiOx等无机系低介电常数膜。
如上所述,等离子体处理系统200包括作为成膜装置的平行平板型等离子体CVD装置300和作为硬化装置的等离子体处理装置100,由此能够连续进行从作为绝缘膜的Low-k膜的成膜开始到硬化的处理。
以上,阐述了本发明的实施方式,但是,本发明不限于上述实施方式,可以进行各种变形。
例如,在图1中,举RLSA方式的等离子体处理装置100为例,但是,只要是等离子体从一定方向供给至被处理基板的装置,通过在其中配备2片板60、61,就可以获得同样的效果,因此也可以是例如远程等离子体方式、ICP方式、ECR方式、表面反射波方式、平行平板(静电电容)方式、磁控管方式等的等离子体处理装置。
此外,板不限于2片,也可以根据需要重叠配备3片以上的板。
此外,贯通孔60a、61a的形状不限于圆形,可以是任意的形状,例如四边形等。并且,如图9所示,也可以在上侧板62和下侧板63上分别以相互错开位置的方式形成狭缝62a、63a。
此外,贯通孔60a、61a等,狭缝62a、63a等的开口面积和其比率等可根据作为硬化对象的Low-k膜的种类和等离子体处理条件等适当进行调整。
产业上的可利用性
本发明可适用于例如逻辑器件等各种半导体装置的制造。

Claims (10)

1.一种等离子体处理方法,其特征在于:
在等离子体处理装置的处理室内,对被处理基板进行等离子体处理,所述等离子体处理装置构成为,从对被处理基板进行等离子体处理的处理室的上部向载置在基板保持台上的被处理基板供给等离子体,同时设有选择通过单元,所述选择通过单元在所述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过,
所述选择通过单元配置有形成有多个贯通开口部的2片以上的板,使得该贯通开口部的位置不重合,
所述板由绝缘体构成,
所述等离子体处理选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理。
2.如权利要求1所述的等离子体处理方法,其特征在于:
所述Low-k膜是SiOCH系膜。
3.如权利要求1所述的等离子体处理方法,其特征在于:
作为处理气体,使用稀有气体和含氢气体。
4.如权利要求1所述的等离子体处理方法,其特征在于:
所述等离子体处理装置从所述处理室内的上部通过所述选择通过单元向载置在所述基板保持台上的被处理基板供给等离子体。
5.如权利要求1所述的等离子体处理方法,其特征在于:
所述贯通开口部是贯通孔或者狭缝。
6.如权利要求1所述的等离子体处理方法,其特征在于:
所述等离子体处理装置包括:
用于对所述处理室内进行减压的排气单元;
用于向所述处理室内供给气体的气体供给单元;和
具有多个缝隙的平面天线,配备在所述处理室内的上部,与外部的微波发生装置连接,将微波导入所述处理室内而生成等离子体,
所述2片以上的板配置在所述平面天线和所述基板保持台之间。
7.如权利要求6所述的等离子体处理方法,其特征在于:
所述等离子体处理装置从所述处理室内的上部通过所述板向载置在所述基板保持台上的被处理基板供给等离子体。
8.如权利要求6所述的等离子体处理方法,其特征在于:
所述贯通开口部是贯通孔或者狭缝。
9.如权利要求1所述的等离子体处理方法,其特征在于,所述等离子体处理装置包括:
控制部,进行控制使得进行下述等离子体处理方法:选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理。
10.一种等离子体处理装置,其特征在于,包括:
用于利用等离子体对被处理基板进行处理的能够真空排气的处理室;
在所述处理室内载置所述被处理基板的基板保持台;
选择通过单元,在所述基板保持台的上方,抑制等离子体中离子的通过,选择性地使氢自由基通过;和
控制部,进行控制使得进行下述等离子体处理方法:选择性地使氢自由基作用于在被处理基板上形成的Low-k膜上,对该Low-k膜进行固化处理,
所述选择通过单元配置有形成有多个贯通开口部的2片以上的板,使得该贯通开口部的位置不重合,
所述板由绝缘体构成。
CNB2005800312962A 2004-09-17 2005-09-16 等离子体处理装置和等离子体处理方法 Expired - Fee Related CN100573830C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004271732A JP4633425B2 (ja) 2004-09-17 2004-09-17 プラズマ処理装置およびプラズマ処理方法
JP271732/2004 2004-09-17

Publications (2)

Publication Number Publication Date
CN101023513A CN101023513A (zh) 2007-08-22
CN100573830C true CN100573830C (zh) 2009-12-23

Family

ID=36060142

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800312962A Expired - Fee Related CN100573830C (zh) 2004-09-17 2005-09-16 等离子体处理装置和等离子体处理方法

Country Status (5)

Country Link
US (1) US20070286967A1 (zh)
JP (1) JP4633425B2 (zh)
KR (1) KR100906516B1 (zh)
CN (1) CN100573830C (zh)
WO (1) WO2006030895A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8178280B2 (en) 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
JP5685094B2 (ja) * 2011-01-25 2015-03-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
EP2854160B1 (en) * 2012-05-23 2020-04-08 Tokyo Electron Limited Substrate processing method
JP6172660B2 (ja) * 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
WO2016002547A1 (ja) * 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
JP7145648B2 (ja) * 2018-05-22 2022-10-03 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2020185693A1 (en) * 2019-03-08 2020-09-17 Dsgi Technologies, Inc. System and method of low temperature thin film deposition and insitu annealing
US20220336194A1 (en) * 2019-09-17 2022-10-20 Tokyo Electron Limited Plasma processing apparatus
TW202230438A (zh) 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
JP2022108358A (ja) * 2021-01-13 2022-07-26 キオクシア株式会社 半導体製造装置及びその制御方法
KR102494936B1 (ko) * 2021-12-30 2023-02-06 세메스 주식회사 기판 처리 장치와 이를 포함하는 기판 접합 시스템 및 이를 이용한 기판 처리 방법
TWI810772B (zh) * 2021-12-30 2023-08-01 日揚科技股份有限公司 一種快速退火設備

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
JP2001115267A (ja) * 1999-10-19 2001-04-24 Canon Inc プラズマ処理装置及び処理方法
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
GB2395493B (en) * 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
JP2003338491A (ja) * 2002-05-21 2003-11-28 Mitsubishi Electric Corp プラズマ処理装置および半導体装置の製造方法
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Also Published As

Publication number Publication date
KR100906516B1 (ko) 2009-07-07
WO2006030895A1 (ja) 2006-03-23
US20070286967A1 (en) 2007-12-13
CN101023513A (zh) 2007-08-22
JP2006086449A (ja) 2006-03-30
KR20070049671A (ko) 2007-05-11
JP4633425B2 (ja) 2011-02-16

Similar Documents

Publication Publication Date Title
CN100573830C (zh) 等离子体处理装置和等离子体处理方法
TWI736946B (zh) 用於鹵化物驅氣的處理系統及方法
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US10068765B2 (en) Multi-step system and method for curing a dielectric film
CN101310370A (zh) 多孔质膜的成膜方法和计算机可读的记录介质
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US20170365462A1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
CN100514575C (zh) 电介质膜的成膜方法及成膜装置
KR100920033B1 (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US7989365B2 (en) Remote plasma source seasoning
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
US8383519B2 (en) Etching method and recording medium
TW201417179A (zh) 低成本流動性介電質薄膜
US9633896B1 (en) Methods for formation of low-k aluminum-containing etch stop films
CN101689501A (zh) 半导体装置的制造方法、半导体装置、半导体制造装置和存储介质
JP2013513235A (ja) 非炭素ラジカル成分cvd膜向けの酸素ドーピング
CN1819123A (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
TW201515103A (zh) 用於穩定界面後蝕刻以盡量減少下一處理步驟前佇列時間問題的方法
WO2012011480A1 (ja) 層間絶縁層形成方法及び半導体装置
US8962454B2 (en) Method of depositing dielectric films using microwave plasma
JP2024096365A (ja) 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR20110111487A (ko) 실리콘 산화막의 성막 방법 및 반도체 장치의 제조 방법
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
JP2004158794A (ja) 絶縁膜の形成方法及び絶縁膜の形成装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091223

Termination date: 20130916