TWI791106B - 處理系統及處理方法 - Google Patents

處理系統及處理方法 Download PDF

Info

Publication number
TWI791106B
TWI791106B TW108113144A TW108113144A TWI791106B TW I791106 B TWI791106 B TW I791106B TW 108113144 A TW108113144 A TW 108113144A TW 108113144 A TW108113144 A TW 108113144A TW I791106 B TWI791106 B TW I791106B
Authority
TW
Taiwan
Prior art keywords
processing
module
processing module
wafer
processed
Prior art date
Application number
TW108113144A
Other languages
English (en)
Other versions
TW201944514A (zh
Inventor
松本賢治
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201944514A publication Critical patent/TW201944514A/zh
Application granted granted Critical
Publication of TWI791106B publication Critical patent/TWI791106B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Hardware Redundancy (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一種能迴避因將被處理體暴露於大氣而在被處理體表面及內部所產生的各種反應之技術。
例示實施形態相關之處理系統係包含:控制部,係使用真空泵之抽真空或使用非活性氣體之置換,來將移送裝置內部的氧分壓調整為127[Pa]以下,並將移送裝置內部之水蒸氣分壓調整為24.1[Pa]以下;第1處理模組,係對被處理體進行蝕刻處理;第2處理模組,係對被處理體進行表面處理;以及第3處理模組,係對被處理體進行沉積處理;第2處理模組係使用以高頻天線所生成之氫自由基來進行該表面處理;高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀,並以從高頻電源所供給之訊號的波長之1/2波長來加以共振。

Description

處理系統及處理方法
本揭露的例示實施形態係關於一種處理系統及處理方法。
半導體元件之製造係可依蝕刻、成膜等程序來使用不同處理裝置(專利文獻1~專利文獻12)。例如在用於蝕刻的裝置、用於成膜之裝置為彼此不同的裝置之情況下,便會使用將作為被處理體之半導體晶圓對應於各程序內容來在各裝置間移送的處理系統。又,半導體元件之製造中係可進行蝕刻後之晶圓表面洗淨(清潔)。特別是,在蝕刻後之晶圓表面會殘留有含F(氟)之聚合物等的殘渣,通常會在藉由濕洗淨處理來去除該等殘渣後,再讓晶圓進行下個工序。
作為清潔程序係有使用為乾式程序的使用氫電漿之還原灰化處理的情況(專利文獻13~專利文獻19)。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開2006-049798號公報
專利文獻2:日本特開2009-170547號公報
專利文獻3:日本特開2016-174141號公報
專利文獻4:日本特開2008-053550號公報
專利文獻5:日本特表2010-503210號公報
專利文獻6:國際公開第2012/098871號報表
專利文獻7:日本特開2014-099627號公報
專利文獻8:日本特表2010-503205號公報
專利文獻9:日本特表2010-503204號公報
專利文獻10:日本特表2010-503203號公報
專利文獻11:日本特表2007-533139號公報
專利文獻12:日本特開2018-014477號公報
專利文獻13:日本特開2009-016447號公報
專利文獻14:日本特開2008-235660號公報
專利文獻15:日本特開2008-098418號公報
專利文獻16:日本特表2008-527711號公報
專利文獻17:日本特開2008-277812號公報
專利文獻18:日本特開2001-203194號公報
專利文獻19:日本特開2006-073722號公報
專利文獻20:日本特表2002-500276號公報
專利文獻21:日本特開2009-224808號公報
專利文獻22:日本特開2001-085331號公報
專利文獻23:日本特表2005-504885號公報
專利文獻24:日本特開2010-258324號公報
專利文獻25:日本特開2008-300568號公報
專利文獻26:國際公開第2013/153777號報表
本揭露係提供一種能迴避因將被處理體暴露於大氣而在被處理體表面及內部所產生的各種反應之技術。
一例示實施形態中,係提供一種處理系統。此處理系統係處理被處理體之處理系統,具備:複數處理模組,係對被處理體進行處理;移送裝置,係連接於複數處理模組;以及控制部,係調整移送裝置之內部的氧分壓及水蒸氣分壓。控制部係使用真空泵之抽真空或是使用非活性氣體之置換,來將移送裝置之內部的氧分壓調整為127[Pa]以下,並將移動裝置之內部的水蒸氣分壓調整為24.1[Pa]以下。複數處理模組係包含:第1處理模組,係對被處理體進行蝕刻處理;第2處理模組,係對被處理體進行表面處理;以 及第3處理模組,係對被處理體進行沉積處理。第2處理模組係使用以高頻天線所生成之氫自由基來進行表面處理。高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀。高頻天線之天線元件係兩端開放且中央部(中點或中點附近)接地,並以從用於處理系統之高頻電源所供給的訊號之波長的1/2波長來加以共振。
根據本揭露,便可提供一種能迴避因將被處理體暴露於大氣而在被處理體表面及內部所產生的各種反應之技術。
1‧‧‧處理系統
10a‧‧‧處理模組
10b‧‧‧處理模組
10c‧‧‧處理模組
10c1‧‧‧處理模組
10c2‧‧‧處理模組
10d‧‧‧處理模組
10e‧‧‧處理模組
10f‧‧‧處理模組
10f1‧‧‧處理模組
10g‧‧‧處理模組
10h‧‧‧處理模組
1a‧‧‧處理系統
1b‧‧‧處理系統
AC‧‧‧氣壓調整系統
Cnt‧‧‧控制部
LLMa‧‧‧裝載互鎖模組
LLMb1‧‧‧裝載互鎖模組
LLMb2‧‧‧裝載互鎖模組
LM‧‧‧裝載模組
LP‧‧‧收納容器
MT‧‧‧方法
P1a‧‧‧路徑
P1b‧‧‧路徑
P1c‧‧‧路徑
P1d‧‧‧路徑
P1e‧‧‧路徑
P2a‧‧‧路徑
P2b‧‧‧路徑
P2c‧‧‧路徑
P2d‧‧‧路徑
P2e‧‧‧路徑
P2f‧‧‧路徑
P31a‧‧‧路徑
P31b‧‧‧路徑
P31c‧‧‧路徑
P31d‧‧‧路徑
P31e‧‧‧路徑
P31f‧‧‧路徑
P31g‧‧‧路徑
P32a‧‧‧路徑
P32b‧‧‧路徑
P32c‧‧‧路徑
P32d1‧‧‧路徑
P32d2‧‧‧路徑
P32d3‧‧‧路徑
P32e‧‧‧路徑
P32f‧‧‧路徑
P32g‧‧‧路徑
P4a‧‧‧路徑
P4c‧‧‧路徑
P4d‧‧‧路徑
P4e‧‧‧路徑
TD‧‧‧移送裝置
TMa‧‧‧移轉模組
TMb‧‧‧移轉模組
W‧‧‧晶圓
100‧‧‧電漿處理裝置
102‧‧‧處理室
104‧‧‧板狀介電體
110‧‧‧載置台
120‧‧‧氣體供給部
121‧‧‧氣體導入口
122‧‧‧氣體供給源
123‧‧‧氣體供給配管
124‧‧‧質流控制器
126‧‧‧開閉閥
130‧‧‧排氣部
132‧‧‧排氣管
134‧‧‧晶圓搬出入口
136‧‧‧閘閥
140‧‧‧高頻天線
142A‧‧‧內側天線元件
142B‧‧‧外側天線元件
144‧‧‧夾持體
150A‧‧‧高頻電源
150B‧‧‧高頻電源
160‧‧‧遮蔽構件
162A‧‧‧內側遮蔽壁
162B‧‧‧外側遮蔽壁
164A‧‧‧內側遮蔽板
164B‧‧‧外側遮蔽板
168A‧‧‧致動器
168B‧‧‧致動器
200‧‧‧控制部
210‧‧‧操作部
220‧‧‧記憶部
11‧‧‧微波電漿處理
12‧‧‧處理容器
13‧‧‧氣體供給機構
14‧‧‧保持台
14a‧‧‧靜電夾具
14b‧‧‧絕緣膜
14c‧‧‧電極
14d‧‧‧直流電源
14e‧‧‧開關
14f‧‧‧披覆線
15‧‧‧微波產生器
16‧‧‧導波管
17‧‧‧同軸導波管
18‧‧‧延遲板
19‧‧‧槽孔
19a‧‧‧第1槽孔
19b‧‧‧第2槽孔
20‧‧‧槽孔天線板
21‧‧‧介電體窗
21-1‧‧‧間隙
22‧‧‧底部
23‧‧‧側壁
23a‧‧‧開口部
24‧‧‧支撐構件
24a‧‧‧凹部
25‧‧‧排氣孔
25a‧‧‧排氣管
25b‧‧‧排氣裝置
26‧‧‧閘閥
29a‧‧‧中心導體
29b‧‧‧外周導體
30‧‧‧模式轉換器
31‧‧‧O型環
32‧‧‧槽孔對
33‧‧‧貫穿孔
34‧‧‧貫穿孔
35‧‧‧下面
36‧‧‧介電體窗凹部
37‧‧‧氣體供給口
38‧‧‧噴射器
39‧‧‧氣體供給口
40‧‧‧外部氣體流道
40a‧‧‧導管
40b‧‧‧外部氣體供給源
41‧‧‧接收凹部
43‧‧‧偏壓用高頻電源
44‧‧‧匹配單元
45‧‧‧供電棒
圖1係概略性地顯示一例示實施形態的第1實施形態相關之處理系統的構成之圖式。
圖2係顯示第1實施形態相關之處理方法的流程圖。
圖3係概略性地顯示一例示實施形態的第2實施形態相關之處理系統的構成之圖式。
圖4係顯示第2實施形態相關之處理方法的流程圖。
圖5係概略性地顯示一例示實施形態的第3實施形態相關之處理系統的構成之圖式。
圖6係顯示第3實施形態相關之處理方法的流程圖。
圖7係概略性地顯示第3實施形態的變形例之處理系統的構成之圖式。
圖8係概略性地顯示一例示實施形態的第4實施形態相關之處理系統的構成之圖式。
圖9係顯示第4實施形態相關之處理方法的流程圖。
圖10係顯示使用氫自由基來對晶圓進行表面處理的處理模組之具體構成一範例的圖式。
圖11係顯示圖10所示之高頻天線的圖式。
圖12係用以說明圖10所示之天線元件的動作之圖式。
圖13係用以說明圖10所示之天線元件的動作之圖式。
圖14係用以說明圖10所示之天線元件的作用之圖式。
圖15係顯示使用氫自由基來對晶圓進行表面處理的處理模組之具體構 成一範例的圖式。
圖16係顯示圖15所示之槽孔天線板的構成之圖式。
在對應於程序內容來在各裝置間移送作為被處理體之晶圓時,便會有讓晶圓暴露於大氣(特別是氧及水分)的情況。在處理後之晶圓表面接觸於大氣中之氧、水分等時,便會在晶圓表面及內部中產生各種無法預料的反應。因為此般反應,便會在製造後之半導體元件產生例如電阻值的上升、RC訊號延遲、可靠性劣化等的問題。從而,便提供一種可迴避因將被處理體暴露於大氣而可能在被處理體表面及內部所產生的各種反應之技術。以下,便就各種例示實施態樣來加以說明。
一例示實施形態中,係提供一種處理系統。此處理系統係處理被處理體之處理系統,具備:複數處理模組,係對被處理體進行處理;移送裝置,係連接於複數處理模組;以及控制部,係調整移送裝置之內部的氧分壓及水蒸氣分壓。控制部係使用真空泵之抽真空或是使用非活性氣體之置換,來將移送裝置之內部的氧分壓調整為127[Pa]以下,並將移動裝置之內部的水蒸氣分壓調整為24.1[Pa]以下。複數處理模組係包含:第1處理模組,係對被處理體進行蝕刻處理;第2處理模組,係對被處理體進行表面處理;以及第3處理模組,係對被處理體進行沉積處理。第2處理模組係使用以高頻天線所生成之氫自由基來進行表面處理。高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀。高頻天線之天線元件係兩端開放且中央部(中點或中點附近)接地,並以從用於處理系統之高頻電源所供給的訊號之波長的1/2波長來加以共振。上述處理系統可充分降低移送裝置內部之氧分壓及水蒸氣分壓,而在持續真空下對被處理體進行蝕刻處理、表面處理、沉積處理。因此,便可不讓因在第1模組中所進行之蝕刻處理而產生於被處理體表面的物質暴露於大氣,並可在充分降低被包含於大氣的氧、水等的狀態下,藉由表面處理來加以去除。進一步地,可持續不被暴露於大氣而充分降低氧、水等的狀態,並對被處理體表面進行沉積處理。從而,便可充分迴避因被包含於大氣的氧、水等對蝕刻處理後之被處理體表面產生作用, 而在被處理體表面及內部所產生之無法預料的反應。又,發明人進行努力研究的結果,便發現到要將氧分壓、水蒸氣分壓分別設定在127[Pa]以下、24.1[Pa]以下。在此情況,便可充分迴避因被包含於大氣的氧、水等對蝕刻處理後之被處理體表面產生作用,而在被處理體表面及內部所產生之無法預料的反應。又,氧分壓或水蒸氣分壓的調整係可藉由真空泵之抽真空,或是非活性氣體之置換來加以實現。另外,為了進一步地提高效果,亦可併用真空泵之抽真空及非活性氣體之置換。又,藉由使用上述構成之高頻天線,便可生成更大量的氫自由基。
一例示實施形態中,第2處理模組係藉由進行使用氫自由基之表面處理,來使被處理體表面之氟含量成為表面處理實行前的氟含量之1/10以下。如此般,發明人便發現到藉由使用氫自由基,便可有效果地進行蝕刻處理後之被處理體的表面處理。
一例示實施形態中,控制部係依序驅動第1處理模組、第2處理模組以及第3處理模組。
一例示實施形態中,移送裝置係具備第1移送模組與第2移送模組。控制部係將第2移送模組內部的氧分壓及水蒸氣分壓調整為較第1移送模組內部的氧分壓及水蒸氣分壓要低的狀態。如此般,即便在移送裝置內仍可同時設定有兩種類的氣壓。
一例示實施形態中,第3處理模組係連接於第2移送模組。一例示實施形態中,第1處理模組與第2處理模組係連接於第1移送模組。如此般,被處理體朝進行沉積處理之第3模組的移送便會在移送裝置內於最低氣壓下加以進行。
一例示實施形態中,移送裝置係係使用沖淨氣體及低溫泵的至少一者或兩者來調整各第1移送模組、第2移送模組內部的氧分壓及水蒸氣分壓。
一例示實施形態中,沖淨氣體係包含在釔安定氧化鋯之管內所生成的極低氧分壓的非活性氣體。
一例示實施形態中,沖淨氣體係包含H2氣體或CO氣體。
一例示實施形態中,第2處理模組係藉由以將氫自由基供給至第2處理模組內部並交互重複彼此相異的2個壓力之方式而調整第2處理模組之內部 的壓力,來進行表面處理。
一例示實施形態中,第2處理模組係交互重複2個處理。一個處理係以第1壓力來將氫自由基供給至第2處理模組內部的處理。另一個處理係停止氫自由基朝第2處理模組內部供給,而使第2處理模組內部的壓力成為較該第1壓力要低之第2壓力的處理。第2處理模組係藉由交互重複此般2個處理來進行表面處理。
一例示實施形態中,係提供一種處理方法。此處理方法係處理被處理體之處理方法。處理方法係具備:第1工序,係對被處理體進行蝕刻處理;第2工序,係對被處理體進行表面處理;以及第3工序,係對被處理體進行沉積處理。處理方法係在第1工序結束後而到第3工序開始前的期間內,不讓被處理體暴露於大氣,而使用真空泵之抽真空或是使用非活性氣體之置換來進行下述般之調整。亦即,處理方法係將被處理體周圍的氧分壓調整為127[Pa]以下,並將被處理體周圍的水蒸氣分壓調整為24.1[Pa]以下。第2工序係使用以高頻天線所生成之氫自由基來進行表面處理。高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀。高頻天線之天線元件係兩端開放且中央部(中點或中點附近)接地,並以從用於處理方法之高頻電源所供給的訊號之波長的1/2波長來加以共振。上述處理方法中,係可在第1工序結束後而到第3工序開始前的期間內,不讓被處理體暴露於大氣,充分降低被處理體周圍之氧分壓及水蒸氣分壓,而在持續真空下對被處理體進行蝕刻處理、表面處理、沉積處理。因此,便可不讓因在第1工序中所進行之蝕刻處理而產生於被處理體表面的物質暴露於大氣,並可在充分降低被包含於大氣的氧、水等的狀態下,藉由表面處理來加以去除。進一步地,便可持續不被暴露於大氣而充分降低氧、水等的狀態,並對被處理體表面進行沉積處理。從而,便可充分迴避因被包含於大氣的氧、水等對蝕刻處理後之被處理體表面產生作用,而在被處理體表面及內部所產生之無法預料的反應。又,發明人進行努力研究的結果,便發現到要將氧分壓、水蒸氣分壓分別設定在127[Pa]以下、24.1[Pa]以下。在此情況,便可充分迴避因被包含於大氣的氧、水等對蝕刻處理後之被處理體表面產生作用,而在被處理體表面及內部所產生之無法預料的反應。又,氧分壓或水蒸氣分壓的調整 係可藉由真空泵之抽真空,或是非活性氣體之置換來加以實現。另外,為了進一步地提高效果,亦可併用真空泵之抽真空及非活性氣體之置換。又,藉由使用上述構成之高頻天線,便可生成更大量的氫自由基。
一例示實施形態中,第2工序係藉由進行使用氫自由基之表面處理,來使被處理體表面的氟含量成為表面處理實行前的氟含量之1/10以下。如此般,發明人便發現到藉由使用氫自由基,便可有效果地進行蝕刻處理後之被處理體的表面處理。
一例示實施形態中,會依序實行第1工序、第2工序、第3工序。
一例示實施形態中,在第1工序、第2工序以及第3工序之各工序間,係使用沖淨氣體及低溫泵的至少一者或兩者來調整被處理體周圍的氧分壓及水蒸氣分壓。
一例示實施形態中,沖淨氣體係包含在釔安定氧化鋯之管內所生成的極低氧分壓的非活性氣體。
一例示實施形態中,沖淨氣體係包含H2氣體或CO氣體。
一例示實施形態中,第2工序係藉由以將氫自由基供給至被處理體周圍並交互重複彼此相異的2個壓力之方式而調整被處理體周圍的壓力,來進行表面處理。
一例示實施形態中,第2處理模組係藉由交互重複如下述般2個處理來進行表面處理,即以第1壓力來將氫自由基供給至被處理體周圍的處理,以及停止氫自由基朝被處理體周圍供給,而使被處理體周圍的壓力成為較第1壓力要低之第2壓力的處理。
以下,便參照圖式就各種例示實施形態來詳細說明。另外,各圖式中係對相同或相當的部分附加相同符號。
以下所說明之處理系統1會處理被處理體(以下有稱為晶圓W的情況)。以下所說明之方法MT係處理晶圓W之處理方法。
作為一範例的處理系統1及方法MT係被使用在基於雙鑲嵌(dual-damascene)法的BEOL(Back End Of Line)的配線形成程序,但亦可被使用在其他種類的程序中。
處理系統1及方法MT係在第1實施形態至第4實施形態中加以說明。各 第1實施形態至第4實施形態相關的處理系統1及方法MT都可被使用在基於雙鑲嵌法的BEOL的配線形成程序中。
具體而言,在各第1實施形態至第4實施形態中,會先使用以形成有貫穿孔(via)及配線溝的方式而藉由光微影法所設置之圖案,來蝕刻層間絕緣膜(Low-k膜)。接著,在因該蝕刻而露出的層間絕緣膜表面形成阻隔層等後,將金屬填充於各貫穿孔、配線溝。SiOx系(x為正數,以下同。)的層間絕緣膜之情況,針對該層間絕緣膜的蝕刻係可使用氟碳系氣體。
(第1實施形態)
本第1實施形態會參照圖1及圖2。圖1係概略性地顯示第1實施形態相關之處理系統1構成的圖式。圖2係顯示第1實施形態相關之方法MT的流程圖。圖2所示之方法MT的一部分係可使用圖1所示的處理系統1來加以實行。
處理系統1係具備複數收納容器LP、裝載模組LM、移送裝置TD、氣壓調整系統AC、控制部Cnt、複數處理模組(處理模組10a、處理模組10b、處理模組10c、處理模組10d)。
移送裝置TD係連接於複數處理模組(處理模組10a等),而將晶圓W移送至各複數處理模組(處理模組10a等)。移送裝置TD係具備裝載互鎖模組LLMa、移轉模組TMa(第1移送模組)、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、移轉模組TMb(第2移送模組)。
複數處理模組(處理模組10a等)係分別各自對晶圓W進行處理。從移送裝置TD橫跨到複數處理模組(處理模組10a等)各內部的空間會被氣密密封。
控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,而會總括地控制圖1所示之處理系統1各部。控制部Cnt係依照用以在圖2之流程圖所示的方法MT之各工序中控制圖1所示之處理系統1各部的電腦程式(基於輸入之配方的程式)來加以動作,而傳送出控制訊號。控制部Cnt會藉由來自控制部Cnt的控制訊號,而控制圖1所示之處理系統1各部,來實行圖2之流程圖所示的方法MT之一部分。控制部Cnt之記憶部係讀取自如地儲存有用以實行圖2之流程圖所示的方法MT之一部分的電腦程式以及用於方法MT之一部分的實行的各種資料。
控制部Cnt會調整從移送裝置TD內部橫跨至複數處理模組(處理模組 10a等)的各內部之空間內的氣壓。控制部Cnt係在方法MT實行時,以成為較大氣壓要低之氣壓,例如600[Pa]以下的方式來調整從移送裝置TD內部橫跨至複數處理模組(處理模組10a等)的各內部之空間內的氣壓。控制部Cnt係在方法MT實行時,將移轉模組TMb內部之氣壓調整為較移轉模組TMa內部之氣壓(例如600[Pa])要低之氣壓(氧分壓及水蒸氣分壓)(例如1[Pa])。移轉模組TMa、移轉模組TMb的各內部氣壓係指氧分壓及水蒸氣分壓。
本揭露中,移轉模組TMa係具備乾式泵及機械升壓泵。移轉模組TMa的可到達真空度可為例如5[Pa]左右。移轉模組TMb係具備乾式泵、機械升壓泵以及渦輪分子泵。移轉模組TMb的可到達真空度可為例如5E-4(=5/10000)[Pa]左右。使移轉裝置TD整體成為較高之真空會需要較高之成本。從而,便以能得到所需最低限度的真空度之方式來將移送裝置TD區分為移轉模組TMa與移轉模組TMb,而藉由設置彼此不同的泵構成,便能減低成本。由於移轉模組TMa的內部氣壓、移轉模組TMb的內部氣壓係彼此不同,各內部之氧分壓或水蒸氣分壓亦會依該內部氣壓而有所不同。更具體而言,移轉模組TMb的內部氣壓、氧分壓、水蒸氣分壓會較移轉模組TMa要低。
控制部Cnt係在方法MT實行時,將從移送裝置TD內部橫跨至複數處理模組(處理模組10a等)的各內部之空間內的氧分壓調整為127[Pa]以下。控制部Cnt會至少將移送裝置TD內部的氧分壓調整為127[Pa]以下。控制部Cnt係在方法MT實行時,將從移送裝置TD內部橫跨至複數處理模組(處理模組10a等)的各內部之空間內的水蒸氣分壓調整為24.1[Pa]以下。控制部Cnt會至少將移送裝置TD內部的水蒸氣分壓調整為24.1[Pa]以下。
控制部Cnt會使用氣壓調整系統AC所具備的未圖示之真空泵的抽真空,或是使用利用氣壓調整系統AC所具備的未圖示之氣體供給系統的非活性氣體之置換。控制部Cnt係藉由該抽真空或置換來調整從移送裝置TD內部橫跨至複數處理模組(處理模組10a等)的各內部之空間內的氧分壓或水蒸氣分壓。
另外,氣壓調整系統AC會測量移送裝置TD、複數處理模組(處理模組10a等)的各內部空間之氣壓,並將測量結果傳送至控制部Cnt。控制部Cnt 會基於從氣壓調整系統AC所傳送之氣壓相關的測量結果,來調整移送裝置TD、複數處理模組(處理模組10a等)的各內部空間之氣壓。
複數收納容器LP係沿著裝載模組LM一邊緣來排列。各收納容器LP內係可收納晶圓W。
裝載模組LM內係設置有未圖示的搬送機器人。裝載模組LM之搬送機器人係將被收納在收納容器LP的晶圓W取出,而將晶圓W搬送至裝載互鎖模組LLMa。
裝載互鎖模組LLMa係沿著裝載模組LM的另一緣部來設置,而連接於裝載模組LM。裝載互鎖模組LLMa會構成預備減壓室。裝載互鎖模組LLMa係連接於移轉模組TMa。
移轉模組TMa係連接有裝載互鎖模組LLMa、處理模組10a、處理模組10b、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2。移轉模組TMa係可減壓腔室,移轉模組TMa內係設置有未圖示之搬送機器人。移轉模組TMa內之搬送機器人係可透過移轉模組TMa來自由移動晶圓W。晶圓W係可藉由該搬送機器人來在裝載互鎖模組LLMa、處理模組10a、處理模組10b、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2各自之間移動。
裝載互鎖模組LLMb1、裝載互鎖模組LLMb2係具備相同構成。裝載互鎖模組LLMb1、裝載互鎖模組LLMb2係連接於移轉模組TMa與移轉模組TMb。裝載互鎖模組LLMb1、裝載互鎖模組LLMb2係可在晶圓W位在裝載互鎖模組LLMb1、裝載互鎖模組LLMb2內的情況,進行該晶圓W之溫度控制(冷卻或加熱)。
移轉模組TMb係連接於裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10c、處理模組10d。移轉模組TMb係可減壓腔室,移轉模組TMb內係設置有未圖示之搬送機器人。移轉模組TMb內之搬送機器人係可透過移轉模組TMb來自由移動晶圓W。晶圓W係可在裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10c、處理模組10d各自之間移動。
在移轉模組TMa內及移轉模組TMb內的任一者中,關於有需要將1小時的Q-time延長為1星期的情況之各氧分壓及水蒸氣分壓係如下所示。亦即,例如氧分壓為127[Pa]以下,水蒸氣分壓為24.1[Pa]以下。所謂1小時的Q-time 係一工序結束時到下個工序實行開始時的時間。
處理系統1中,晶圓W會依照方法MT而依序在圖1所示之路徑P1a~路徑P1e被加以移送。
處理模組10a(第1處理模組)係對晶圓W進行蝕刻處理。更具體而言,處理模組10a係可對晶圓W進行RIE(Reactive Ion Etching)法的電漿處理裝置。藉由處理模組10a所進行之RIE法係乾式程序。藉由處理模組10a所進行之RIE法中,係可使用包含CF系氣體(包含C與F的氣體,例如C4F8氣體、C5F8氣體、C4F6氣體等。)以及CHF系氣體(包含C與H與F的氣體,例如CHF3氣體、CH2F2氣體等。)之混合氣體電漿。藉此,便可進行由矽氧化膜所構成之層間絕緣膜的蝕刻。進一步地,可接著進行作為蝕刻遮罩而被使用的光阻膜等的包含碳之膜的去除(灰化處理)。此時,可使用包含O系氣體(包含O之氣體,例如O2氣體、CO氣體、CO2氣體等。)之混合氣體的電漿。藉由處理模組10a所進行之灰化處理係乾式程序。
處理模組10b(第2處理模組)係使用氫自由基,來對晶圓W進行表面處理。更具體而言,處理模組10b係可對晶圓W進行使用氫自由基的清潔處理之電漿處理裝置。藉由處理模組10b所進行之清潔處理係乾式程序。處理模組10b係使用ICP、CCP、遠端電漿、熱絲的至少一種,來生成用於表面處理之氫自由基。ICP(Inductively Coupled Plasma)係感應耦合電漿,CCP(Capacitively Coupled Plasma)係電容耦合電漿。處理模組10b係藉由進行使用氫自由基的表面處理,來使晶圓W表面的氟含量成為該表面處理實行前的氟含量之1/10以下。
處理模組10c(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10c係可對晶圓W藉由離子化PVD(Physical Vapor Deposition)法,來進行阻隔(barrier)層的形成處理之電漿處理裝置。藉由處理模組10c所進行之阻隔層的形成處理係乾式程序。處理模組10c係可形成Ta/TaN阻隔層。
處理模組10d(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理膜處10d係可對晶圓W藉由離子化PVD法,來進行種晶(seed)層的形成處理之電漿處理裝置。藉由處理模組10d所進行之種晶層的形成處理係乾式程序。處理模組10d係可形成Cu的種晶層。
控制部Cnt係依序驅動處理模組10a、處理模組10b、處理模組10c、處理模組10d。
處理模組10a與處理模組10b係連接於移轉模組TMa。處理模組10c與處理模組10d係連接於移轉模組TMb。
圖2所示之方法MT的一部分係使用圖1所示之處理系統1來加以實行。方法MT係具備工序ST1a、工序ST1b、工序ST1c。工序ST1b係具備工序ST1b1(第1工序)、工序ST1b2(第2工序)、工序ST1b3(第3工序)、工序ST1b4(第3工序)。工序ST1b係在圖1所示之處理系統1中被加以實行。方法MT之工序STb1中,係依序實行工序ST1b1、工序ST1b2、工序ST1b3、工序ST1b4。更具體而言,工序ST1b中,係先實行工序ST1b1,再接著實行工序ST1b2,再接著實行工序ST1b3,再接著實行工序ST1b4。晶圓W不會在工序ST1b的實行中,被露出於處理系統1外部,因此便不會被暴露於大氣。
更具體而言,工序ST1a中為了實行雙鑲嵌法,而使用光微影法來形成用以形成貫穿孔及配線溝的圖案。工序ST1a係可使用與處理系統1不同的處理系統。
在工序ST1a後,晶圓W會被移送至處理系統1,在該處理系統1中,會接著工序ST1a而實行工序ST1b。工序ST1b係在處理系統1內於持續真空的環境下僅實行乾式程序。工序ST1b係具備工序ST1b1~工序ST1b4。
被移送至處理系統1的晶圓W係沿著路徑P1a而依序經過收納容器LP、裝載模組LM、裝載互鎖模組LLMa、移轉模組TMa,而被移送至處理模組10a。被移送至處理模組10a的晶圓W係藉由處理模組10a來實行工序ST1b1。
工序ST1b1(第1工序)係對晶圓W進行蝕刻處理。更具體而言,工序ST1b1中,處理模組10a係對晶圓W依照在工序ST1a中所形成的圖案,並藉由RIE來將晶圓W之層間絕緣膜(以矽氧化膜為主成分者)做蝕刻處理。工序ST1b1之蝕刻處理中,係可使用CF系氣體(由C與F所構成之氣體,例如C4F8氣體、C5F8氣體、C4F6氣體等)或是CHF系氣體(由C與H與F所構成之氣體,例如CHF3氣體、CH2F2氣體等)的電漿。進一步地,可接著進行作為蝕刻遮罩而被使用之光阻膜等的包含碳之膜的去除(灰化處理)。此時,可使用包含O系氣體(包含O之氣體,例如O2氣體、CO氣體、CO2氣體等)之混合氣體的 電漿。另外,可對應於蝕刻對象膜或遮罩的種類、必須的蝕刻選擇比,來使用包含CF系氣體與CHF氣體之混合氣體的電漿。
工序ST1b1之蝕刻處理後的晶圓W係沿著路徑P1b而從處理模組10a透過真空狀態之移轉模組TMa來被移送至處理模組10b。
晶圓W從處理模組10a朝處理模組10b的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下進行。被移送至處理模組10b的晶圓W係藉由處理模組10b來實行有工序ST1b2。
工序ST1b2(第2工序)係對晶圓W進行表面處理。工序ST1b2係對晶圓W使用氫自由基來進行表面處理。更具體而言,工序ST1b2中,處理模組10b係藉由對晶圓W進行使用氫自由基的灰化,來清潔晶圓W表面。在此情況下的灰化係使用氫之還原灰化,而與工序ST1b1中所進行的上述使用O系氣體的氧化灰化有所不同。藉由工序ST1b2的灰化,便可充分去除在工序ST1b1之蝕刻處理後殘留於晶圓W表面的氟、CF系聚合物等。處理模組10b之工序ST1b2亦兼具除氣(degas),而可藉由加熱晶圓W,來去除存在於晶圓W表面附近之水分。工序ST1b2係使用感應耦合電漿、電容耦合電漿、遠端電漿、熱絲的至少一種來生成用於表面處理的氫自由基。工序ST1b2係藉由進行使用氫自由基的表面處理,來使晶圓W表面之氟含量成為該表面處理實行前之氟含量的1/10以下。
在工序ST1b2清潔後的晶圓W係沿著路徑P1c來被移送至處理模組10c。在此情況,晶圓W朝處理模組10c的移送係從處理模組10b依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb來加以進行。
晶圓W從處理模組10b朝處理模組10c的移送係不將晶圓W暴露於大氣,而在持續真空的環境下加以進行。該移送係依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb來加以進行。在裝載互鎖模組LLMb1中,係可藉由預先將載置晶圓W之載置台的溫度降低,來降低清潔時所加熱後的晶圓W溫度。在工序ST1b2之清潔時的晶圓W溫度為約300℃,在工序ST1b3之阻隔層的形成中,晶圓W溫度為室溫附近。如此般,由於工序ST1b2實行時之溫度與工序ST1b3實行時之 溫度的溫度差會較大,故較佳地在裝載互鎖模組LLMb1中被冷卻。被移送至處理模組10c之晶圓W係藉由處理模組10c來實行工序ST1b3。
工序ST1b3(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST1b3中,處理模組10c係對晶圓W使用離子化PVD法來形成Ta/TaN阻隔層。
在工序ST1b3形成阻隔層後的晶圓W係沿著路徑P1d而從處理模組10c透過真空狀態之移轉模組TMb來被移送至處理模組10d。
晶圓W從處理模組10c朝處理模組10d的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMb來在持續真空的環境下加以進行。被移送至處理模組10d的晶圓W係藉由處理模組10d來實行工序ST1b4。
工序ST1b4(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST1b4中,處理模組10d係對晶圓W使用離子PVD法來形成Cu種晶層。
方法MT係在從進行蝕刻處理之工序實行開始到進行沉積處理之工序實行結束的期間內,不讓晶圓W被暴露於大氣,且將晶圓W周圍之氣壓設定為較大氣壓要低。
在從進行蝕刻處理之工序實行開始到進行沉積處理之工序實行結束的期間內,晶圓W周圍之氧分壓為127[Pa]以下。在從進行蝕刻處理之工序實行開始到進行沉積處理之工序實行結束的期間內,晶圓W周圍之水蒸氣分壓為24.1[Pa]以下。
在從進行蝕刻處理之工序實行開始到進行沉積處理之工序實行結束的期間內,被處理體周圍之氧分壓或是水蒸氣分壓係使用真空泵之抽真空,或是使用非活性氣體之置換,來加以調整。另外,亦可併用抽真空及氣體置換來進行分壓調整。非活性氣體係可使用Ar氣體等的稀有氣體或N2氣體。
然後,在工序ST1b4結束時,晶圓W便會於處理系統1中沿著路徑P1e來被移送至收納容器LP。在此情況,晶圓W便會從處理模組10d依序透過移轉模組TMb、裝載互鎖模組LLMb2、移轉模組TMa、裝載互鎖模組LLMa、裝載模組LM來被移送至收納容器LP。之後,晶圓W便會進一步地被移行至其他處理系統,而實行工序ST1c。
在工序ST1b4後,亦即接著工序ST1b的工序ST1c中,係藉由進行Cu的電鍍來將Cu填埋至晶圓W之貫穿孔及配線溝。另外,工序ST1c的實行係可 以與圖1所示之處理系統1不同的處理系統來加以進行。在此情況,晶圓W係在工序ST1b後從圖1所示之處理系統1被搬出至外部,而被搬入至與圖1所示之處理系統1不同的處理系統。
另外,第1實施形態中,至少在從第1工序結束後到第3工序開始前的期間內,不讓晶圓W被暴露於大氣,而將晶圓W周圍之氣壓設定為較大氣壓要低。至少在從第1工序結束後到第3工序開始前的期間內,被處理體周圍之氧分壓為127[Pa]以下。至少在從第1工序結束後到第3工序開始前的期間內,晶圓W周圍之水蒸氣分壓為24.1[Pa]以下。至少在從第1工序結束後到第3工序開始前的期間內,晶圓W周圍之氧分壓或水蒸氣分壓係使用真空泵之抽真空或使用非活性氣體之置換,來加以調整。下述第2~第4實施形態中亦相同。
處理系統1係可將移送裝置TD內部之氣壓調整為較大氣壓要低之氣壓,而可充分降低移送裝置TD內部之氣壓,並在持續真空下對晶圓W進行蝕刻處理、表面處理、沉積處理。換言之,方法MT係在從進行蝕刻處理之工序結束後到進行沉積處理之工序開始前的期間內,不讓晶圓W被暴露於大氣,而將晶圓W周圍之氣壓設定為較大氣壓要低。因此,便可充分降低晶圓W周圍之氣壓,並在持續真空下對晶圓W進行蝕刻處理、表面處理、沉積處理。因此,因為蝕刻處理而在晶圓W表面所產生的物質便不會被暴露於大氣而可在充分降低水等的狀態下藉由表面處理來被去除。進一步地,可持續不被暴露於大氣而充分降低氧、水等的狀態,並在晶圓W表面進行沉積處理。從而,便可充分迴避因被包含於大氣之氧、水等對蝕刻處理後之晶圓W表面作用而在晶圓W表面及內部產生無法預料的反應。處理系統1的上述構成係基於引發因大氣暴露而在晶圓W產生的現象之要素是氫與氟這兩者,而只要消除任一者的話便可降低該現象所導致之影響的想法而完成者。以往,雖藉由濕式洗淨來去除大部分的氟,但又會因為大氣暴露與濕式處理而受到氧與水的影響。藉由本揭露之乾式程序,會因不大氣暴露(亦即,排除氧與水的影響),而可期待能迴避k值上升或銅配線之腐蝕的現象。
具體而言,在基於雙鑲嵌法的BEOL之配線形成程序中,在晶圓W之層間絕緣膜為SiOx系的Low-k膜之情況下,會使用氟碳系氣體來對該層間絕緣 膜進行蝕刻處理。在此情況,蝕刻處理後之晶圓W表面便會產生含有F(氟)之聚合物殘渣。在此,氟碳系氣體係CF系氣體或CHF系氣體。進一步地,便會因蝕刻處理及氧化灰化處理而對Low-k膜產生Si-CH3鍵結的減少及Si-OH、Si-H鍵結的增加,而使晶圓W表面之防水性降低(亦即,成為更具親水性)。因此,在蝕刻處理及氧化灰化處理後讓晶圓W被暴露於大氣時,便會因大氣中之氧、水等以及晶圓W表面之含F聚合物殘渣與降低後之防水性,而促進晶圓W表面之吸濕。然後,便會阻礙該聚合物之去除,並使在蝕刻加工後露出於貫穿孔底之下層金屬配線(例如銅)產生氧化、腐蝕,進一步地,還會生成含F之非導體膜(例如CuF2等)。由於水的k值在室溫下為80左右,故吸濕的進行會招致k值上升,而導致金屬配線中之電容增加。含F聚合物之殘渣會成為F的供給源,而進一步地阻礙層間絕緣膜與阻隔膜之密合。金屬配線之氧化、腐蝕及含F非導體膜之形成會招致貫穿孔阻抗之上升,而成為RC延遲、可靠度劣化的原因之一。又,在製品產率的點上,會被要求要嚴格管理Q-time。針對此,根據處理系統1及方法MT,由於可在持續真空下對晶圓W進行蝕刻處理、表面處理、沉積處理,故可不被暴露於大氣而充分抑制晶圓W表面與大氣中之氧、水等的接觸。又,藉由對蝕刻處理後之晶圓W進行乾式程序的表面處理,便可將大部分因蝕刻而產生在晶圓W表面的含F(氟)之氟碳系聚合物殘渣轉換為碳化氫或HF而去除。該表面處理係還原灰化之清潔。推測在此情況下之反應式範例係CF4+8H→CH4+4HF。從而,便可充分迴避因被包含於大氣之氧、水等對蝕刻處理後之晶圓W表面作用而在晶圓W表面及內部產生無法預料的反應。可提升製品產率及放寬Q-time的管理。
又,發明人努力進行研究的結果,發現到只要將氧分壓、水蒸氣分壓分別設定為127[Pa]以下,24.1[Pa]以下的話,便可充分迴避晶圓W表面及內部所產生之無法預料的反應。該無法預料的反應係因被包含於大氣之氧、水等對蝕刻處理後之晶圓W表面作用而產生的反應。試想在以1小時之Q-time管理來實現與例如將1小時之Q-time延長為1星期(168小時)的情況相同之效果的情況。在此情況下,便需要將氧分壓、水蒸氣分壓都成為1/168倍。大氣壓為101325[Pa],而將大氣中氧、水蒸氣所占的比例分別成為21%、 4%。在此情況,氧分壓為101325[Pa]×21%×(1/168)=127[Pa]。水蒸氣分壓為101325[Pa]×4%×(1/168)=24.1[Pa]。從而,只要將氧分壓、水蒸氣分壓分別設定為127[Pa]以下,24.1[Pa]以下的話,便可充分迴避晶圓W表面及內部所產生之無法預料的反應。該無法預料的反應係因被包含於大氣之氧、水等對蝕刻處理後之晶圓W表面作用所產生的反應。
(第2實施形態)
本第2實施形態會參照圖3及圖4。圖3係概略性地顯示第2實施形態相關之處理系統1構成的圖式。圖4係顯示第2實施形態相關之方法MT的流程圖。圖4所示之方法MT的一部分係可使用圖3所示的處理系統1來加以實行。以下,在第2實施形態中之各構成部的說明中,為了避免重複說明,便省略被包含於第1實施形態之構成相關的說明。
圖3所示之處理系統1係包含複數收納容器LP、裝載模組LM、移送裝置TD、氣壓調整系統AC、控制部Cnt、複數處理模組。複數處理模組係包含處理模組10a、處理模組10b、處理模組10c1、處理模組10e、處理模組10f。移送裝置TD係具備有裝載互鎖模組LLMa、移轉模組TMa、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、移轉模組TMb。
控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,而會總括地控制圖3所示之處理系統1各部。控制部Cnt係依照用以在圖4之流程圖所示的方法MT之各工序中控制圖3所示之處理系統1各部的電腦程式(基於輸入之配方的程式)來加以動作,而傳送出控制訊號。控制部Cnt會藉由來自控制部Cnt的控制訊號,而控制圖3所示之處理系統1各部,來實行圖4之流程圖所示的方法MT之一部分。控制部Cnt之記憶部係讀取自如地儲存有用以實行圖4之流程圖所示的方法MT之一部分的電腦程式以及用於圖4之流程圖所示的方法MT之一部分的實行的各種資料。
移轉模組TMb係連接於裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10c1、處理模組10e、處理模組10f。移轉模組TMb內之搬送機器人係可透過移轉模組TMb來自由移動晶圓W。在此情況,晶圓W係可藉由該搬送機器人,而在裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10c1、處理模組10e、處理模組10f各自之間移動。
第2實施形態相關之處理系統1中,晶圓W係依照圖4之流程圖所示的方法MT,而依序在圖3所示之路徑P2a~路徑P2f被移送。
處理模組10c1(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10c1係可對晶圓W藉由離子化PVD法,來進行阻隔層的形成處理之電漿處理裝置。藉由處理模組10c1所進行之阻隔層的形成處理係乾式程序。處理模組10c1係可形成TaN阻隔層。
處理模組10e(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10e係可對晶圓W藉由CVD(Chemical Vapor Deposition)法,來進行襯底(liner)層的形成處理之電漿處理裝置。藉由處理模組10e所進行之襯底層的形成處理係乾式程序。處理模組10e係可形成Ru襯底層。
處理模組10f(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理膜處10f係可對晶圓W藉由離子化PVD法,來進行Cu填充處理之電漿處理裝置。藉由處理模組10f所進行之Cu填充處理係乾式程序。
控制部Cnt係依序驅動處理模組10a、處理模組10b、處理模組10c1、處理模組10e、處理模組10f。
處理模組10a與處理模組10b係連接於移轉模組TMa。處理模組10c1與處理模組10e與處理模組10f係連接於移轉模組TMb。
圖4所示之方法MT的一部分係使用圖3所示之處理系統1來加以實行。圖4所示之方法MT係具備工序ST2a、工序ST2b、工序ST2c。工序ST2b係具備工序ST2b1(第1工序)、工序ST2b2(第2工序)、工序ST2b3(第3工序)、工序ST2b4(第3工序)、工序ST2b5(第3工序)。工序ST2b係在圖3所示之處理系統1中被加以實行。方法MT之工序ST2b中,係依序實行工序ST2b1、工序ST2b2、工序ST2b3、工序ST2b4、工序ST2b5。更具體而言,工序ST2b中,係先實行工序ST2b1,再接著實行工序ST2b2。工序ST2b2會再接著實行工序ST2b3,再接著實行工序ST2b4、再接著實行工序ST2b5。晶圓W不會在工序ST2b的實行中,被露出於處理系統1外部,因此便不會被暴露於大氣。
另外,圖4所示之各工序ST2a、工序ST2b1、工序ST2b2、工序ST2c係與圖4所示之各工序ST1a、工序ST1b1、工序ST1b2、工序ST1c相同。
在工序ST2a後,晶圓W便會被移送至處理系統1,而在該處理系統1中, 實行接續工序ST2a之工序ST2b。工序ST2b係在處理系統1內於持續真空的環境下僅實行乾式程序。工序ST2b係具備工序ST2b1~工序ST2b5。
被移送至處理系統1的晶圓W係沿著路徑P2a而依序經過收納容器LP、裝載模組LM、裝載互鎖模組LLMa、移轉模組TMa內,而被移送至處理模組10a。被移送至處理模組10a的晶圓W係藉由處理模組10a來實行工序ST2b1(RIE法之蝕刻處理)。
工序ST2b1的蝕刻處理後的晶圓W係沿著路徑P2b而從處理模組10a透過真空狀態之移轉模組TMa來被移送至處理模組10b。晶圓W從處理模組10a朝處理模組10b的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下進行。被移送至處理模組10b的晶圓W係藉由處理模組10b來實行有工序ST2b2(清潔)。
在工序ST2b2之清潔後的晶圓W係沿著路徑P2c來被移送至處理模組10c1。在此情況,晶圓W係可從處理模組10b依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb來被移送至處理模組10c1。晶圓W從處理模組10b朝處理模組10c1的移送係不將晶圓W暴露於大氣,而在持續真空的環境下加以進行。在此情況,晶圓W之移送係依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb來加以進行。被移送至處理模組10c1之晶圓W係藉由處理模組10c1來實行工序ST2b3。
工序ST2b3(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST2b3中,處理模組10c1係對晶圓W使用離子化PVD法來形成TaN阻隔層。
在工序ST2b3形成阻隔層後的晶圓W係沿著路徑P2d而從處理模組10c1透過真空狀態之移轉模組TMb來被移送至處理模組10e。晶圓W從處理模組10c1朝處理模組10e的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMb來在持續真空的環境下加以進行。被移送至處理模組10e的晶圓W係藉由處理模組10e來實行工序ST2b4。
工序ST2b4(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST2b4中,處理模組10e係對晶圓W使用CVD法來形成Ru襯底層。
在工序ST2b4形成襯底層後的晶圓W係沿著路徑P2e而從處理模組10e 透過真空狀態之移轉模組TMb來被移送至處理模組10f。晶圓W從處理模組10e朝處理模組10f的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMb來在持續真空的環境下加以進行。被移送至處理模組10f的晶圓W係藉由處理模組10f來實行工序ST2b5。
工序ST2b5(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST2b5中,處理模組10f係對晶圓W使用離子化PVD法來進行Cu填充。另外,為了有效果地進行Cu填充,可在離子化PVD中將晶圓W在250℃到350℃範圍內進行加熱,並併用Cu的回流現象。
然後,在工序ST2b5結束時,晶圓W便會於處理系統1中沿著路徑P2f來被移送至收納容器LP。在此情況,晶圓W便會從處理模組10f依序透過移轉模組TMb、裝載互鎖模組LLMb2、移轉模組TMa、裝載互鎖模組LLMa、裝載模組LM來被移送至收納容器LP。之後,晶圓W便會進一步地被移行至其他處理系統,而實行工序ST2c。
在工序ST2b5後,亦即接著工序ST2b的工序ST2c中,係藉由進行Cu的電鍍來將Cu填埋至晶圓W之配線溝等。另外,工序ST2c的實行係可以與圖3所示之處理系統1不同的處理系統來加以進行。在此情況,晶圓W係在工序ST2b後從圖3所示之處理系統1被搬出至外部,而被搬入至與圖3所示之處理系統1不同的處理系統。
上述第2實施形態相關之處理系統1及方法MT係可發揮與第1實施形態之情況相同的作用效果。進一步地,在第2實施形態的情況,可提高對微細配線之適用性。
(第3實施形態)
本第3實施形態會參照圖5及圖6。圖5係概略性地顯示第3實施形態相關之處理系統1構成的圖式。圖6係顯示第3實施形態相關之方法MT的流程圖。圖6所示之方法MT的一部分係可使用圖5所示的處理系統1來加以實行。以下,在第3實施形態中之各構成部的說明中,為了避免重複說明,便省略被包含於第1實施形態及第2實施形態之構成相關的說明。
圖5所示之處理系統1係包含複數收納容器LP、裝載模組LM、移送裝置TD、氣壓調整系統AC、控制部Cnt、複數處理模組。複數處理模組係包含 處理模組10a、處理模組10b、處理模組10c2、處理模組10e、處理模組10g、處理模組10f。移送裝置TD係具備有裝載互鎖模組LLMa、移轉模組TMa、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、移轉模組TMb。
控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,而會總括地控制圖5所示之處理系統1各部。控制部Cnt係依照用以在圖6之流程圖所示的方法MT之各工序中控制圖5所示之處理系統1各部的電腦程式(基於輸入之配方的程式)來加以動作,而傳送出控制訊號。控制部Cnt會藉由來自控制部Cnt的控制訊號,而控制圖5示之處理系統1各部,來實行圖6之流程圖所示的方法MT之一部分。控制部Cnt之記憶部係讀取自如地儲存有用以實行圖6之流程圖所示的方法MT之一部分的電腦程式以及用於圖6之流程圖所示的方法MT之一部分的實行的各種資料。
移轉模組TMa係連接於裝載互鎖模組LLMa、裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10a、處理模組10b、處理模組10c2。移轉模組TMa內之搬送機器人係可透過移轉模組TMa來在裝載互鎖模組LLMa、處理模組10a、處理模組10b、處理模組10c2各自之間自由移動晶圓W。
移轉模組TMb係連接於裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10g、處理模組10e、處理模組10f。移轉模組TMb內之搬送機器人係可透過移轉模組TMb來自由移動晶圓W。在此情況,晶圓W係可藉由該搬送機器人,而在裝載互鎖模組LLMb1、裝載互鎖模組LLMb2、處理模組10g、處理模組10e、處理模組10f各自之間移動。
第3實施形態相關之處理系統1中,晶圓W係依照圖6之流程圖所示的方法MT,而依序在圖5所示之路徑P31a~路徑P31g被移送。
處理模組10c2(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10c2係可對晶圓W藉由ALD(Atomic Layer Deposition)法,來進行阻隔層的形成處理之熱處理裝置。藉由處理模組10c2所進行之阻隔層的形成處理係乾式程序。處理模組10c2係可形成MnOx(x為正數,以下同)阻隔層。
處理模組10g係對晶圓W進行阻隔層之表面還原處理。更具體而言,處理模組10g係可對MnOx阻隔層進行表面還原處理之電漿處理裝置。藉由處理模組10g所進行之表面還原處理係乾式程序。
控制部Cnt係依序驅動處理模組10a、處理模組10b、處理模組10c2、處理模組10g、處理模組10e、處理模組10f。
處理模組10a與處理模組10b與處理模組10c2係連接於移轉模組TMa。處理模組10g與處理模組10e與處理模組10f係連接於移轉模組TMb。
圖6所示之方法MT的一部分係使用圖5所示之處理系統1來加以實行。圖6所示之方法MT係具備工序ST3a、工序ST3b、工序ST3c。工序ST3b係具備工序ST3b1(第1工序)、工序ST3b2(第2工序)、工序ST3b3(第3工序)、工序ST3b4(第2工序)、工序ST3b5(第3工序)、工序ST3b6(第3工序)。工序ST3b係在圖5所示之處理系統1中被加以實行。方法MT之工序ST3b中,係依序實行工序ST3b1、工序ST3b2、工序ST3b3、工序ST3b4、工序ST3b5、工序ST3b6。更具體而言,工序ST3b中,係先實行工序ST3b1,再接著實行工序ST3b2。再實行接著工序ST3b2的工序ST3b3,再接著實行工序ST3b4。再實行接著工序ST3b4的工序ST3b5,再接著實行工序ST3b6。晶圓W不會在工序ST3b的實行中,被露出於處理系統1外部,因此便不會被暴露於大氣。
另外,圖6所示之各工序ST3a、工序ST3b1、工序ST3b2、工序ST3c係與圖2所示之各工序ST1a、工序ST1b1、工序ST1b2、工序ST1c相同。另外,圖6所示之各工序ST3b5、工序ST3b6係與圖4所示之各工序ST2b4、工序ST2b5相同。
在工序ST3a後,晶圓W便會被移送至處理系統1,而在該處理系統1中,實行接續工序ST3a之工序ST3b。工序ST3b係在處理系統1內於持續真空的環境下僅實行乾式程序。工序ST3b係具備工序ST3b1~工序ST3b6。
被移送至處理系統1的晶圓W係沿著路徑P31a而依序經過收納容器LP、裝載模組LM、裝載互鎖模組LLMa、移轉模組TMa,而被移送至處理模組10a。被移送至處理模組10a的晶圓W係藉由處理模組10a來實行工序ST3b1(RIE法之蝕刻處理)。
工序ST3b1的蝕刻處理後的晶圓W係沿著路徑P31b而從處理模組10a透過真空狀態之移轉模組TMa來被移送至處理模組10b。晶圓W從處理模組10a朝處理模組10b的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下進行。被移送至處理模組10b的晶圓W係藉由 處理模組10b來實行有工序ST3b2(清潔)。
在工序ST3b2清潔後的晶圓W係沿著路徑P31c而從處理模組10b透過真空狀態之移轉模組TMa來被移送至處理模組10c2。晶圓W從處理模組10b朝處理模組10c2的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下加以進行。被移送至處理模組10c2之晶圓W係藉由處理模組10c2來實行工序ST3b3。
工序ST3b3(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST3b3中,處理模組10c2係對晶圓W使用ALD法來形成MnOx阻隔層。
在工序ST3b3形成阻隔層後之晶圓W係沿著路徑P31d而被移送至處理模組10g。在此情況,晶圓W係可從處理模組10c2依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb,來被移送至處理模組10g。晶圓W從處理模組10c2朝處理模組10g的移送係不將晶圓W暴露於大氣,而在持續真空的環境下加以進行。在此情況,晶圓W的移送係依序透過真空狀態之移轉模組TMa、真空狀態之裝載互鎖模組LLMb1、真空狀態之移轉模組TMb來加以進行。被移送至處理模組10g之晶圓W係藉由處理模組10g來實行工序ST3b4。
工序ST3b4(第2工序)係對晶圓W進行表面還原處理。更具體而言,工序ST3b4係對晶圓W使用氫自由基來對MnOx阻隔層進行表面還原處理。藉由此表面還原處理,MnOx層的表面便會被還原而成為金屬Mn,而能輕易進行後續之CVD法的Ru襯底層之形成。此時,在表面還原處理時,晶圓W會被加熱至約300℃。藉此,便會使MnOx層與基底之層間絕緣膜反應而形成矽酸鹽層。已知此矽酸鹽層雖膜厚薄如數nm但卻能顯示良好阻隔性,因此非常適合。
在工序ST3b4表面還原處理後的晶圓W係沿著路徑P31e而從處理模組10g透過真空狀態之移轉模組TMb來被移送至處理模組10e。晶圓W從處理模組10g朝處理模組10e的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMb來在持續真空的環境下加以進行。被移送至處理模組10e之晶圓W係藉由處理模組10e來實行工序ST3b5。
工序ST3b5(第3工序)係對晶圓W進行沉積處理。更具體而言,工序 ST3b5中,處理模組10e係對晶圓W使用CVD法來形成Ru襯底層。
在工序ST3b5形成襯底層後的晶圓W係沿著路徑P31f而從處理模組10e透過真空狀態之移轉模組TMb來被移送至處理模組10f。晶圓W從處理模組10e朝處理模組10f的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMb來在持續真空的環境下加以進行。被移送至處理模組10f的晶圓W係藉由處理模組10f來實行工序ST3b6。
工序ST3b6(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST3b6中,處理模組10f係對晶圓W使用離子化PVD法來進行Cu填充。
然後,在工序ST3b6結束時,晶圓W便會於處理系統1中沿著路徑P31g來被移送至收納容器LP。在此情況,晶圓W便會從處理模組10f依序透過移轉模組TMb、裝載互鎖模組LLMb2、移轉模組TMa、裝載互鎖模組LLMa、裝載模組LM來被移送至收納容器LP。之後,晶圓W便會進一步地被移行至其他處理系統,而實行工序ST3c。
在工序ST3b6後,亦即接著工序ST3b的工序ST3c中,係藉由進行Cu的電鍍來將Cu填埋至晶圓W之配線溝等。另外,工序ST3c的實行係可以與圖5所示之處理系統1不同的處理系統來加以進行。在此情況,晶圓W係在工序ST3b後從圖5所示之處理系統1被搬出至外部,而被搬入至與圖5所示之處理系統1不同的處理系統。
上述第3實施形態相關之處理系統1及方法MT係可發揮與第1實施形態之情況相同的作用效果。進一步地,在第3實施形態的情況,可提高阻隔層之薄膜化與對微細配線之適用性。
(第3實施形態之變形例)
本變形例係參照圖7。本變形例相關之處理系統1如圖7所示,係將圖5所示之處理系統1的處理模組10a~處理模組10f分為兩個處理系統(處理系統1a、處理系統1b)。
圖5中,移轉模組TMa所連接之處理模組10a、處理模組10b、處理模組10c2係被設置於圖7所示之處理系統1a。圖5中,移轉模組TMb所連接之處理模組10g、處理模組10e、處理模組10f係被設置於圖7所示之處理系統1b。
亦即,圖6流程圖所示之方法MT的一部分雖係使用圖5所示之處理系統 1,但亦可使用圖7所示之處理系統1。圖7所示之處理系統1的移送裝置TD係具備處理系統1a之裝載互鎖模組LLMa、移轉模組TMa以及處理系統1b之裝載互鎖模組LLMa、移轉模組TMb。
試想在使用圖7所示之處理系統1來實行圖6流程圖所示之方法MT的一部分的情況。在此情況,晶圓W係沿著路徑P32a而從收納容器LP、裝載模組LM、裝載互鎖模組LLMa透過移轉模組TMa來被移送至處理模組10a。然後,在處理模組10a中進行工序ST3b1。
在工序ST3b1結束時,晶圓W便會沿著路徑P32b而從處理模組10a透過移轉模組TMa來被移送至處理模組10b,而在處理模組10b中實行工序ST3b2。
在工序ST3b2結束時,晶圓W便會沿著路徑P32c而從處理模組10b透過移轉模組TMa來被移送至處理模組10c2,而在處理模組10c2中實行工序ST3b3。
在工序ST3b3結束時,晶圓W便會在處理系統1a中沿著路徑P32d1而從處理模組10c2透過移轉模組TMa來依序被移送至裝載互鎖模組LLMa、裝載模組LM、收納容器LP。進一步地在之後,晶圓W會沿著路徑P32d2而從處理系統1a之收納容器LP在大氣暴露的環境下被移送至處理系統1b之收納容器LP。
被移送至處理系統1b之收納容器LP的晶圓W係在處理系統1b中沿著路徑P32d3而依序透過裝載模組LM、裝載互鎖模組LLMa、移轉模組TMb,而被移送至處理模組10g。然後,在處理模組10g中進行工序ST3b4。
在工序ST3b4結束時,晶圓W便會沿著路徑P32e而從處理模組10g透過移轉模組TMb來被移送至處理模組10e,而在處理模組10e中實行工序ST3b5。
在工序ST3b5結束時,晶圓W便會沿著路徑P32f而從處理模組10e透過移轉模組TMb來被移送至處理模組10f,而在處理模組10f中實行工序ST3b6。
然後,在工序ST3b6結束時,晶圓W便會在處理系統1b中沿著路徑P32g而從處理模組10f透過移轉模組TMa、裝載互鎖模組LLMa、裝載模組LM來被移送至收納容器LP。之後,晶圓W便會被移送至其他處理系統,而實行 ST3c。
上述第3實施形態之變形例相關的處理系統1及方法MT係可達成與第1實施形態之情況相同的作用效果。進一步地,在第3實施形態之變形例的情況,便可使用較便宜的搬送系統及既存的搬送系統。在此,便就在第3實施形態之變形例中可將處理系統1分割為處理系統1a與處理系統1b的理由來加以補充。在處理模組10c2所形成的MnOx阻隔層係氧化膜,即便大氣暴露也不會再促使氧化。假設即便因大氣暴露而促使MnOx氧化,之後所進行之處理模組10g的處理係表面還原處理,而仍可排除大氣暴露的影響。在此觀點下該分割為較佳。又,由於元件會以MnOx來被覆蓋而具有作為蓋體膜之機能,故即便在大氣暴露仍不會讓內部之Low-k膜或Cu接觸於大氣之觀點下,該分割亦為較佳。
(第4實施形態)
本第4實施形態會參照圖8及圖9。圖8係概略性地顯示第4實施形態相關之處理系統1構成的圖式。圖9係顯示第4實施形態相關之方法MT的流程圖。圖9所示之方法MT的一部分係可使用圖8所示的處理系統1來加以實行。以下,在第4實施形態之各構成部的說明中,為了避免重複說明,便省略被包含於第1實施形態、第2實施形態以及第3實施形態之構成相關的說明。
圖8所示之處理系統1係包含複數收納容器LP、裝載模組LM、移送裝置TD、氣壓調整系統AC、控制部Cnt、複數處理模組(處理模組10a、處理模組10b、處理模組10h、處理模組10f1)。移送裝置TD係具備有裝載互鎖模組LLMa、移轉模組TMa。
控制部Cnt係具備處理器、記憶部、輸入裝置、顯示裝置等的電腦,而會總括地控制圖8所示之處理系統1各部。控制部Cnt係依照用以在圖9之流程圖所示的方法MT之各工序中控制圖8所示之處理系統1各部的電腦程式(基於輸入之配方的程式)來加以動作,而傳送出控制訊號。控制部Cnt會藉由來自控制部Cnt的控制訊號,而控制圖8示之處理系統1各部,來實行圖9之流程圖所示的方法MT之一部分。控制部Cnt之記憶部係讀取自如地儲存有用以實行圖9之流程圖所示的方法MT之一部分的電腦程式以及用於圖9 之流程圖所示的方法MT之一部分的實行的各種資料。
移轉模組TMa係連接於裝載互鎖模組LLMa、處理模組10a、處理模組10a、處理模組10b、處理模組10h、處理模組10f1。移轉模組TMa內之搬送機器人係可透過移轉模組TMa來自由移動晶圓W。在此情況,晶圓W係可藉由該搬送機器人來在裝載互鎖模組LLMa、處理模組10a、處理模組10b、處理模組10h、處理模組10f1各自之間自由移動。
第4實施形態相關之處理系統1中,晶圓W係依照圖9之流程圖所示的方法MT,而依序在圖8所示之路徑P4a~路徑P4e被移送。
處理模組10h(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10h係可對晶圓W藉由CVD法,來進行核生成處理之電漿處理裝置。藉由處理模組10h所進行之核生成處理係乾式程序。
處理模組10f1(第3處理模組)係對晶圓W進行沉積處理。更具體而言,處理模組10f1係可對晶圓W藉由CVD法,來進行Ru的填充處理之電漿處理裝置。藉由處理模組10f1所進行之Ru處理係乾式程序。
控制部Cnt係依序驅動處理模組10a、處理模組10b、處理模組10h、處理模組10f1。
處理模組10a與處理模組10b與處理模組10h全部都會連接於移轉模組TMa。
圖9所示之方法MT的一部分係使用圖8所示之處理系統1來加以實行。圖9所示之方法MT係具備工序ST4a、工序ST4b、工序ST4c。工序ST4b係具備工序ST4b1(第1工序)、工序ST4b2(第2工序)、工序ST4b3(第3工序)、工序ST4b4(第3工序)。工序ST4b係在圖8所示之處理系統1中被加以實行。方法MT之工序ST4b中,係依序實行工序ST4b1、工序ST4b2、工序ST4b3、工序ST4b4。更具體而言,工序ST4b中,係先實行工序ST4b1,再接著實行工序ST4b2。再實行接著工序ST4b2的工序ST4b3,再接著實行工序ST4b4。晶圓W不會在工序ST4b的實行中,被露出於處理系統1外部,因此便不會被暴露於大氣。
另外,圖9所示之各工序ST4a、工序ST4b1、工序ST4b2、工序ST4c係與圖2所示之各工序ST1a、工序ST1b1、工序ST1b2、工序ST1c相同。
在工序ST4a後,晶圓W便會被移送至處理系統1,而在該處理系統1中,實行接續工序ST4a之工序ST4b。工序ST4b係在處理系統1內於持續真空的環境下僅實行乾式程序。工序ST4b係具備工序ST4b1~工序ST4b4。
被移送至處理系統1的晶圓W係沿著路徑P4a而依序經過收納容器LP、裝載模組LM、裝載互鎖模組LLMa、移轉模組TMa,而被移送至處理模組10a。被移送至處理模組10a的晶圓W係藉由處理模組10a來實行工序ST4b1(RIE法之蝕刻處理)。
工序ST4b1蝕刻處理後的晶圓W係沿著路徑P4b而從處理模組10a透過真空狀態之移轉模組TMa來被移送至處理模組10b。晶圓W從處理模組10a朝處理模組10b的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下進行。被移送至處理模組10b的晶圓W係藉由處理模組10b來實行有工序ST4b2(清潔)。
在工序ST4b2清潔後的晶圓W係沿著路徑P4c而從處理模組10b透過真空狀態之移轉模組TMa來被移送至處理模組10h。晶圓W從處理模組10b朝處理模組10h的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下加以進行。被移送至處理模組10h之晶圓W係藉由處理模組10h來實行工序ST4b3。
工序ST4b3(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST4b3中,處理模組10h係對晶圓W使用CVD法,來進行核生成處理。此核生成處理中,由於只要讓金屬成長為島狀即可,故可不為連續膜。藉由此處理,晶圓W表面係形成有數nm左右的金屬核。具核金屬的種類係即便在低真空仍難以氧化,且有導入既存元件之實際例子者為佳,其具體例係舉例有TiN、MnN、Co、Ni、Ru、Rh、Pd、Sn、W、WSix、Os、Ir、Pt等。藉由金屬核之存在,便可易於藉由後續的CVD法來形成襯底層。
在工序ST4b3核生成處理後的晶圓W係沿著路徑P4f而從處理模組10h透過真空狀態之移轉模組TMa來被移送至處理模組10f1。晶圓W從處理模組10h朝處理模組10f1的移送係不將晶圓W暴露於大氣,而透過真空狀態之移轉模組TMa來在持續真空的環境下加以進行。被移送至處理模組10f1的晶圓W係藉由處理模組10f1來實行工序ST4b4。
工序ST4b4(第3工序)係對晶圓W進行沉積處理。更具體而言,工序ST4b4中,處理模組10f1係對晶圓W使用CVD法來進行配線材料的填充。配線材料係舉例有Ru、Co、Ni。本揭露中係進行Ru填充來作為一範例。
在工序ST4b4後,亦即接著工序ST4b的工序ST4c中,係藉由進行Cu的電解鍍覆來將Cu填埋至晶圓W之配線溝等。另外,工序ST4c的實行係可以與圖8所示之處理系統1不同的處理系統來加以進行。在此情況,晶圓W係在工序ST4b後從圖8所示之處理系統1被搬出至外部,而被搬入至與圖8所示之處理系統1不同的處理系統。
上述第4實施形態相關之處理系統1及方法MT係可達成與第1實施形態之情況相同的作用效果。進一步地,在第4實施形態之情況下,係可對應於晶圓W中不含阻隔層的構成,而可使配線電阻下降。又,由於所構成之處理模組(對晶圓W進行沉積處理者)並非濺鍍裝置而為CVD裝置,故移轉模組之真空鍍並無需高真空,而無需渦輪分子泵,故較為便宜。
以上,雖已就各種例示實施形態來加以說明,但並不限於上述例示實施形態,而可進行各種省略、置換及變更。又,亦可組合不同例示實施形態中之要素而形成其他例示實施形態。
例如,關於處理模組10b係可使用具有能有效率地進行氫之灰化的構成之電漿處理裝置。處理模組10b係使用以高頻天線所生成之氫自由基來進行表面處理。此般電漿處理裝置係可供給非遠端電漿之高密度電漿以及大量氫所產生之大量氫自由基。高密度電漿之生成係可使用例如圖10~圖14所示之電漿處理裝置100以及圖15、16所示之微波電漿處理裝置11等。
電漿處理裝置100係ICP裝置的一種,為日本特開2010-258324之圖1所記載的電漿處理裝置。由於電漿處理裝置100雖為ICP裝置,但可在較高之壓力下來產生電漿,故可生成更多的氫自由基。
微波電漿處理裝置11係具備輻線槽孔天線(Radial Line Slot Antenna)。輻線槽孔天線會生成微波電漿。
電漿處理裝置100及微波電漿處理裝置11的任一者都可藉由使頂板與晶圓W充分分離來形成具有較低電子溫度與較大自由基/離子比的自由基豐沛區域。藉由使用此般自由基豐沛區域,處理模組10b便可具有離子捕捉匱 乏構造。
處理模組10b除了為ICP裝置之電漿處理裝置100及具有輻線槽孔天線的微波電漿處理裝置11以外,亦可使用如下般之電漿處理裝置。亦即,處理模組10b係可使用ECR(Electron Cyclotron Resonance)電漿裝置、螺旋波(Helicon Wave)電漿裝置等。
進一步地,處理裝置10b係可具有排氣速度較大之泵。在此情況,處理模組10b係可藉由使用該泵,而以較高速的排氣來促進處理模組10b內因處理程序而生成的物質之排出。因此,便會促進在處理模組10b之處理程序中所產生的化學反應進行。
又,處理模組10b(第2工序)係可藉由以將氫自由基供給至處理模組10b內部(晶圓W周圍)並交互重複彼此相異的2個壓力之方式來調整處理模組10b內部的壓力。藉由進行此般調整來進行表面處理。處理模組10b係可交互重複下述處理:以第1壓力來將氫自由基供給至處理模組10b內部之處理;以及停止氫自由基朝處理模組10b內部供給,以使處理模組10b內部之壓力成為第2壓力之處理。第2壓力會較第1壓力要低。藉由重複此般2個處理,便可進行表面處理。處理模組10b內部之壓力調整係可例如藉由調整自動壓力控制(APC:Adaptive Pressure Control)裝置之排氣排開闔程度來加以進行。藉由進行伴隨此般壓力變動的表面處理,便可促進生成在晶圓W圖案的副產物之排出。特別是,電漿處理裝置100或微波電漿處理裝置11即便在會產生此般壓力變動的環境下,仍可將氫自由基充分地供給至處理模組10b(亦即即便有壓力變動,電漿仍不會失活)。
又,移送裝置TD係可具備下述排氣系統(泵及沖淨氣體)的構成。移送裝置TD係可使用沖淨氣體及低溫泵之至少一者或兩者來調整各移轉模組TMa及移轉模組TMb之內部的氧分壓及水蒸氣分壓。換言之,方法MT係可在第1工序、第2工序、第3工序之各工序間使用沖淨氣體及低溫泵之至少一者或兩者來調整晶圓W周圍之氧分壓及水蒸氣分壓。第1工序係指工序ST1b1、工序ST2b1、工序ST3b1、工序ST4b1的任一者。第2工序係指工序ST1b2、工序ST2b2、工序ST3b2、工序ST3b4、工序ST4b2的任一者。第3工序係指工序ST1b3、工序ST1b4、工序ST2b3、工序ST2b4、工序ST2b5、 工序ST3b3、工序ST3b5、工序ST3b6、工序ST4b3、工序ST4b4的任一者。
首先,移送裝置TD係可具備排水氣速度較快的低溫泵。移送裝置TD係可藉由低溫泵來將各移轉模組TMa、移轉模組TMb內部的水蒸氣排氣。換言之,方法MT係在第1工序、第2工序、第3工序之各工序之間,藉由低溫泵來將晶圓W周圍之水蒸氣排氣。
低溫泵之作動壓力範圍為較寬廣的10~10-8[Pa]。從而,在使用低溫泵之情況,TMP(渦輪分子泵之設置)便為任意。另外,在移送裝置TD中僅進行水的排氣之的情況,移送裝置TD係可使用凝集面溫度為80~130[K]左右的水泵。
又,移送裝置TD中所使用之沖淨氣體可為在釔安定氧化鋯(YSZ)管內所生成的極低氧分壓(例如10-19[Pa]以下範圍)的非活性氣體。在此情況,移送裝置TD係具備YSZ配管。藉由使用此般沖淨氣體,便可充分抑制露出之Cu配線的氧化。
移送裝置TD中所使用之沖淨氣體係可包含有還原作用之相對微量的H2氣體或CO氣體。藉由使用此般沖淨氣體,便可充分抑制露出之Cu配線的氧化。
參照圖10~圖14來說明電漿處理裝置100之構成。電漿處理裝置100係進行第2工序之處理模組10b一範例。第2工序係指工序ST1b2、工序ST2b2、工序ST3b2、工序ST3b4、工序ST4b2的任一者。
電漿處理裝置100係藉由將高頻電力施加至平面狀高頻天線,而在處理室內所激發的處理氣體之電漿,來對晶圓W施予既定電漿處理之ICP裝置。電漿處理裝置100係具備高頻天線140。高頻天線140係具有生成ICP之平面漩渦線圈狀的形狀。高頻天線140的各內側天線元件142A、外側天線元件142B係兩端開放且中央部(中點或中點附近)接地。各內側天線元件142A、外側天線元件142B係以從高頻電源150B所分別供給之較高頻率訊號的波長之1/2波長來加以共振。另外,在圖10~圖14所示之電漿處理裝置100的情況,高頻天線140雖具有2個線圈,但高頻天線140亦可具有單一線圈。
電漿處理裝置100係具備金屬製(例如鋁製)而形成為筒狀(例如圓筒狀)的處理室102。處理室102之形狀並不限於圓筒狀,亦可為例如角筒狀(例如 箱狀)。
處理室102底部係設置有用以載置晶圓W之載置台110。載置台110係藉由鋁等來成形為略柱狀(例如圓柱狀)。另外,載置台110之形狀並不限於圓柱狀。例如載置台110之形狀可為角柱狀(例如多角柱狀)。另外,雖未圖示,但載置台110係可依需要而具有靜電夾具及溫度調整機構等各式機能。靜電夾具係藉由庫倫力來吸附保持晶圓W。溫度調整機構係可具有加熱器、冷媒流道。
處理室102頂部係以對向於載置台110之方式來設置有板狀介電體104。板狀介電體104材料可為例如石英玻璃或陶瓷等。板狀介電體104係形成為例如圓板狀。板狀介電體104係以阻塞處理室102頂部所形成之開口的方式來被氣密安裝。
處理室102係設置有氣體供給部120。氣體供給部120係供給用以處理晶圓W之處理氣體等。氣體供給部120係構成為如圖10所示。處理室102側壁部係形成有氣體導入口121。氣體導入口121係透過氣體供給配管123來連接有氣體供給源122。在氣體供給配管123途中係介設有質流控制器124、開閉閥126。質流控制器124(MFC)係例如控制處理氣體流量的流量控制器一範例。根據氣體供給部120,來自氣體供給源122之處理氣體便會藉由直流控制器124來被控制為既定流量,而從氣體導入口121來被供給至處理室102內。
圖10中為了簡化說明,便以一系統之氣體管線來表現氣體供給部120,但氣體供給部120並不限於供給單一氣體種類之處理氣體的情況,亦可供給複數氣體種類來作為處理氣體。在此情況,氣體供給部120係可設置有複數氣體供給源、複數系統之氣體管線、設置於各氣體管線之質流控制器。又,圖10中雖舉以從處理室102側壁部來供給氣體之方式而構成氣體供給部120的情況為範例,但並不一定限於此。亦可構成為例如從處理室102頂部來供給氣體。在此情況,便可將氣體導入口形成在例如板狀介電體104之例如中央部,而從該氣體導入口來供給氣體。
處理室102底部會透過排氣管132來連接有排氣部130。排氣部130係將處理室102內之環境氣體排出。排氣部130係具備例如真空泵。排氣部130係 可將處理室102內減壓至既定壓力。處理室102側壁部係形成有晶圓搬出入口134。晶圓搬出口134係設置有閘閥136。在例如晶圓W搬入時,會開啟閘閥136而藉由未圖示之搬送臂等的搬送機構,來將晶圓W載置於處理室102內之載置台110上,而關閉閘閥136來進行晶圓W處理。
處理室102頂部係配設有平面狀之高頻天線140、遮蔽構件160。高頻天線140會被配置於板狀介電體104上側面(外側面)。遮蔽構件160係覆蓋高頻天線140。高頻天線140係具備內側天線元件142A、外側天線元件142B。內側天線元件142A會被配置於板狀介電體104中央部。外側天線元件142B係以圍繞天線元件142A外周的方式來被加以配置。各內側天線元件142A、外側天線元件142B係可具有例如銅、鋁、不鏽鋼等的導體。各內側天線元件124、外側天線元件142B係可形成為例如漩渦線圈狀。
內側天線元件142A、外側天線元件142B都會藉由複數夾持體144來被夾持。藉此,內側天線元件142A、外側天線元件142B便會被設置為一體。夾持體144如圖11所示,係具有棒狀形狀。夾持體144係可以從內側天線元件142A中央附近朝外側天線元件142B伸出之方式來配置為放射線狀。圖11所示之內側天線元件142A、外側天線元件142B的一範例係藉由3個夾持體144來被加以夾持。
遮蔽構件160係具備筒狀內側遮蔽壁162A、筒狀外側遮蔽壁162B。內側遮蔽壁162A係以圍繞內側天線元件142A之方式來設置於內側天線元件142A與外側天線元件142B之間。筒狀外側遮蔽壁162B係以圍繞外側天線元件142B之方式來加以設置。藉此,板狀介電體104上側面便會被區分為中央部(中央區)與周緣部(周圍區)。板狀介電體104上側面之中央部會被配置於內側遮蔽壁162A內側。板狀介電體104上側面之周緣部會被配置於內側遮蔽壁162A與外側遮蔽壁162B之間。
內側天線142A上係設置有圓板狀之內側遮蔽板164A。內側遮蔽板164A係以阻塞內側遮蔽壁162A之開口的方式來加以設置。外側天線元件142B上係設置有甜甜圈板狀之外側遮蔽板164B。外側遮蔽板164B係以阻塞內側遮蔽壁162A與外側遮蔽壁162B之間的開口之方式來加以設置。
另外,遮蔽構件160之形狀並不限於圓筒狀。可使遮蔽構件160之形狀 成為例如角筒狀等的其他形狀,但較佳地係配合處理室102之形狀。在此,由於例如使處理室102成為略圓筒狀,故遮蔽構件160亦配合於其而形成為略圓筒狀。又,若處理室102為略角筒狀的話,遮蔽構件160亦較佳地係略角筒狀。
各內側天線元件142A、外側天線元件142B係分別連接有高頻電源150A、高頻電源150B。藉此,便可對內側天線元件142A、外側天線元件142B施加相同頻率或不同頻率之高頻。
試想在例如以既定功率來從高頻電源150A將既定頻率(例如40[MHz])的高頻供給至內側天線元件142A的情況。在此情況,藉由在處理室102內所形成之感應磁場來激發被導入至處理室102內之處理氣體,而在晶圓W上之中央部生成甜甜圈型電漿。
又,試想在以既定功率來從高頻電源150B將既定頻率(例如60[MHz])的高頻供給至外側天線元件142B的情況。在此情況,藉由在處理室102內所形成之感應磁場來激發被導入至處理室102內之處理氣體,而在晶圓W上之周緣部生成另一個甜甜圈型電漿。
藉由該等電漿,來實行灰化處理、蝕刻處理、成膜處理等而對晶圓W進行之既定電漿處理。從高頻電源150A、高頻電源150B所輸出之高頻並不限於上述頻率。從高頻電源150A、高頻電源150B所輸出之高頻可為例如13.56[MHz]、27[MHz]、40[MHz]、60[MHz]等的各樣頻率之高頻。然而,需要對應於從高頻電源150A、高頻電源150B所輸出之高頻,來調整內側天線元件142A、外側天線元件142B的電長度。
又,各內側遮蔽板164A、外側遮蔽板164B係可藉由各致動器168A、致動器168B來調整高度。
電漿處理裝置100係連接有控制部200。控制部200會控制電漿處理裝置100之各部動作。控制部200係連接有鍵盤與操作部210。鍵盤會藉由操作者來進行用以管理電漿處理裝置100的指令的輸入操作等。操作部210係具有顯示器等。顯示器係將電漿處理裝置100之運作狀態可視化而加以顯示。
控制部200係連接有記憶部220。記憶部220係記憶有程式及配方資料等。程式係可藉由控制部200之控制來實現電漿處理裝置100中所實行的各 種處理之電腦程式。
配方資料係用以實行程式所必須的資料。配方資料除了用以實行例如晶圓W之程序處理的複數程序配方之外,還包含用以進行處理室102內之清潔處理等必須處理之配方。該等配方係包含控制電漿處理裝置100之各部的控制參數與設定參數等的複數參數值。程序處理配方係具有例如處理氣體之流量比、處理室102內之壓力、施加至內側天線元件142A及外側天線元件142B的高頻頻率及功率等的參數值。
另外,該等配方係可被記憶於硬碟或半導體記憶體,或是可在被收納於CD-ROM、DVD等的可搬性且可由電腦來讀取的記憶媒體之狀態下,設定於記憶部220之既定位置。控制部200係基於來自操作部210之指示等,並藉由從記憶部220讀取出所欲程序處理配方而控制電漿處理裝置100各部,來在電漿處理裝置100中實行所欲處理。
控制部200、控制部200所連接之操作部210、記憶部220等的各種構成係可被包含於控制部Cnt。
參照圖式就高頻天線140之具體構成例來加以說明。高頻天線140如圖11所示,係具備內側天線元件142A、外側天線元件142B。各內側天線元件142A、外側天線元件142B的兩端為自由端a、b。各內側天線元件142A、外側天線元件142B係構成為可形成以纏繞方向之長度的中央部(中點或中點附近)為接地點(大地電位)的1/2波長之常駐波。
亦即,內側天線元件142A係以從高頻電源150A所供給之既定基準頻率的1/2波長來加以共振(以半波長模式來加以共振)之方式來設定長度、纏繞半徑、纏繞間距、纏繞數。內側天線元件142A之基準頻率可為例如40[MHz]。例如內側天線元件142A之電長度係藉由基準頻率1/2倍來共振之長度,亦即為基準頻率之1波長的1/2倍之長度。
又,外側天線元件142B係以從高頻電源150B所供給之既定基準頻率的1/2波長來加以共振(以半波長模式來加以共振)之方式來設定長度、纏繞半徑、纏繞間距、纏繞數。外側天線元件142B之基準頻率可為例如60[MHz]。例如外側天線元件142B之電長度係藉由基準頻率1/2倍來共振之長度,亦即為基準頻率之1波長的1/2倍之長度。
另外,各內側天線元件142A、外側天線元件142B係可具有管狀、線狀、板狀等的形狀。各內側天線元件142A、外側天線元件142B係在纏繞間距相同之情況下,導體間距離愈大則可得到愈大耐電壓的點上是有利的。從而,從耐電壓的觀點看來,內側天線元件142A、外側天線元件142B的形狀相較於成為厚度較大之管狀,在成為厚度較小的板狀便可得到較大導體間距離的點上是有利的。在內側天線元件142A、外側天線元件142B的纏繞間距成為更狹窄的情況,從耐電壓的觀點看來亦是成為板狀會較有利。
在此情況,供給來自高頻電源150A、高頻電源150B的高頻之供電點位為可較接地點要靠內側或外側,較佳地係例如在阻抗為50[Ω]的點位。供電點位為可變。在此情況,亦可藉由馬達等來自動改變供電點位。
以下便說明此般高頻天線140之作用。將分別來自高頻電源150A、高頻電源150B的基準頻率之高頻施加至各內側天線元件142A、外側天線元件142B,並以半波長模式來加以共振。如此一來,如圖12、圖13所示,在某個瞬間,被施加至內側天線元件142A、外側天線元件142B的各電壓V便會成為在中央部(接地點)為零,而在一邊端部為正波峰,在另邊端部為負波峰般之波形。相對於此,由於被施加至內側天線元件142A、外側天線元件142B的各電流I會與電壓波形相位偏離90度,故會成為中央部(接地點)為最大,而兩端部為零般的波形。
藉由此般常駐波,便會如圖14所示,在構成內側天線元件142A、外側天線元件142B的漩渦線圈之略中央,分別產生具有最大強度之垂直磁場HA、HB。從而,便會在處理室102內,於幾乎相同平面內激發出以該垂直磁場HA、HB為中心的圓形電場EA、EB。藉此,便會在各內側天線元件142A、外側天線元件142B的接地點下方分別生成有甜甜圈型之電漿PA、電漿PB。再者,由於被施加至內側天線元件142A、外側天線元件142B的平均電壓非常小,故電容耦合度會極弱,因此可分別生成電位較低之電漿。
參照圖15、圖16,來說明微波電漿處理裝置11之構成。微波電漿處理裝置11係進行第2工序之處理模組10b一範例。第2工序係指工序ST1b2、工序ST2b2、工序ST3b2、工序ST3b4、工序ST4b2的任一者。
如圖15及圖16所示,微波電漿處理裝置11係以微波為電漿源之電漿處 理裝置。微波電漿處理裝置11係具備處理容器12、氣體供給機構13、保持台14、微波產生器15、導波管16、同軸導波管17、延遲板18、槽孔天線板20、介電體窗21以及控制部(可被包含於控制部Cnt)。
處理容器12係具有對晶圓W進行電漿處理之處理空間。氣體供給機構13係將電漿處理用之程序氣體等供給至處理容器12內。保持台14係設置於處理容器12內,以保持晶圓W。微波產生器15係連接設置於處理容器12外部,以產生電漿激發用微波。
導波管16及同軸導波管17係將藉由微波產生器15所產生之微波導入至處理容器12內。延遲板18係連接於同軸導波管17下方端部,且具備將藉由同軸導波管17所導入之微波傳遞至徑向的介電體。槽孔天線板20係配置於延遲板18下方側,且具有複數會放射出藉由延遲板18所傳遞之微波的槽孔19。介電體窗21係配置於槽孔天線板20下方側,而讓從槽孔19所放射出之微波穿透至處理容器12內。
控制部會控制微波電漿處理裝置11整體。控制部會控制氣體供給機構13之氣體流量、處理容器12內之壓力等用以將晶圓W電漿處理的程序條件。
處理容器12係構成為可收納晶圓W。處理容器12係包含位於保持台14下方側的底部22、從底部22外周延伸於上方的側壁23。側壁23係圓筒狀。處理容器12之底部22係設置有排氣用排氣孔25。排氣孔25係透過排氣管25a來連接有排氣裝置25b。排氣裝置25b係具有渦輪分子泵等的真空泵。藉由排氣裝置25b,便可將處理容器12內部減壓至所欲真空度。
側壁23上端部係形成開口。側壁23上端部係設置有支撐介電體窗21之支撐構件24。支撐構件24係構成側壁23上端部的一部分。支撐構件24內周側係形成有用以收納介電體窗21外周面之凹部24a。
支撐構件24係在將介電體窗21外周面收納於支撐構件24之凹部24a的狀態下,以阻塞側壁23上端部之開口的方式來被氣密地安裝於處理容器12。在介電體窗21外周面與在支撐構件24之凹部24a表面中會對向於介電體窗21外周面的表面之間係設置有間隙21-1。
在介電體窗21與支撐構件24之凹部24a之間係介設有O型環31。介電體窗21會透過O型環31來被支撐構件24之凹部24a所收納支撐。藉由介電體窗 21及O型環31來密封處理容器12。
側壁23係形成有開口部23a。開口部23a係設置有會開閉開口部23a之閘閥26。微波處理裝置11係將閘閥26開啟來將晶圓W搬出入。
保持台14係將圓板狀之晶圓W載置於其上而加以保持。保持台14係透過匹配單元44及供電棒45來電性連接有RF(Radio Frequency)偏壓用之偏壓用高頻電源43。偏壓用高頻電源43會以下述既定功率來輸出適於控制吸引至晶圓W之離子的能量之固定頻率(例如13.56MHz)之高頻。匹配單元44係收納有用以在偏壓用高頻電源43側之阻抗與主要為電極、電漿、處理容器12之負載側阻抗之間進行匹配的匹配器。在此匹配器中係包含有自偏壓生成用之阻隔電容器。
保持台14上面係設置有靜電夾具14a。靜電夾具14a係以靜電吸附力來保持晶圓W。靜電夾具14a係包含絕緣膜14b及電極14c。電極14c係藉由導電膜所構成,而被填埋至絕緣膜14b內部。電極14c係透過開關14e及披覆線14f來電性連接有直流電源14d。靜電夾具14a係可使用藉由從直流電源14d來施加的直流電壓所產生的庫倫力,來吸附保持晶圓W。
微波產生器15係透過由中心導體29a及外周導體29b所構成之同軸導波管17及模式轉換器30來連接於導入微波之導波管16上游側。構成同軸導波管17,且皆為圓筒狀之中心導體29a及外周導體29b係配置為使徑向中心一致,且在中心導體29a外徑面與外周導體29b內徑面隔有間隔,並延伸於圖15中之紙面上下方向。
槽孔天線板20係薄板狀,例如為於銅材鍍鎳後之圓板狀。槽孔天線板20之板厚方向兩面分別呈平坦。槽孔天線板20係設置有複數會貫穿於板厚方向之複數槽孔19。槽孔19係以讓在一邊方向上會較長的第1槽孔19a與在和第1槽孔19a正交之方向上會較長的第2槽孔19b相鄰而成為一對的方式來加以形成。具體而言,係構成為以相鄰的2個槽孔(第1槽孔19a、第2槽孔19b)會成為一對,而成為略八字狀的方式來加以配置。亦即,槽孔天線板20係構成為具有由延伸於一邊方向的第1槽孔19a及延伸於相對於一邊方向而垂直的方向的第2槽孔19b所構成的槽孔對32。另外,槽孔對32一範例係以圖15中之虛線所表示之區域來加以圖示。槽孔天線板20之徑向中央係設置有 貫穿孔33。槽孔天線板20係具有以徑向中心為基準的旋轉對稱性。
介電體窗21係略圓板狀,並具有既定板厚。介電體窗21係由例如石英或氧化鋁等的介電體所構成。介電體窗21係將下側載置於處理容器12之支撐構件24上,而與槽孔天線板20一起以固定構件(未圖示)來固定安裝。介電體窗21之徑向中央係設置有會貫穿板厚方向,亦即圖15中紙面上下方向的貫穿孔34。貫穿孔34係以上側區域之直徑會較下側區域之直徑要大的方式來加以形成。
試想在介電體窗21中被裝備於微波電漿處理裝置時為生成電漿側之下面35的徑向外側區域。在此徑向外側區域會連接為環狀,而在介電體窗21之板厚方向內側(朝向圖15中之紙面上方)凹陷為錐狀的介電體窗凹部36。可在介電體窗凹部36要靠內側複數設置波紋狀之溝。
在處理容器12內係藉由氣體供給機構13來供給有電漿處理用之程序氣體。在微波電漿處理裝置11中,係藉由控制部來在例如-20~250[℃]的範圍內將晶圓W溫度設定為適於處理的溫度。藉由微波產生器15所產生之微波會通過同軸導波管17來被傳遞至延遲板18,而從槽孔天線板20所設置之複數槽孔19來放射至介電體窗21。
穿透過介電體窗21之微波會在介電體窗21正下方產生電場,而在處理容器12內生成電漿。在介電體窗21正下方所生成之電漿會向從介電體窗21所遠離的方向,亦即朝向保持台14之方向擴散。然後,藉由擴散後之電漿來在包含被載置於保持台14之晶圓W的區域形成電漿擴散區域。
對晶圓W進行電漿蝕刻等的電漿處理。由於在微波電漿處理裝置11中供於處理的微波電漿係可生成較低電子溫度,例如1.0[eV]左右的電漿,故可降低對晶圓W造成的電漿損害。包含上述構成之槽孔天線板20及延遲板18的構成之天線係輻線槽孔天線一範例。
就將電漿處理用之程序氣體供給至處理容器12內的氣體供給機構13之構成來加以說明。氣體供給機構13係具備噴射器38、外部氣體流道40。噴射器38係具有被配置於處理容器12內中央,且會朝晶圓W中央區域供給程序氣體之氣體供給口37之中心氣體供給構件。外部氣體流道40係具有從與上述中央區域不同的周緣區域朝向徑向內側來噴出程序氣體之氣體供給口 39。
中央氣體供給構件係將構成同軸導波管17之中空狀中心導體29a中空部分作為氣體供給路徑。噴射器38會被配置於介電窗體21內側。具體而言,在介電體窗21所設置之貫穿孔34中直徑較小的下側區域會成為噴射器38中之氣體供給口37,直徑較大的上側區域則會成為接收載置噴射器38的接收凹部41。
外部氣體流道40係形成於處理容器12之側壁23的流道。外部氣體流道40會透過導管40a來連接於外部氣體供給源40b。外部氣體供給源40b會將用以處理晶圓W之既定程序氣體供給至導管40a。
噴射器38及外部氣體流道40會分別從處理容器12外來將電漿處理用之程序氣體等供給至處理容器12內。亦即,被供給至處理容器12內之程序氣體係藉由噴射器38來供給至晶圓W中央區域,而藉由外部氣體流道40來供給至晶圓W周緣區域。藉由上述控制部,便可控制從噴射器38及外部氣體流道40所供給之程序氣體的種類及流量比。
依上述說明,本揭露之各種例示實施形態係以說明為目的而在本說明書中被加以說明,應能理解可在不從本揭露之範圍及主旨脫離來進行各種變更。從而,本說明書所揭露之各種例示實施形態並非限定,真正範圍與主旨係藉由申請專利範圍來加以表示。
1‧‧‧處理系統
10c‧‧‧處理模組
10a‧‧‧處理模組
10d‧‧‧處理模組
10b‧‧‧處理模組
P1d‧‧‧路徑
P1c‧‧‧路徑
P1b‧‧‧路徑
P1a‧‧‧路徑
P1e‧‧‧路徑
LP‧‧‧收納容器
LM‧‧‧裝載模組
LLMa‧‧‧裝載互鎖模組
LLMb1‧‧‧裝載互鎖模組
LLMb2‧‧‧裝載互鎖模組
TMa‧‧‧移轉模組
TMb‧‧‧移轉模組
TD‧‧‧移送裝置
Cnt‧‧‧控制部
AC‧‧‧氣壓調整系統

Claims (17)

  1. 一種被處理體的處理系統,係處理被處理體之被處理體的處理系統,具備:複數處理模組,係對該被處理體進行處理;移送裝置,係連接於複數該處理模組;以及控制部,係調整該移送裝置之內部的氧分壓及水蒸氣分壓;該控制部係使用真空泵之抽真空或是使用非活性氣體之置換,來將該移送裝置之內部的氧分壓調整為127[Pa]以下,並將該移動裝置之內部的水蒸氣分壓調整為24.1[Pa]以下;複數該處理模組係包含:第1處理模組,係對該被處理體進行蝕刻處理;第2處理模組,係對該被處理體進行表面處理;以及第3處理模組,係對該被處理體進行沉積處理;該第2處理模組係使用以高頻天線所生成之氫自由基來進行該表面處理;該高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀;該高頻天線之天線元件係兩端開放且中央部接地,並以從用於該處理系統之高頻電源所供給的訊號之波長的1/2波長來加以共振;該第2處理模組係藉由交互重複下述處理來進行該表面處理;以第1壓力來將氫自由基供給至該第2處理模組之內部的處理,以及停止氫自由基朝該第2處理模組之內部供給,而使該第2處理模組之內部的壓力成為較該第1壓力要低之第2壓力的處理。
  2. 如申請專利範圍第1項之被處理體的處理系統,其中該第2模組係藉由進行使用氫自由基之該表面處理,來使該被處理體之表面的氟含量成為該表面處理實行前的氟含量之1/10以下。
  3. 如申請專利範圍第1或2項之被處理體的處理系統,其中該控制部係依序驅動該第1處理模組、該第2處理模組以及該第3處理模組。
  4. 如申請專利範圍第1或2項之被處理體的處理系統,其中該移送裝置係具備:第1移送模組與第2移送模組; 該控制部係將該第2移送模組之內部的氧分壓及水蒸氣分壓調整為較該第1移送模組之內部的氧分壓及水蒸氣分壓要低的狀態。
  5. 如申請專利範圍第4項之被處理體的處理系統,其中該第3處理模組係連接於該第2移送模組。
  6. 如申請專利範圍第4項之被處理體的處理系統,其中該第1處理模組與該第2處理模組係連接於該第1移送模組。
  7. 如申請專利範圍第4項之被處理體的處理系統,其中該移送裝置係使用沖淨氣體及低溫泵的至少一者或兩者來調整各該第1移送模組、該第2移送模組之內部的氧分壓及水蒸氣分壓。
  8. 如申請專利範圍第7項之被處理體的處理系統,其中該沖淨氣體係包含在釔安定氧化鋯之管內所生成的極低氧分壓的非活性氣體。
  9. 如申請專利範圍第7項之被處理體的處理系統,其中該沖淨氣體係包含H2氣體或CO氣體。
  10. 如申請專利範圍第1或2項之被處理體的處理系統,其中該第2處理模組係藉由以將氫自由基供給至該第2處理模組之內部並交互重複彼此相異的2個壓力之方式而調整該第2處理模組之內部的壓力,來進行該表面處理。
  11. 一種被處理體的處理方法,係處理被處理體之被處理體的處理方法,具備:第1工序,係對該被處理體進行蝕刻處理;第2工序,係對該被處理體進行表面處理;以及第3工序,係對該被處理體進行沉積處理;在該第1工序結束後而到該第3工序開始前的期間內,不讓該被處理體暴露於大氣,而使用真空泵之抽真空或是使用非活性氣體之置換來將該被處理體之周圍的氧分壓調整為127[Pa]以下,並將該被處理體之周圍的水蒸氣分壓調整為24.1[Pa]以下;該第2工序係使用以高頻天線所生成之氫自由基來進行該表面處理;該高頻天線係具有生成感應耦合電漿之平面漩渦線圈狀的形狀;該高頻天線之天線元件係兩端開放且中央部接地,並以從用於該處理 方法之高頻電源所供給的訊號之波長的1/2波長來加以共振;該第2工序係藉由交互重複下述處理來進行該表面處理;以第1壓力來將氫自由基供給至該被處理體之周圍的處理,以及停止氫自由基朝該被處理體之周圍供給,而使該被處理體之周圍的壓力成為較該第1壓力要低之第2壓力的處理。
  12. 如申請專利範圍第11項之被處理體的處理方法,其中該第2工序係藉由進行使用氫自由基之該表面處理,來使該被處理體之表面的氟含量成為該表面處理實行前的氟含量之1/10以下。
  13. 如申請專利範圍第11或12項之被處理體的處理方法,其係依序實行該第1工序、該第2工序以及該第3工序。
  14. 如申請專利範圍第11或12項之被處理體的處理方法,其中在該第1工序、該第2工序以及該第3工序之各工序間,係使用沖淨氣體及低溫泵的至少一者或兩者來調整該被處理體之周圍的氧分壓及水蒸氣分壓。
  15. 如申請專利範圍第14項之被處理體的處理方法,其中該沖淨氣體係包含在釔安定氧化鋯之管內所生成的極低氧分壓的非活性氣體。
  16. 如申請專利範圍第14項之被處理體的處理方法,其中該沖淨氣體係包含H2氣體或CO氣體。
  17. 如申請專利範圍第11或12項之被處理體的處理方法,其中該第2工序係藉由以將氫自由基供給至該被處理體之周圍並交互重複彼此相異的2個壓力之方式而調整該被處理體之周圍的壓力,來進行該表面處理。
TW108113144A 2018-04-18 2019-04-16 處理系統及處理方法 TWI791106B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2018-080034 2018-04-18
JP2018080034 2018-04-18
JP2018146076A JP2019192892A (ja) 2018-04-18 2018-08-02 処理システムおよび処理方法
JP2018-146076 2018-08-02

Publications (2)

Publication Number Publication Date
TW201944514A TW201944514A (zh) 2019-11-16
TWI791106B true TWI791106B (zh) 2023-02-01

Family

ID=68390938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108113144A TWI791106B (zh) 2018-04-18 2019-04-16 處理系統及處理方法

Country Status (3)

Country Link
US (1) US11302521B2 (zh)
JP (1) JP2019192892A (zh)
TW (1) TWI791106B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11986563B1 (en) 2020-05-07 2024-05-21 James William Potthast Portable, safe UV hand and surface sanitizer and method of use
US11524083B1 (en) 2020-05-13 2022-12-13 James William Potthast Personal, portable, hand-held UV sanitizer and method of use

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060154486A1 (en) * 2005-01-11 2006-07-13 Tokyo Electron Limited Low-pressure removal of photoresist and etch residue
US20080132078A1 (en) * 2004-09-01 2008-06-05 Katsuhiro Yamazaki Ashing Method And Ashing Apparatus
US20090093080A1 (en) * 2007-07-10 2009-04-09 Soo Young Choi Solar cells and methods and apparatuses for forming the same including i-layer and n-layer chamber cleaning
US20100323501A1 (en) * 2009-06-19 2010-12-23 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus, method for forming film, and method for manufacturing thin film transistor
US20120270406A1 (en) * 2011-04-13 2012-10-25 Tokyo Electron Limited Cleaning method of plasma processing apparatus and plasma processing method
US20140287588A1 (en) * 2012-05-16 2014-09-25 Ulvac, Inc. Deposition Method and Deposition Apparatus
US20150021775A1 (en) * 2012-04-11 2015-01-22 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, and apparatus for producing semiconductor

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
TW512448B (en) 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20090304914A1 (en) 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
US8747960B2 (en) 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8999103B2 (en) 2006-08-25 2015-04-07 Tokyo Electron Limited Substrate processing system, substrate processing method and storage medium
JP4961894B2 (ja) 2006-08-25 2012-06-27 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8916232B2 (en) 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
WO2008027386A2 (en) 2006-08-30 2008-03-06 Lam Research Corporation Controlled ambient system for interface engineering
JP5489717B2 (ja) 2006-08-30 2014-05-14 ラム リサーチ コーポレーション 金属堆積のために基板表面を調整する方法および統合システム
JP4999419B2 (ja) 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
JP4884268B2 (ja) 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
JP2008300568A (ja) 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP4924245B2 (ja) 2007-07-02 2012-04-25 東京エレクトロン株式会社 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP2009170547A (ja) 2008-01-11 2009-07-30 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US10096548B2 (en) 2015-03-16 2018-10-09 Tokyo Electron Limited Method of manufacturing Cu wiring
JP6584326B2 (ja) 2015-03-16 2019-10-02 東京エレクトロン株式会社 Cu配線の製造方法
JP6785130B2 (ja) 2016-07-06 2020-11-18 東京エレクトロン株式会社 ルテニウム配線およびその製造方法
US10522467B2 (en) 2016-07-06 2019-12-31 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132078A1 (en) * 2004-09-01 2008-06-05 Katsuhiro Yamazaki Ashing Method And Ashing Apparatus
US20060154486A1 (en) * 2005-01-11 2006-07-13 Tokyo Electron Limited Low-pressure removal of photoresist and etch residue
US20090093080A1 (en) * 2007-07-10 2009-04-09 Soo Young Choi Solar cells and methods and apparatuses for forming the same including i-layer and n-layer chamber cleaning
US20100323501A1 (en) * 2009-06-19 2010-12-23 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus, method for forming film, and method for manufacturing thin film transistor
US20120270406A1 (en) * 2011-04-13 2012-10-25 Tokyo Electron Limited Cleaning method of plasma processing apparatus and plasma processing method
US20150021775A1 (en) * 2012-04-11 2015-01-22 Tokyo Electron Limited Method for manufacturing semiconductor device, semiconductor device, and apparatus for producing semiconductor
US20140287588A1 (en) * 2012-05-16 2014-09-25 Ulvac, Inc. Deposition Method and Deposition Apparatus

Also Published As

Publication number Publication date
US20190326105A1 (en) 2019-10-24
JP2019192892A (ja) 2019-10-31
US11302521B2 (en) 2022-04-12
TW201944514A (zh) 2019-11-16

Similar Documents

Publication Publication Date Title
US20230178419A1 (en) Scaled liner layer for isolation structure
TWI416622B (zh) Etching method and memory media
TWI829630B (zh) 成膜方法及電漿處理裝置
TW202117931A (zh) 間隙填充沉積製程
JP2004172397A (ja) プラズマ処理装置およびプラズマ処理方法
KR102363688B1 (ko) 자성층을 에칭하는 방법
TWI761455B (zh) 半導體製造方法及電漿處理裝置
WO2020106386A1 (en) A cluster processing system for forming a transition metal material
TWI791106B (zh) 處理系統及處理方法
US20210327719A1 (en) Method for processing workpiece
US20120252226A1 (en) Plasma processing method
TWI757483B (zh) 蝕刻方法
TWI787239B (zh) 有機材料的蝕刻方法及設備
KR102313860B1 (ko) 자기 저항 소자의 제조 방법 및 자기 저항 소자의 제조 시스템
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
KR20230124008A (ko) 기판 처리 방법 및 기판 처리 장치
CN108511389B (zh) 半导体制造方法和等离子体处理装置
KR20210080215A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
JP5442871B2 (ja) 半導体デバイスの製造方法および半導体デバイスの製造装置
JP7123287B1 (ja) エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム
US8691709B2 (en) Method of forming metal carbide barrier layers for fluorocarbon films
KR20220011582A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치