JP2004172397A - プラズマ処理装置およびプラズマ処理方法 - Google Patents

プラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
JP2004172397A
JP2004172397A JP2002336837A JP2002336837A JP2004172397A JP 2004172397 A JP2004172397 A JP 2004172397A JP 2002336837 A JP2002336837 A JP 2002336837A JP 2002336837 A JP2002336837 A JP 2002336837A JP 2004172397 A JP2004172397 A JP 2004172397A
Authority
JP
Japan
Prior art keywords
plasma processing
plasma
processing chamber
processing apparatus
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002336837A
Other languages
English (en)
Other versions
JP3946130B2 (ja
Inventor
Toshihisa Nozawa
俊久 野沢
Masaru Sasaki
勝 佐々木
Masashi Inoue
雅司 井上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002336837A priority Critical patent/JP3946130B2/ja
Priority to US10/535,856 priority patent/US7754995B2/en
Priority to AU2003284605A priority patent/AU2003284605A1/en
Priority to PCT/JP2003/014850 priority patent/WO2004047158A1/ja
Priority to TW092132600A priority patent/TWI256069B/zh
Publication of JP2004172397A publication Critical patent/JP2004172397A/ja
Application granted granted Critical
Publication of JP3946130B2 publication Critical patent/JP3946130B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Abstract

【課題】被処理体に対するコンタミネーションを抑制しつつ、プラズマ処理室内壁のスパッタリングおよびエッチングをも効果的に防止したプラズマ処理装置およびプラズマ処理方法を提供する。
【解決手段】被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置。前記プラズマ処理室内壁の少なくとも一部は、前処理プラズマに基づく酸化膜で覆われている。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、電子デバイス等を作製するために、被処理体に対して種々のプラズマ処理を行う際に好適に使用可能なプラズマ処理装置およびプラズマ処理方法に関する。
【0002】
【従来の技術】
本発明のプラズマ処理装置は、半導体ないし半導体デバイス、液晶デバイス等の電子デバイス材料の製造を始めとするプラズマ処理一般に広く適用可能であるが、ここでは説明の便宜のために、半導体デバイスの背景技術を例にとって説明する。
【0003】
一般に、半導体デバイスの製造工程においては、被処理体たる半導体デバイス用の基材(ウエハ)に対して、酸化処理、CVD(化学気相堆積)処理、エッチング処理、スパッタ処理等の種々の処理を施すことが行われる。
【0004】
従来より、このような各種の処理のためにプラズマ処理装置が用いられる場合が多い。これは、プラズマ処理装置を用いた場合には、低温処理という長所があるからである。
【0005】
従来より、被処理体にプラズマ処理を行うべきプラズマ処理室(チャンバ)は、通常は金属からなる壁を用いて構成されているが、この場合、プラズマ処理室内で発生したプラズマ中に含まれるイオンが該プラズマ処理室の内壁に照射されることによって、該内壁の金属がスパッタリングおよび/又はエッチングされて、被処理体にコンタミネーションを生じる場合がある。
【0006】
このようなスパッタリングおよび/又はエッチングを抑制するために、従来より、プラズマ処理室の内壁をアルマイト処理(すなわち、アルミニウム表面に酸化膜を形成)したり、あるいは該内壁をセラミック・コーティングすることが行われて来た。
【0007】
【発明が解決しようとする課題】
これらのアルマイト処理ないしセラミック・コーティングは、プラズマに基づくスパッタリングおよび/又はエッチングを抑制すること自体の点では効果的であるが、他方、これらのアルマイト処理ないしセラミック・コーティングにおいては、それらのアルマイトないしセラミックの成膜の工程で、これらの膜に多くの不純物が混入する傾向があり、一旦、このような不純物が混入した場合には、コーティング後に、プラズマ処理室内壁の洗浄(例えば、フッ酸の使用による)を行っても、充分にクリーニングすることが極めて困難であった。
【0008】
クリーニングが不充分なプラズマ処理室内で被処理体のプラズマ処理を行った場合には、プラズマ発生に基づくイオン照射によって、上記した不純物がプラズマ処理室の内壁からスパッタリングされてたたき出され、被処理体上等に付着して、いわゆるメタル・コンタミネーションとして種々の問題を引き起こしていた。
【0009】
このようなメタル・コンタミネーションは、特に近年のように半導体デバイスにおける回路等の集積度が高まるにつれて、より深刻な問題となる傾向がある。
【0010】
本発明の目的は、上記した従来技術の欠点を解消したプラズマ処理装置およびプラズマ処理方法を提供することにある。
【0011】
本発明の他の目的は、被処理体に対するコンタミネーションを抑制しつつ、プラズマ処理室内壁のスパッタリングおよびエッチングをも効果的に防止したプラズマ処理装置およびプラズマ処理方法を提供することにある。
【0012】
【課題を解決するための手段】
本発明者は鋭意研究の結果、従来におけるように別個にアルマイト処理ないしセラミック・コーティング処理するのではなく、プラズマ処理そのものを利用してプラズマ処理室内壁(および/又は該内壁を構成すべき部品、等)に酸化膜を形成することが、上記目的の達成のために極めて効果的なことを見出した。
【0013】
本発明のプラズマ処理装置は上記知見に基づくものであり、より詳しくは、被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置であって;前記プラズマ処理室内壁の少なくとも一部が、前処理プラズマに基づく酸化膜で覆われていることを特徴とするものである。
【0014】
本発明によれば、更に、被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置であって;前記プラズマ処理室内で基準となる被処理体を酸素含有ガスに基づくプラズマで処理した際に、該処理後の被処理体におけるAl、Cu、NaおよびFeの合計原子数が、2×1010個以下であることを特徴とするプラズマ処理装置が提供される。
【0015】
本発明によれば、更に、被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置を用い;酸素含有ガスに基づく前処理プラズマを前記プラズマ処理室内で発生させて、該プラズマ処理室の内壁の少なくとも一部に酸化膜を形成することを特徴とするプラズマ処理方法が提供される。
【0016】
上記構成を有する本発明においては、プラズマ処理室内壁に存在するコンタミネーション金属自体が、クリーニング用のプラズマ処理に基づくスパッタリングによって除去されるのみならず、プラズマ処理室内壁の表面に所定の膜厚(例えば、10nm〜100nm程度)の酸化膜が形成されるため、その後のプラズマ処理において、コンタミネーションの発生を効果的に抑制することができる。
【0017】
更には、本発明においてクリーニング用のプラズマ処理に基づき形成されるプラズマ処理室内壁の酸化膜は、(それ自体がプラズマ処理による酸化膜であるため)プラズマ処理に基づくイオン照射に対する耐性も充分である。
【0018】
【発明の実施の形態】
以下、必要に応じて図面を参照しつつ本発明を更に具体的に説明する。以下の記載において量比を表す「部」および「%」は、特に断らない限り質量基準とする。
【0019】
(プラズマ処理装置)
本発明のプラズマ処理装置は、被処理体にプラズマ処理を行うためのプラズマ処理室と;前記被処理体を、前記プラズマ処理室内の所定の位置に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含む。このプラズマ処理装置においては、プラズマ処理室の内壁の少なくとも一部が、前処理プラズマに基づく酸化膜で覆われていることが特徴である。
【0020】
本発明においては、プラズマ処理装置が組立られた状態において、該装置の内壁の少なくとも一部が、前処理プラズマに基づく酸化膜で覆われていれば足りる。すなわち、その酸化膜形成は、プラズマ処理装置が組立た後に内壁に対して行われてもよく、該内壁を構成すべき部分を有する部品に対して行われてもよく、更には、必要に応じて、これら組立前後の酸化膜形成を組み合わせてもよい。
【0021】
(前処理プラズマに基づく酸化膜)
本発明においては、被処理体のコンタミネーション防止の点からは、上記したプラズマ処理室内壁において、該内壁の合計面積の50%以上が、少なくとも10nmの厚さを有する酸化膜で覆われていることが好ましい。少なくとも20nmの厚さを有する酸化膜で覆われている面積の比率は、更には60%以上、特に80%以上であることが好ましい。上記した酸化膜の平均厚さは、20nm、更には30nmであることが好ましい。
【0022】
本発明において、上記した酸化膜の膜厚、平均膜厚、および「少なくとも10nmの厚さを有する酸化膜で覆われている面積の比率」は、以下の測定方法により好適に測定することができる。
【0023】
(金属コンタミネーションの程度)
本発明においては、被処理体のコンタミネーション防止の点からは、該コンタミネーション程度の基準となる被処理体を前記プラズマ処理室内で酸素含有ガスに基づくプラズマで処理した際に、該処理後の被処理体におけるAl、Cu、NaおよびFe(以下、これら4種類の金属元素を合わせて「コンタミネーション金属」と称する場合がある)の合計原子数が、2×1010個以下であることが好ましい。このAl、Cu、NaおよびFeの合計原子数は、更には 個以下、特に1×1010個以下であることが好ましい。これら4種のコンタミネーション金属は、被処理体に付着ないし取り込まれた場合には、特に、被処理体の特性(例えば、半導体デバイス特性)に対する悪影響が特に著しいからである。
【0024】
後述する実施例において述べるように、標準的なサンプルたるシリコンウエハ(サンプルウエハ、直径:20cm)を、そのコンタミネーション金属の合計原子数を測定すべきプラズマ処理装置内に被処理体として配置して、プラズマ処理を行い、該プラズマ処理の後に得られたウエハ中のコンタミネーション金属の合計原子数を測定する。
【0025】
(プラズマ前処理方法)
次に、上記した酸化処理されたプラズマ処理装置を得るための方法について説明する。
【0026】
すなわち、このような酸化処理法においては、被処理体にプラズマ処理を行うためのプラズマ処理室と、前記被処理体を、前記プラズマ処理室内の所定の位置に配置するための被処理体保持手段と、該プラズマ処理室にマイクロ波を案内するためのアンテナ手段とを少なくとも含むプラズマ処理装置を用いる。本発明においては、このようなプラズマ処理装置において、酸素含有ガスに基づくプラズマを前記プラズマ処理室内で発生させて、該プラズマ処理室の内壁に酸化膜を形成する。
【0027】
この酸化膜形成の際には、必要に応じて、プラズマ処理室内に他の被処理体(例えば、プラズマ処理装置の部品ないし構成要素)をプラズマ処理室内に配置して、該部品等の表面に酸化膜形成してもよい。
【0028】
(酸素含有ガス)
上記したプラズマ前処理においては、酸素含有ガスが好適に使用可能である。この「酸素含有ガス」は、該ガス自体(ガスが単一物である場合)、ないしは該ガス(ガスが混合物である場合)を構成する少なくとも1つの成分が、少なくとも酸素を含有していればよい。この「酸素含有ガス」としては、例えば、以下のものが挙げられる。
【0029】
<酸素含有ガスの例>
、NO、CO、HO、H
【0030】
(好適な酸素含有ガス条件)
本発明においては、安価、安全の点からは、下記のガスが特に好適に使用可能である。
(1)酸素+水素
(2)水含有ガス
【0031】
(他の前処理プラズマ条件)
本発明においては、下記の前処理プラズマ条件が特に好適に使用可能である。すなわち、この「前処理プラズマ」条件は、処理速度の点において、以下に述べる通常のプラズマ条件とは異なる。
(1)圧力 3〜100Pa
(2)温度 50℃
(3)処理時間 20分以上
(4)プラズマ条件 2000W
【0032】
(通常のプラズマ条件)
本発明において、通常の被処理体(例えば、半導体ウエハ等の電子デバイス用基材)のプラズマ処理条件を用いることが可能である。
(1)圧力 67〜200Pa
(2)温度 400℃
(3)処理時間 1〜10分
(4)プラズマ条件 7000W
【0033】
(プラズマ処理室酸化のモニタ)
本発明において、上記した前処理プラズマによるプラズマ処理室内の酸化の程度については、例えば、上記した図1のウエハWとして、標準的なサンプル・ウエハ(ないしはモニタ用ウエハ)を用いて、該サンプル・ウエハの酸化の程度を膜厚計測によってモニタすることにより測定することができる。
【0034】
(湿式洗浄との組合せ)
上記した前処理プラズマによるプラズマ処理室内の酸化膜コーティングは、それ単独でも効果的であるが、プラズマ処理室の事前の湿式洗浄と組み合わせることにより、更にその効果が増強される。
【0035】
(湿式洗浄)
【0036】
上述したように、本発明においては、プラズマ処理室を湿式洗浄した後に、前記したプラズマ前処理を行うことが好ましい。重金属除去の点からは、この場合の湿式洗浄は、酸洗浄を含むことが好ましい。
【0037】
(被処理体)
その上に酸化膜の形成が有用である限り、その種類、材質、形状、サイズ、製造方法、等は特に制限されない。例えば、前述したサンプル・ウエハであってもよく、プラズマ処理装置の構成要素ないし部品であってもよく、また電子デバイス用の各種基材(例えば、シリコン等の各種半導体デバイス用の基材)であってもよい。
【0038】
(プラズマ処理室)
その上に酸化膜の形成が有用である限り、その種類、材質、形状、サイズ、製造方法、等は特に制限されない。例えば、このプラズマ処理室は金属(例えば、アルミニウム、SuS、Si等)であっっても、他の材料(例えば、セラミック)であってもよい。
【0039】
(被処理体保持手段)
その上に被処理体を所定の時間で保持可能である限り、その種類、材質、形状、サイズ、製造方法、等は特に制限されない。例えば、チャック、静電保持手段、等の公知の被処理体保持手段を好適に使用することができる。
【0040】
(プラズマ発生手段)
該プラズマ処理室内に、所定のプラズマ(例えば、前処理プラズマ、他の被処理体を処理するためのプラズマ)を発生させることが可能である限り、特に制限されない。
【0041】
上記した中でも、高密度の点からは、このプラズマ発生手段は、マイクロ波を処理室内へ案内するためのアンテナ手段であることが好ましい。更には、プラズマ均一性の点からは、このアンテナ手段は、複数のスロットを有する平面アンテナ(RLSA)部材であることが好ましい。
【0042】
(プラズマ処理装置の一態様)
図1は本発明に係るプラズマ処理装置の一例を示す模式断面図である。
【0043】
図1を参照して、この態様においては、プラズマ処理装置をプラズマCVD(化学気相堆積、Chemical Vapor Deposition)処理に適用した場合について説明する。図1の態様は、アンテナ部材として、平面アンテナ部材を用いた例である。
【0044】
図1に示すように、このプラズマ処理装置30は、例えば側壁や底部がアルミニウム等の導体により構成されて、全体が筒体状に成形されたプラズマ処理室32を有しており、内部は密閉された処理空間Sとして構成されている。
【0045】
このプラズマ処理室32内には、その上面に被処理体(例えば半導体ウエハW)を載置するための載置台34が収容される。この載置台34は、例えばアルマイト処理したアルミニウム等により凸状に平坦になされた略円柱状に形成されており、この下部は同じくアルミニウム等により円柱状になされた支持台36により支持され、且つこの支持台36はプラズマ処理室32内の底部に絶縁材38を介して設置されている。
【0046】
上記した載置台34の上面には、ここにウエハを保持するための静電チャックまたはクランプ機構(図示せず)が設けられる。更に、この載置台34は給電線40を介してマッチングボックス42およびバイアス用高周波電源(例えば13.56MHz用)44に接続されている。なお、CVDの場合には、このバイアス用高周波電源44を設けなくてもよい。
【0047】
上記載置台34を支持する支持台36には、プラズマ処理時のウエハWを冷却するための冷却水等を流す冷却ジャケット46が設けられる。なお、必要に応じてこの載置台34中に加熱用ヒータを設けてもよい。
【0048】
他方、上記プラズマ処理室32の側壁には、ガス供給手段として、容器内にプラズマ用ガス、例えばアルゴンガスを供給する石英パイプ製のプラズマガス供給ノズル48や処理ガス、例えばデポジションガスを導入するための例えば石英パイプ製の処理ガス供給ノズル50が設けられる。これらのノズル48、50はそれぞれガス供給路52、54によりマスフローコントローラ56、58および開閉弁60、62を介してそれぞれプラズマガス源64および処理ガス源66に接続されている。処理ガスとしてのデポジションガスは、SiH 、O 、Nガス等を用いることができる。
【0049】
プラズマ処理室32の側壁の外側には、該プラズマ処理室32の内部に対してウエハWを搬入・搬出する時に開閉するゲートバルブ68が設けられされ、且つこの側壁を冷却する冷却ジャケット69が設けられる。また、容器底部には、排気口70が設けられされ、且つこの排気口70には図示されない真空ポンプが介接された排気路72が接続されており、必要に応じてプラズマ処理室32内を所定の圧力まで真空引きできるようになっている。そして、プラズマ処理室32の天井部は開口されて、ここに例えばAlN等のセラミック材からなり、マイクロ波に対しては透過性を有する絶縁板74(例えば厚さが20mm程度)がOリング等のシール部材76を介して気密に設けられる。
【0050】
この絶縁板74の上面に円板状の平面アンテナ部材78と高誘電率特性を有する遅波材80とが設けられる。具体的には、この平面アンテナ部材78は、上記プラズマ処理室32と一体的に成形されている中空円筒状容器からなる導波箱82の底板として構成され、前記プラズマ処理室32内の上記載置台34に対向させて設けられる。この導波箱82および上記プラズマ処理室32は共に接地され、且つ、この導波箱82の上部の中心には、同軸導波管84の外管84Aが接続され、内部の内部ケーブル84Bは、上記遅波材80の中心の貫通孔86を通って上記平面アンテナ部材78の中心部に接続される。
【0051】
この同軸導波管84は、モード変換器88および導波管90を介して例えば2.45GHzのマイクロ波発生器92に接続されており、上記平面アンテナ部材78へマイクロ波を伝播するようになっている。この周波数は2.45GHzに限定されず、他の周波数、例えば8.35GHzを用いてもよい。
【0052】
この導波管90としては、断面円形または矩形の導波管や同軸導波管を用いることができる。上記導波箱82の上部には、内部に冷却水を流す冷却水流路94が形成された天井冷却ジャケット96が設けられており、上記遅波材80等を冷却するようになっている。
【0053】
上記導波箱82内であって、平面アンテナ部材78の上面には、上記高誘電率特性を有する遅波材80を設けて、この波長短縮効果により、マイクロ波の管内波長を短くしている。この遅波材80としては、例えば窒化アルミニウム等を用いることができる。
【0054】
また、上記平面アンテナ部材78は、8インチサイズのウエハWに対応する場合には、例えば直径が300〜400mm、厚みが1〜数mm(例えば5mm)の導電性材料からなる円板、例えば表面が銀メッキされた銅板またはアルミニウム板からなり、この円板には例えば円形の貫通孔からなる多数のマイクロ波放射孔98が、アンテナ部材78に略均等に配置させて設けられている。このマイクロ波放射孔98の配置形態は、特に限定されず、例えば同心円状、螺旋状、または放射状に配置させてもよい。また、マイクロ波放射孔98の形状は円形に限定されず、例えば長溝のスリット形状等でもよく、また、このスリット形状の放射孔を「ハ」の字状に配列させるようにしてもよい。
次に、以上のように構成されたプラズマ処理装置を用いて行なわれる処理方法の一例について説明する。
【0055】
まず、ゲートバルブ68を介して半導体ウエハWWを搬送アーム(図示せず)によりプラズマ処理室32内に収容し、リフタピン(図示せず)を上下動させることによりウエハWWを載置台34の上面の載置面に載置する。プラズマ処理室32内を所定のプロセス圧力、例えば0.01〜数Paの範囲内に維持して、プラズマガス供給ノズル48から例えばアルゴンガスを流量制御しつつ供給すると共に処理ガス供給ノズル50から例えばSiH 、O 、N 等のデポジションガスを流量制御しつつ供給する。同時にマイクロ波発生器92からのマイクロ波を、導波管90および同軸導波管84を介して平面アンテナ部材78に供給して処理空間Sに、遅波材80によって波長が短くされたマイクロ波を導入し、これによりプラズマを発生させて所定のプラズマ処理、例えばプラズマCVDによる成膜処理を行う。
【0056】
(他の応用)
上記した態様では、半導体ウエハWに成膜処理する場合を例にとって説明したが、これに限定されず、プラズマエッチング処理、プラズマアッシング処理等の他のプラズマ処理にも適用することができる。また、被処理体としても半導体ウエハWに限定されず、ガラス基板、LCD(液晶デバイス)基板等に対しても適用することができる。
【0057】
以下、態様により本発明を更に具体的に説明する。
【0058】
【実施例】
実施例1
(ウエハの洗浄処理)
本発明においては、上記したサンプル・ウエハ、モニタ用ウエハ、ないしは通常のプラズマ処理を行うためのウエハは、一般的に、下記のような洗浄処理をう。
(1):基板
基板には20cm(8インチ)のP型のシリコン基板を用い、比抵抗が1Ωcm、面方位(100)のものを用いた。
(2):ゲート酸化前洗浄
【0059】
APM(アンモニア:過酸化水素水:純水=1:2:10の混合液、60℃)とHPM(塩酸:過酸化水素水:純水=1:1:10の混合液、60℃)およびDHF(フッ酸:純水=1:100の混合液、23℃)を組み合わせたRCA洗浄によって、自然酸化膜と汚染要素(金属や有機物、パーティクル)を除去した。RCA洗浄は、APM10分→純水リンス10分→DHF3分→純水リンス10分→HPM10分→純水リンス10分→純水ファイナルリンス5分を施した後、IPA(イソプロピルアルコール、220℃)乾燥を15分行い、ウェハ上の水分を乾燥させた。
【0060】
実施例2
(前処理プラズマによる処理室の酸化膜コーティング)
図1を参照して、実施例1のように洗浄処理した後のシリコンウエハ(サンプル・ウエハ)Wを用いて、プラズマ処理室内を酸化膜コーティングした。
【0061】
実施例3
(アルミニウム部材を用いるプラズマ酸化)
図2に示したプラズマ処理装置を用いて、下記のプラズマ処理条件で酸化処理を行い、プラズマ処理室内壁のアルミニウム部材の酸化状態を観察した。観察した場所は、図3の模式断面図に示す通りであった。
【0062】
プラズマ処理条件
Ar/O=1000/10 (sccm)
圧力:6.7Pa
プラズマ出力:2500W
温度:400℃
時間:60分
【0063】
上記実験により得られた結果を、表1および図4(a)〜(d)の走査型電子顕微鏡(SEM)写真、および図5のオージェ分析結果に示す。
【0064】
【表1】
Figure 2004172397
【0065】
上記した表1の垂直部(1)および水平部(2)は、図3の模式断面図に示した部分に対応する。
【0066】
実施例4
(アルミニウム・テストピースを用いるプラズマ酸化)
図2を参照して、実施例2による酸化膜形成処理を施したプラズマ処理装置を用いて、下記のプラズマ処理条件で、アルミニウム・テストピースの酸化を行った。
(1)酸化処理
Ar/O=1000/10 (sccm)
圧力:6.7Pa
プラズマ出力:3500W
温度:400℃
時間:10分間×6回=合計60分
(2)窒化処理
Ar/N=1000/40 (sccm)
圧力:133Pa
プラズマ出力:3500W
温度:400℃
時間:10分間×6回=合計60分
(3)酸化・窒化処理
【0067】
上記した酸化および窒化処理を、10分間、3回づつ(すなわち、合計600分間;酸化→窒化の順で)行った。
【0068】
【表2】
Figure 2004172397
【0069】
上記実験により得られた処理サンプルのSEMによる観察結果を、図6(a)〜(e)の写真に示す。
【0070】
上記した表2および図6に示したように、酸化処理においては、1時間で200nmの深さまで酸化されたが、窒化では、殆ど変化は見られなかった。更に、酸化処理によるアルミニウムの表面改質効果は確認されたが、処理後の表面は表面粗さが増大する傾向があった。
【0071】
実施例5
(金属コンタミネーション測定)
図2を参照して、実施例1のように洗浄処理した後のシリコンウエハ(サンプル・ウエハ)Wを用いて、以下に示す方法で、プラズマ処理室内でプラズマ発生させ、サンプル・ウエハにおける金属コンタミネーションを測定した。
【0072】
上記により得られた測定結果を図7および図8のグラフに示す。
【0073】
【発明の効果】
以上説明したように、本発明によれば、被処理体に対するコンタミネーションを抑制しつつ、プラズマ処理室内壁のスパッタリングおよびエッチングをも効果的に防止したプラズマ処理装置およびプラズマ処理方法が提供される。
【図面の簡単な説明】
【図1】本発明のプラズマ処理装置の好適な一態様を示す模式断面図である。
【図2】本発明のプラズマ処理装置の他の態様を示す模式断面図である。
【図3】図2のプラズマ処理装置の内壁に酸化膜形成した後の観測位置を示す模式断面図である。
【図4】図2のプラズマ処理装置の内壁(アルミニウム部材)における酸化膜のSEM観察結果を示す写真である。
【図5】図2のプラズマ処理装置の内壁(アルミニウム部材)におけるオージェ分析結果を示す写真である。
【図6】図2のプラズマ処理装置内に配置したアルミニウム・テストピースにおける酸化膜・窒化膜のSEM観察結果を示す写真である。
【図7】実施例5において得られた金属コンタミネーション測定結果を示すグラフである。
【図8】実施例5において得られた金属コンタミネーション測定結果を示すグラフである。

Claims (19)

  1. 被処理体にプラズマ処理を行うためのプラズマ処理室と、
    該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と、
    該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置であって;
    前記プラズマ処理室内壁の少なくとも一部が、前処理プラズマに基づく酸化膜で覆われていることを特徴とするプラズマ処理装置。
  2. プラズマ処理装置の少なくとも内壁部分を組み立てた後に、前記プラズマ処理室内壁を前処理プラズマに基づく酸化膜で覆ったものである請求項1に記載のプラズマ処理装置。
  3. プラズマ処理装置の構成要素の少なくとも1つを前処理プラズマに基づく酸化膜で覆った後に、前記プラズマ処理装置の少なくとも内壁部分を組み立てたものである請求項1または2に記載のプラズマ処理装置。
  4. 前記プラズマ処理室内壁のプラズマ照射される合計面積の50%以上が、少なくとも10nmの厚さを有する酸化膜で覆われている請求項1〜3のいずれかに記載のプラズマ処理装置。
  5. 被処理体にプラズマ処理を行うためのプラズマ処理室と、
    該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と、
    該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置であって;
    前記プラズマ処理室内で基準となる被処理体を酸素含有ガスに基づくプラズマで処理した際に、該処理後の被処理体におけるAl、Cu、NaおよびFeの合計原子数が、2×1010個以下であることを特徴とするプラズマ処理装置。
  6. 酸化膜形成後の表面粗さRa2と、酸化膜形成処理前の表面粗さRa1との比(Ra2/Ra1)が2以下である請求項1〜5のいずれかに記載のプラズマ処理装置。
  7. 前記プラズマ処理室内壁の酸化膜の平均膜厚が、10nmである請求項1〜6のいずれかに記載のプラズマ処理装置。
  8. 酸化膜形成処理前の前記プラズマ処理室内壁が、金属から構成されている請求項1〜7のいずれかに記載のプラズマ処理装置。
  9. 酸化膜形成前の前記プラズマ処理室内壁が、セラミック石英で覆われている金属からなる請求項1〜7のいずれかに記載のプラズマ処理装置。
  10. 前記プラズマ発生手段が、マイクロ波を処理室内へ案内するためのアンテナ手段である請求項1〜9のいずれかに記載のプラズマ処理装置。
  11. 前記アンテナ手段が、複数のスロットを有する平面アンテナ(RLSA)部材を含む請求項1〜10のいずれかに記載のプラズマ処理装置。
  12. 被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置を構成する部品であって;
    該部品の少なくともプラズマ処理室内壁を構成する表面部分が、前処理プラズマに基づく酸化膜で覆われていることを特徴とするプラズマ処理装置の部品。
  13. 被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置を用い、
    酸素含有ガスに基づく前処理プラズマを前記プラズマ処理室内で発生させて、該プラズマ処理室の内壁の少なくとも一部に酸化膜を形成することを特徴とするプラズマ処理方法。
  14. 前記被処理体保持手段に被処理体を保持させて、該被処理体上に酸化膜形成を行う請求項13に記載のプラズマ処理方法。
  15. 前記酸化膜形成を行うべき被処理体が、プラズマ処理室の少なくとも内壁を構成する部品である請求項14に記載のプラズマ処理方法。
  16. 前記プラズマ処理室を湿式洗浄した後に、前記プラズマ処理を行う請求項13〜15のいずれかに記載のプラズマ処理方法。
  17. 前記湿式洗浄が、酸洗浄を含む請求項16に記載のプラズマ処理方法。
  18. 前記酸素含有ガスが、酸素と水素を含む請求項13〜17のいずれかに記載のプラズマ処理方法。
  19. 前記酸素含有ガスが、水を含む請求項13〜17のいずれかに記載のプラズマ処理方法。
JP2002336837A 2002-11-20 2002-11-20 プラズマ処理装置およびプラズマ処理方法 Expired - Fee Related JP3946130B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002336837A JP3946130B2 (ja) 2002-11-20 2002-11-20 プラズマ処理装置およびプラズマ処理方法
US10/535,856 US7754995B2 (en) 2002-11-20 2003-11-20 Plasma processing apparatus and plasma processing method
AU2003284605A AU2003284605A1 (en) 2002-11-20 2003-11-20 Plasma processing apparatus and plasma processing method
PCT/JP2003/014850 WO2004047158A1 (ja) 2002-11-20 2003-11-20 プラズマ処理装置およびプラズマ処理方法
TW092132600A TWI256069B (en) 2002-11-20 2003-11-20 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002336837A JP3946130B2 (ja) 2002-11-20 2002-11-20 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2004172397A true JP2004172397A (ja) 2004-06-17
JP3946130B2 JP3946130B2 (ja) 2007-07-18

Family

ID=32321821

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002336837A Expired - Fee Related JP3946130B2 (ja) 2002-11-20 2002-11-20 プラズマ処理装置およびプラズマ処理方法

Country Status (5)

Country Link
US (1) US7754995B2 (ja)
JP (1) JP3946130B2 (ja)
AU (1) AU2003284605A1 (ja)
TW (1) TWI256069B (ja)
WO (1) WO2004047158A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173413A (ja) * 2004-12-16 2006-06-29 Ulvac Japan Ltd 薄膜形成装置
WO2007046414A1 (ja) * 2005-10-18 2007-04-26 Tokyo Electron Limited 処理装置
US7602111B2 (en) * 2005-07-12 2009-10-13 Samsung Electronics Co., Ltd. Plasma accelerating apparatus and plasma processing system including secondary electron amplification coating layer formed at inner wall of channel
KR101300586B1 (ko) 2009-02-20 2013-08-27 도쿄엘렉트론가부시키가이샤 실리콘 성막 장치 및 그 사용 방법
KR20170129332A (ko) * 2016-05-16 2017-11-27 삼성전자주식회사 안테나, 그를 포함하는 마이크로파 플라즈마 소스, 플라즈마 처리 장치

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
JP5421551B2 (ja) * 2008-06-11 2014-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11577592B2 (en) * 2020-09-09 2023-02-14 Ford Global Technologies, Llc Continuous sealing flat assembly for unibody truck cargo box
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JP2976333B2 (ja) * 1987-10-24 1999-11-10 忠弘 大見 ステンレス鋼及びその製造方法並びに減圧装置
JPH0221598A (ja) 1988-07-07 1990-01-24 Nissin Electric Co Ltd Ecrプラズマ源およびその内壁膜の形成方法
JPH0375373A (ja) 1989-08-18 1991-03-29 Fujitsu Ltd プラズマ処理装置の清浄化方法
JPH0562914A (ja) * 1991-08-30 1993-03-12 Furukawa Electric Co Ltd:The 有機金属気相エピタキシヤル成長法
US5580398A (en) * 1991-11-20 1996-12-03 Ohmi; Tadahiro Method of forming passive oxide film based on chromium oxide, and stainless steel
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07169700A (ja) * 1993-12-16 1995-07-04 Sanyo Electric Co Ltd 基板の処理装置
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
JPH08190994A (ja) * 1995-01-12 1996-07-23 Kokusai Electric Co Ltd プラズマ処理装置の電極
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US5814555A (en) * 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
JP4104026B2 (ja) * 1996-06-20 2008-06-18 財団法人国際科学振興財団 酸化不働態膜の形成方法並びに接流体部品及び流体供給・排気システム
AUPO425096A0 (en) * 1996-12-18 1997-01-16 University Of Queensland, The Radial line slot antenna
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19748240C2 (de) * 1997-10-31 2001-05-23 Fraunhofer Ges Forschung Verfahren zur korrosionsfesten Beschichtung von Metallsubstraten mittels Plasmapolymerisation und dessen Anwendung
US6475927B1 (en) * 1998-02-02 2002-11-05 Micron Technology, Inc. Method of forming a semiconductor device
JP3601988B2 (ja) 1999-01-04 2004-12-15 株式会社東芝 絶縁膜の形成方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6206973B1 (en) * 1999-04-23 2001-03-27 Silicon Valley Group Thermal System Llc Chemical vapor deposition system and method
US6423175B1 (en) * 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173413A (ja) * 2004-12-16 2006-06-29 Ulvac Japan Ltd 薄膜形成装置
US7602111B2 (en) * 2005-07-12 2009-10-13 Samsung Electronics Co., Ltd. Plasma accelerating apparatus and plasma processing system including secondary electron amplification coating layer formed at inner wall of channel
WO2007046414A1 (ja) * 2005-10-18 2007-04-26 Tokyo Electron Limited 処理装置
JP2007142363A (ja) * 2005-10-18 2007-06-07 Tokyo Electron Ltd 処理装置
US8485127B2 (en) 2005-10-18 2013-07-16 Tokyo Electron Limited Processing apparatus
KR101300586B1 (ko) 2009-02-20 2013-08-27 도쿄엘렉트론가부시키가이샤 실리콘 성막 장치 및 그 사용 방법
KR20170129332A (ko) * 2016-05-16 2017-11-27 삼성전자주식회사 안테나, 그를 포함하는 마이크로파 플라즈마 소스, 플라즈마 처리 장치
KR102619949B1 (ko) * 2016-05-16 2024-01-03 삼성전자주식회사 안테나, 그를 포함하는 마이크로파 플라즈마 소스, 플라즈마 처리 장치

Also Published As

Publication number Publication date
WO2004047158A1 (ja) 2004-06-03
TW200415685A (en) 2004-08-16
US7754995B2 (en) 2010-07-13
US20060108331A1 (en) 2006-05-25
TWI256069B (en) 2006-06-01
JP3946130B2 (ja) 2007-07-18
AU2003284605A1 (en) 2004-06-15

Similar Documents

Publication Publication Date Title
JP3946130B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4256763B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4338355B2 (ja) プラズマ処理装置
KR100789007B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2017098478A (ja) エッチング方法
TWI587389B (zh) 基板處理方法
GB2287826A (en) Removal of native oxide from silicon surfaces
JP2008277306A (ja) プラズマ装置
JPWO2006016642A1 (ja) 半導体装置の製造方法およびプラズマ酸化処理方法
KR20150101927A (ko) 플라즈마 처리 장치의 클리닝 방법
WO2016143594A1 (ja) 磁性層をエッチングする方法
US9780037B2 (en) Method of processing target object
JP2004349546A (ja) 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
TW202125704A (zh) 用於形成互連結構之方法及設備
JP5425361B2 (ja) プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
US20100015815A1 (en) Plasma oxidizing method, plasma processing apparatus, and storage medium
JP2018142691A (ja) 半導体製造方法及びプラズマ処理装置
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
JP2004172333A (ja) プラズマ処理方法およびプラズマ処理装置
US8609552B2 (en) Method for controlling dangling bonds in fluorocarbon films
TW201842532A (zh) 有機材料的自我限制蝕刻之實行程序
TWI791106B (zh) 處理系統及處理方法
JP6666601B2 (ja) 多孔質膜をエッチングする方法
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070313

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070410

R150 Certificate of patent or registration of utility model

Ref document number: 3946130

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130420

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130420

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160420

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees