TW202125704A - 用於形成互連結構之方法及設備 - Google Patents

用於形成互連結構之方法及設備 Download PDF

Info

Publication number
TW202125704A
TW202125704A TW109134534A TW109134534A TW202125704A TW 202125704 A TW202125704 A TW 202125704A TW 109134534 A TW109134534 A TW 109134534A TW 109134534 A TW109134534 A TW 109134534A TW 202125704 A TW202125704 A TW 202125704A
Authority
TW
Taiwan
Prior art keywords
metal layer
metal
processing
substrate
chamber
Prior art date
Application number
TW109134534A
Other languages
English (en)
Inventor
河 任
姜浩
梅伍爾 奈克
史林尼法斯D 奈馬尼
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202125704A publication Critical patent/TW202125704A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Thermal Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文提供了用於降低金屬線的電阻的方法和設備。在一些實施例中,一種用於降低金屬線的電阻的方法包括:在足以增加第一金屬層的金屬的晶粒尺寸的條件下,在第二金屬層的頂部上沉積第一金屬層;蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分;透過氫處置處理從金屬線去除雜質;並在760 Torr至76,000 Torr之間的壓力下對金屬線進行退火,以減小第一線邊緣粗糙度。

Description

用於形成互連結構之方法及設備
本揭示案的實施例總體上涉及形成積體電路(IC)的設備和方法。更具體地,本揭示案涉及使用減性蝕刻處理形成含金屬的互連結構的方法。
習知的鑲嵌處理並不總是與現代互補金屬氧化物半導體(CMOS)技術中朝著更小的特徵尺寸發展的趨勢相容。例如,現代CMOS技術可能需要小於二十奈米的線寬和大約4:1或更高的長寬比(即,線高比線寬)。在這些參數內嘗試習知的鑲嵌處理通常會導致形成在互連電介質材料中的開口的壁上的不良的襯層/晶種覆蓋率,以及凹入輪廓。因此,開口的金屬填充會受空隙、缺陷、和對襯層材料的不良黏著的影響。此外,隨著線的尺寸變窄,金屬的電阻增加(例如,由於襯墊相對於金屬的厚度、較小的金屬晶粒尺寸、以及金屬晶粒邊界和表面散射現象),導致降低的IC性能。
減性金屬蝕刻已被用來克服習知鑲嵌處理和結構中的缺陷。例如,減性金屬方案的使用透過在增加導體體積的同時避免使用與金屬線相鄰的阻障和/或襯墊來降低電阻。然而,發明人已觀察到,由於自毯覆金屬層的減性蝕刻形成的金屬線尺寸變窄,金屬線的電阻由於線邊緣粗糙度、晶粒尺寸、雜質、和表面散射現象而增加,從而導致降低的IC性能。例如,發明人已觀察到,包括具有大晶粒尺寸的金屬的金屬層在當蝕刻時有問題地具有高的線邊緣粗糙度,而由於表面散射現象導致電阻增大,並且包括金屬的具有較小晶粒尺寸的金屬層在蝕刻時有問題地具有高電阻。此外,方法中存在的雜質例如處理殘留物或反應副產物有問題地增加了電阻和線邊緣粗糙度。
因此,根據本揭示案,發明人提供了使用減性金屬處理形成互連的改進方法、改進的金屬、以及用於形成互連的整合設備。
本文提供了用於降低金屬線的電阻的方法和設備。在一些實施例中,一種用於降低金屬線的電阻的設備包括:在足以增加第一金屬層的金屬的晶粒尺寸的條件下在第二金屬層的頂部上沉積第一金屬層;蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分;透過氫處置處理從金屬線去除雜質;及在760 Torr和76,000 Torr之間的壓力下對金屬線進行退火,以減小第一線邊緣粗糙度。
本文提供了用於在基板上圖案化導體層的方法和設備。在一些實施例中,一種用於在基板上圖案化導體層的方法,包括:在第二金屬層的頂部上沉積第一金屬層;透過第一氫處置處理從第一金屬層去除雜質;在760 Torr和76, 000 Torr的壓力下對第一金屬層進行退火;蝕刻第一金屬層,以在第二金屬層的頂部形成具有第一線邊緣粗糙度的金屬線,並暴露第二金屬層的頂表面;透過第二氫處置處理從金屬線去除雜質;及在足以減小第一線邊緣粗糙度的條件下對金屬線進行退火。
在一些實施例中,一種基板處理系統包括:物理氣相沉積腔室,其被配置為在基板上沉積第一金屬層並增加第一金屬層的金屬的晶粒尺寸;以及熱處理腔室,其配置為處置和退火第一金屬層以去除雜質並增加金屬的晶粒尺寸。
一種具有指令儲存於其上的非暫態電腦可讀取媒體,當指令被執行時,引起一種降低金屬線的電阻的方法,該方法包括以下步驟:在足以增加第一金屬層的金屬的晶粒尺寸的條件下,在第二金屬層的頂部上沉積第一金屬層;蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分;透過氫處置處理從金屬線去除雜質;並在760 Torr至76,000 Torr之間的壓力下對金屬線進行退火,以減小第一線邊緣粗糙度。
以下描述本文的其他和進一步的實施例。
本揭示案的實施例提供了利用減性金屬蝕刻來形成一或多種具有改善的電阻的改善的金屬線的方法,方法透過減小或消除造成增加的電阻和降低的IC性能的線邊緣粗糙度而實行。在實施例中,本揭示案涉及一種降低金屬線的電阻的方法,該方法包括以下步驟:在足以增加第一金屬層的金屬的晶粒尺寸的條件下,在第二金屬層的頂部沉積第一金屬層;蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分;透過氫處置處理從金屬線去除雜質;並在760 Torr至76,000 Torr之間的壓力下對金屬線進行退火,以減小第一線邊緣粗糙度。發明人已發現,根據本揭示案的減性蝕刻有利地降低了電阻,允許材料柔性並且最大化導體體積。發明人發現,根據本揭示案的沉積後處置和退火和/或蝕刻後處置和退火提供了具有低電阻和低線邊緣粗糙度的金屬線。
圖1是根據本揭示案的適於實行蝕刻處理的處理腔室100的一個實例的截面圖。可以適於與本文揭示案的教導一起使用的合適的處理腔室包括,例如,可從加州聖克拉拉的應用材料公司獲得的SYM3®處理腔室。其他處理腔室可適於受益於本揭示案的一或多種方法。
處理腔室100包括腔室主體102和蓋104,其包圍內部空間106。腔室主體102通常由鋁、不銹鋼、或其他合適的材料製成。腔室主體102通常包括側壁108和底部110。基板支撐底座進入端口(未示出)通常界定在側壁108中,並且由狹縫閥選擇性地密封,以促進基板103從處理腔室100進入和離開。排氣口126被界定在腔室主體102中,並且將內部空間106耦接至泵系統128。泵系統128通常包括一或多個泵和節流閥,用於排空和調節處理腔室100的內部空間106的壓力。在實施例中,取決於處理需要,泵系統128將內部空間106內的壓力維持在通常在約1mTorr至約500mTorr之間、在約5mTorr至約100mTorr之間、或在約5mTorr至50mTorr之間的操作壓力下。
在實施例中,蓋104密封地支撐在腔室主體102的側壁108上。蓋104可以被打開以允許多量到處理腔室100的內部空間106。蓋104包括促進光學處理監控的窗142。在一個實施例中,窗142由石英或其他合適的材料構成,該材料對於由安裝在處理腔室100外的光學監控系統140所利用的信號是可透射的。
光學監控系統140被定位以透過窗142查看腔室主體102的內部空間106和/或位於基板支撐底座組件148上的基板103中的至少一個。在一個實施例中,光學監控系統140耦接至蓋104,並促進使用光學計量的整合沉積處理以提供資訊,該資訊使得能夠進行處理調整以補償進入的基板圖案特徵不一致(例如厚度等),根據需要提供處理狀態監控(例如電漿監控、溫度監控等)。可以適於從本揭示案受益的一種光學監控系統是可從加州聖克拉拉的應用材料公司獲得的EYED®全光譜干涉計量模組。
在實施例中,氣體控制板158耦接至處理腔室100以向內部空間106提供處理和/或清潔氣體。在圖1所示的範例中,入口132’、132''設置在蓋104中,以允許氣體從氣體控制板158輸送到處理腔室100的內部空間106。在實施例中,氣體控制板158適於穿過入口132',132''並進入處理腔室100的內部空間106中而提供氧氣和惰性氣體,例如氬氣,或氧氣和氦氣處理氣體或氣體混合物。在一個實施例中,從氣體控制板158提供的處理氣體至少包括包含氧化劑(例如氧氣)的處理氣體。在實施例中,包括氧化劑的處理氣體可進一步包括惰性氣體,例如氬氣或氦氣。在一些實施例中,處理氣體包括諸如氫的還原劑,並且可以與諸如氬氣的惰性氣體或諸如氮氣或氦氣的其他氣體混合。在一些實施例中,可單獨提供氯氣,或與氮氣、氦氣、和惰性氣體如氬氣中的至少一種結合提供。含氧氣體的非限制性範例包括O2 、CO2 、N2 O、NO2 、O3 、H2 O等中的一或多種。含氮氣體的非限制性範例包括N2 、NH3 等。含氯氣體的非限制性範例包括HCl、Cl2 、CCl4 等。在實施例中,噴頭組件130耦接至蓋104的內表面114。噴頭組件130包括複數個孔,該複數個孔允許氣體以預定的分佈在整個在處理腔室100中被處理的基板103的表面上從入口132',132''流過噴頭組件130,進入處理腔室100的內部空間106。
在一些實施例中,處理腔室100可利用電容耦合的RF能量進行電漿處理,或者在一些實施例中,處理腔室100可以利用電感耦合的RF能量進行電漿處理。在一些實施例中,遠端電漿源177可以選擇性地耦接到氣體控制板158,以有助於在進入內部空間106進行處理之前將氣體混合物與遠程電漿解離。在一些實施例中,RF源功率143透過匹配網路141耦接至噴頭組件130。RF源功率143通常可產生高達約5000 W,例如在約200 W至約5000 W之間,或在1000 W至3000 W之間,或約1500 W,並且選擇性地以在約50kHz至約200 MHz的範圍內的可調頻率產生。
噴頭組件130還包括對光學計量信號可透射的區域。光學可透射區域或通道138適合於允許光學監控系統140查看內部體積106和/或定位在基板支撐底座組件148上的基板103。通道138可以是在噴頭組件130中形成或設置的材料、孔、或複數個孔,該材料、孔、或複數個孔基本上可透射由光學監控系統140產生及反射到光學監控系統140的能量的波長。在一個實施例中,通道138包括窗142,以防止氣體通過通道138洩漏。窗142可以是藍寶石板、石英板、或其他合適的材料。窗142可以可替代地設置在蓋104中。
在一個實施例中,噴頭組件130配置有複數個區域,該複數個區域允許分別控制流入處理腔室100的內部空間106的氣體。在圖1所示的範例中,噴頭組件130作為內部區域134和外部區域136,其穿過單獨的入口132’、132''單獨地耦接至氣體控制板158。
在一些實施例中,基板支撐底座組件148被佈置在諸如噴頭組件130的氣體分配組件下方的處理腔室100的內部空間106中。基板支撐底座組件148在處理期間保持基板103。基板支撐底座組件148通常包括穿過其中佈置的複數個升舉銷(未示出),該複數個升舉銷被配置成以常規方式從基板支撐底座組件148提升基板103並且便於以機器人(未示出)交換基板103。內襯墊118可以緊密地包圍基板支撐底座組件148的外周。可以例如透過使來自流體源124的傳熱流體循環穿過內襯墊118中的通道來控制內襯墊118的溫度。
在一個實施例中,基板支撐底座組件148包括裝配板162、基座164、和靜電吸盤166。裝配板162耦接到腔室主體102的底部110,包括用於將諸如流體、電力線、和感測器引線之類的設施引導至基座164和靜電吸盤166的通道。靜電吸盤166包括至少一個夾持電極180,用於將基板103保持在噴頭組件130下方。靜電吸盤166由吸附電源182驅動,以產生將基板103保持在吸盤表面上的靜電力,如常規所知。替代地,可以透過夾持、真空、或重力將基板103保持到基板支撐底座組件148。
至少一個基座164或靜電吸盤166可包括至少一個選擇性的嵌入式加熱器176、至少一個選擇性的嵌入式隔離器174和複數個導管168、170,以控制基板支撐底座組件148的橫向溫度分佈。導管168、170流體地耦接到流體源172,流體源172使溫度調節流體從中循環。加熱器176由電源178調節。導管168、170和加熱器176用於控制基座164的溫度,加熱和/或冷卻靜電吸盤166,並最終地控制設置在其上的基板103的溫度分佈。可以使用複數個溫度感測器190、192來監控靜電吸盤166和基座164的溫度。靜電吸盤166可進一步包括複數個氣體通道(未示出),例如凹槽,其形成在靜電吸盤166的基板支撐底座支撐表面中並且流體地耦接至傳熱(或背側)氣體的例如氦氣(He)的源。在操作中,將背側氣體以受控的壓力提供到氣體通道中,以增強靜電吸盤166與基板103之間的熱傳遞。在實施例中,基板的溫度可以維持在攝氏20度至攝氏450度,諸如攝氏100度至攝氏300度,或攝氏150度至攝氏250度。
在一個實施例中,基板支撐底座組件148被配置為陰極並且包括電極180,該電極180耦接到複數個RF偏置電源184、186。RF偏置電源184、186耦接在設置在基板支撐底座組件148中的電極180與另一電極(諸如噴頭組件130或腔室主體102的頂板(蓋104))之間。RF偏置功率激發並維持由佈置在腔室主體102的處理區域中的氣體形成的電漿放電。
仍參考圖1,在一些實施例中,雙RF偏置電源184、186透過匹配電路188耦接到設置在基板支撐底座組件148中的電極180。由RF偏置電源184、186產生的信號透過單次饋送透過匹配電路188傳遞到基板支撐底座組件148,以離子化提供在諸如處理腔室100的電漿處理腔室中的氣體混合物,從而提供實行蝕刻沉積或其他電漿增強的處理所需的離子能量。RF偏置電源184、186通常能夠產生具有從約50 kHz至約200 Mhz的頻率且功率在約0 Watts到約500 Watts之間、1 Watt(W)至約100 W之間、或約1 W至約30 W之間的RF信號。額外的偏置功率189可以耦接到電極180以控制電漿的特性。
在操作期間,基板103(其可包括要蝕刻的第一金屬層設置在第二金屬層上,如圖7A所示)設置在電漿處理腔室(例如處理腔室100)中的基板支撐底座組件148上。處理氣體和/或氣體混合物透過噴頭組件130從氣體控制板158引入腔室主體102中。諸如泵系統128的真空泵系統在去除沉積副產物的同時維持腔室主體102內部的壓力。
控制器150耦接至處理腔室100以控制處理腔室100的操作。控制器150包括中央處理單元(CPU)152、記憶體154、和支持電路156,其用於控制處理順序並調節來自氣體控制板158的氣流。CPU 152可以是可以在工業設置中使用的任何形式的通用電腦處理器。軟體程序可以儲存在記憶體154中,記憶體例如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動、或其他形式的數位儲存。支持電路156傳統地耦接到CPU 152,並且可以包括快取、時鐘電路、輸入/輸出系統、電源等。控制器150與處理腔室100的各個元件之間的雙向通訊透過許多信號電纜進行處理。
現在參考圖2,示出了根據本揭示案的用於退火的單基板處理腔室200的簡化的前視截面圖。在一些實施例中,處理腔室200被配置用於根據本揭示案的基板的高壓退火處理,該基板例如是諸如圖2所示的基板401單個基板。在一些實施例中,單基板處理腔室200具有主體210,主體210具有外表面212和包圍內部空間215的內表面213。在諸如圖2的一些實施例中,主體210具有環形橫截面,且在其他實施例中,主體210的橫截面可以是矩形或任何封閉形狀。主體210的外表面212可以由耐腐蝕鋼(CRS)製成,例如但不限於不銹鋼。一或多個熱屏蔽件225設置在主體210的內表面213上,其防止熱從單基板處理腔室200流失到外部環境中。主體210的內表面213以及熱屏蔽件225可以由表現出高耐腐蝕性的鎳基鋼合金製成,例如但不限於HASTELLOY®,ICONEL®和MONEL®品牌材料。
在一些實施例中,基板支撐件230設置在內部空間215中。基板支撐件230具有桿234和由桿234保持的基板支撐構件232。桿234穿過通道222,通道222穿過主體210形成。連接至致動器238的桿239穿過第二通道223,第二通道223穿過主體210形成。桿239耦接到具有孔236的板235,孔236容納基板支撐件230的桿234。升舉銷237連接到基板支撐構件232。致動器238致動桿239,使得板235向上或向下移動以與升舉銷237連接和斷開。當升舉銷237升高或降低時,基板支撐構件232在處理腔室200的內部空間215內升高或降低。在一些實施例中,基板支撐構件232具有在其中中央嵌入的電阻加熱元件231。電源233被配置為為電阻加熱元件231供電。電源233以及致動器238的操作由控制器280控制。
在一些實施例中,單基板處理腔室200在主體210上具有開口211,穿過開口211可以將一或多個基板401裝載和卸載到設置在內部空間215中的基板支撐件230上以及從基板支撐件230裝載和卸載。開口211在主體210上形成隧道。狹縫閥218配置成可密封地關閉通道,使得僅當狹縫閥218開啟時才可進入開口211和內部空間215。利用高壓密封件將狹縫閥218密封至主體210,以便密封內部空間215以供處理。高壓密封件可以由聚合物製成,例如含氟聚合物,例如但不限於全氟彈性體和聚四氟乙烯(PTFE)。高壓密封件可進一步包括用於偏壓密封件以改善密封性能的彈簧構件。冷卻通道設置在鄰近高壓密封件的通道上,以為了在處理期間將高壓密封件保持在高壓密封件的最大安全工作溫度以下。來自冷卻流體源的冷卻劑,例如但不限於惰性、介電、和高性能的傳熱流體,可以在冷卻通道內循環。來自冷卻流體源的冷卻劑的流動被控制器280透過從溫度感測器216或流體感測器(未示出)接收的反饋來控制。圍繞通道形成環形熱扼流器,以防止當狹縫閥218打開時熱從內部空間215流穿過開口211。
單基板處理腔室200具有穿過主體210的端口217,端口217流體連接至流體迴路290,流體迴路290連接氣體控制板250、冷凝器260和端口217。流體迴路290具有氣體導管292、源導管257、入口隔離閥255、排氣導管263、和出口隔離閥265。多個加熱器296、258、252、254、264、266與流體迴路290的不同部分連接。多個溫度感測器251、253、219、267和269也被放置在流體迴路290的不同部分以進行溫度測量並將資訊發送到控制器280。控制器280使用溫度測量資訊來控制加熱器252、254、258、296、264和266的操作,使得流體迴路290的溫度保持在高於設置在流體迴路290和內部空間215中的處理流體的凝結點以上的溫度。
在一些實施例中,氣體控制板250被配置為在壓力下向內部空間215提供處理流體。引入到內部空間215中的處理流體的壓力由耦接到主體210的壓力感測器214監控。冷凝器260流體耦接至冷卻流體源(未示出),並且配置成冷凝穿過氣體導管292離開內部空間215的氣相處理流體。接著冷凝的處理流體被泵276除去。一或多個加熱器240設置在主體210上,並且被配置為加熱單基板處理腔室200內的內部空間215。加熱器240、252、254、258、296、264和266由一或多個電源245供電,以將流體迴路290中的處理流體保持為氣相,而往冷凝器260的出口隔離閥265打開以防止流體迴路內的凝結。
控制器280控制單基板處理腔室200的操作。控制器280控制氣體控制板250、冷凝器260、泵270、入口隔離閥255、出口隔離閥265、以及電源233和245的操作。控制器280亦通訊地連接到溫度感測器216、壓力感測器214、致動器238、冷卻流體源226、以及溫度讀取裝置256和262。
在一些實施例中,控制器280包括計算裝置,並且可以是可以在工業設置中用於控制各種腔室和子處理器的任何形式的通用電腦中之一種。在一些實施例中,計算裝置包括電腦處理器282和記憶體284。記憶體284可以包括任何合適的記憶體,例如隨機存取記憶體、唯讀記憶體、快閃記憶體、硬碟、或本端或遠端的任何其他形式的數位儲存。計算裝置可包括各種支持電路286,其可以被耦接到電腦處理器282,以便以常規方式支持電腦處理器282。軟體程序根據需要可以儲存在記憶體284中或由位於遠端的第二計算裝置(未示出)執行。在一些實施例中,計算裝置可進一步包括一或多個電腦可讀取媒體。電腦可讀取媒體通常包括位於本端或遠端的任何裝置,其能夠儲存可由計算裝置檢索的資訊。可與本揭示案的實施例一起使用的電腦可讀取媒體的範例包括固態記憶體、軟碟、內部或外部硬碟驅動、以及光學記憶體(CD、DVD、BR-D等)。在一個實施例中,記憶體284可以是電腦可讀取媒體。軟體程序可以被儲存在電腦可讀取媒體上以由計算裝置執行。軟體程序,當被執行時,將通用電腦轉換為控制腔室操作的特定處理電腦,從而實行腔室處理。
在一些實施例中,處理流體可包括諸如H2 的含氫氣體,或諸如N2 的含氮氣體。
在一些實施例中,處理流體可以與基板上的金屬材料反應以形成金屬氮氧化物、金屬氧化物等。在本揭示案的基板(例如基板401)的處理期間,高壓區域或內部空間215的環境被維持在將高壓區域內的處理流體保持在蒸氣相的溫度和壓力下。在實施例中,基於處理流體的成分來預先選擇壓力和溫度。例如,在一些實施例中,處理流體包括氬、氦、或氮,以及4%氫(氮中)至100%氫。在一個範例中,高壓區域或內部空間215被加壓到大於大氣的壓力,例如760 Torr至76,000 Torr。在另一個範例中,高壓區域或內部空間215被加壓至約7,600至約37,500 Torr之間的壓力,諸如約15,000至約36,000 Torr之間的壓力。在另一個範例中,高壓區域或內部空間215被加壓至高達約76,000 Torr的壓力。在一些實施例中,在處理期間,高壓區域或內部空間215也保持在高溫下,例如,在約攝氏300度和約攝氏600度之間的溫度。
現在參考圖3,根據本揭示案的實施例的適用於使用高功率脈衝磁控濺射(HiPIMS)處理來濺射沉積材料的示例性物理氣相沉積(PVD)處理腔室300(例如,濺射處理腔室)。在一些實施例中,處理腔室300被配置為在不同於第一金屬層的第二金屬層之上沉積釕、鉬、銥、鉑、或銠的第一金屬層。在一些實施例中,第一金屬層沉積至10至500奈米、10至400奈米、或10至300奈米的厚度。在實施例中,處理腔室300是PVD處理腔室,可從位於加州聖克拉拉的應用材料公司獲得。其他濺射腔室,包括來自其他製造商的其他濺射處理腔室,可以適於實踐本揭示案的實施例。
在一些實施例中,處理腔室300包括腔室主體308,腔室主體308具有界定於其中的處理空間318。腔室主體308具有側壁310和底部346。腔室主體308和處理腔室300的相關元件的尺寸不受限制,並且通常成比例地大於要被處理的基板390的尺寸。可以處理任何合適的基板尺寸。合適的基板尺寸的範例包括直徑為200 mm、直徑為300 mm、直徑為450 mm或更大的基板。
腔室蓋組件304安裝在腔室主體308的頂部上。腔室主體308可以由鋁或其他合適的材料製成。穿過腔室主體308的側壁310形成基板進入端口330,基板進入端口330促進基板390進入和離開處理腔室300的轉移。進入端口330可以耦接至基板處理系統的傳送腔室和/或其他腔室。
氣源328耦接至腔室主體308,以將處理氣體供應到處理空間318中。在一個實施例中,如有必要,處理氣體可包括惰性氣體、非反應性氣體、和反應性氣體。氣源328可提供的處理氣體的範例包括,但不限於,氬氣(Ar)、氦氣(He)、氖氣(Ne)、氪氣(Kr)、氙氣(Xe)、氮氣(N2 )、氧氣(O2 )、氫氣(H2 )、組成氣體(N2 + H2 )、氨氣(NH3 )、甲烷(CH4 )、一氧化碳(CO)、和/或二氧化碳(CO2 )等。
穿過腔室主體308的底部346形成泵送口350。泵送裝置352耦接至處理空間318以排空並控制其中的壓力。泵送系統和腔室冷卻設計可在適合熱預算需求的溫度(例如,攝氏25度至攝氏600度)下實現高基本真空(例如,1 E-8 Torr或更低)和低上升率(例如,1,000 mTorr/min)。泵送系統設計以提供對處理壓力的精確控制,處理壓力是晶體結構(例如,Sp3含量)、應力控制、和調節的關鍵參數。處理壓力可以維持在約1 mTorr至約500 mTorr之間的範圍內,例如在約50 mTorr至約500 mTorr之間。
蓋組件304通常包括靶320和與其耦接之接地屏蔽組件326。靶320提供可以在PVD處理期間濺射並沉積到基板390的表面上的材料源。靶320在,例如,DC濺射期間,用作電漿迴路的陰極。
靶320或靶板可以由用於沉積層的材料或要在腔室中形成的沉積層的元素製成,例如金屬材料。諸如電源332的高壓電源連接到靶320以促進從靶320濺射材料。在一個實施例中,靶320可以由金屬材料製成,例如釕、鉬、銥、鉑、或銠等。電源(power source)332或電源(power supply),可以以脈衝(相對於恆定)方式向靶提供功率。亦即,電源可以透過向靶320提供三個或更多個(幾個)脈衝來向靶提供功率。
靶320通常包括外周部分324和中央部分316。外周部分324設置在腔室的側壁310上方。靶320的中央部分316可具有彎曲表面,彎曲表面朝向設置在基板支撐件338上的基板390的表面稍微延伸。在典型的PVD處理中,靶320與基板支撐件338之間的間隔保持在約50 mm至約250 mm之間。靶320的尺寸、形狀、材料、配置、和直徑可針對特定處理或基板需求而變化。在一個實施例中,靶320可以進一步包括具有中央部分的背板,該中央部分由期望被濺射到基板表面上的材料結合且/或製造。
蓋組件304可進一步包括安裝在靶320上方的全面腐蝕磁控管陰極302,其在處理期間增強了來自靶320的有效濺射材料。全面腐蝕磁控管陰極302允許容易且快速的處理控制及定制膜特性,同時確保一致的靶腐蝕和整個晶圓上的均勻沉積。磁控管組件的範例包括線性磁控管、蛇形磁控管、螺旋磁控管、雙指(double-digitated)磁控管、矩形螺旋磁控管,及其他形狀,以在靶面上形成所需的腐蝕圖案並在處理的脈衝或直流電漿階段能夠形成所需的護套。在一些配置中,磁控管可包括以期望的圖案定位在靶的表面上的永久磁體,例如上述圖案中之一(例如,線性、蛇形、螺旋、雙指(double digitated)等)。在其他實施例中,可替代地,或甚至是在永久磁體之外,具有期望圖案的可變磁場型磁控管可用於在整個HIPMS處理的一或多個部分中調節電漿的形狀和/或密度。
蓋組件304的接地屏蔽組件326包括接地框306和接地屏蔽件312。接地屏蔽組件326亦可包括其他腔室屏蔽構件、靶屏蔽構件、暗空間屏蔽件、和暗空間屏蔽框。接地屏蔽件312透過接地框306耦合到外周部分324,接地框306在處理空間318中在靶320的中央部分下方限定上處理區域354。接地框306使接地屏蔽件312與靶320電絕緣,同時透過側壁310向處理腔室300的腔室主體308提供接地路徑。接地屏蔽件312將在處理期間產生的電漿約束在上處理區域354內,並從靶320的受限的中央部分316中去除靶源材料,從而允許被去除的靶源材料被主要沉積在基板表面上而不是在腔室側壁310上。
在圖3的實施例中,延伸穿過腔室主體308的底部346的軸340耦接至升舉機構344。升舉機構144被配置為使基板支撐件338在下傳送位置和上處理位置之間移動。波紋管342外接軸340並耦接至基板支撐件338以在其間提供柔性密封,從而保持腔室處理空間318的真空完整性。
基板支撐件338可以是靜電吸盤並且具有電極380。當使用靜電吸盤(ESC)的實施例時,基板支撐件338利用相反電荷的吸引來保持絕緣和導電類型的基板390兩者,並且由DC電源381供電。基板支撐件338可以包括嵌入在電介質主體內的電極。DC電源381可以向電極提供約200至約2000伏特的DC吸附電壓。DC電源381亦可包括系統控制器,系統控制器用於透過將DC電流引導至電極以吸附和去吸附基板390來控制電極380的操作。
在將處理氣體引入處理腔室300中之後,對氣體供能以形成電漿,從而可以實行HIPIMS型PVD處理。
陰影框322設置在基板支撐件338的外周區域上,並且被配置以將從靶320濺射的源材料的沉積限制到基板表面的期望部分。腔室屏蔽件336可以設置在腔室主體308的內壁上,並且具有向內延伸到處理空間318的唇部356,該唇部356被配置為支撐設置在基板支撐件338周圍的陰影框322。當基板支撐件338升高到用於處理的上部位置時,設置在基板支撐件338上的基板390的外邊緣被陰影框322接合,並且陰影框322被提升並與腔室屏蔽件336間隔開。當基板支撐件338下降到與基板進入端口330相鄰的傳送位置時,陰影框122被放回到腔室屏蔽件336上。升舉銷(未示出)被選擇性地移動穿過基板支撐件338,以將基板390放在基板支撐件338上方,以利於透過傳送機器人或其他合適的傳送機構利用基板390。
控制器348耦接至處理腔室300。控制器348包括中央處理單元(CPU)360、記憶體358、和支持電路362。控制器348用於控制處理序列,調節從氣源328進入處理腔室300的氣流,以及控制靶320的離子轟擊。CPU 360可以是可以在工業設置中使用的任意形式的通用電腦處理器。軟體程序可以儲存在記憶體358中,記憶體例如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動、或其他形式的數位儲存。支持電路362依常規耦接到CPU 360,並且可以包括快取、時鐘電路、輸入/輸出子系統、電源等。當由CPU 360執行時,軟體程序將CPU轉換成控制處理腔室300的專用電腦(控制器)348,使得處理根據本原理而實行。軟體程序也可由遠離處理腔室300定位的第二控制器(未示出)儲存和/或執行。
在處理期間,材料從靶320濺射並且沉積在基板390的表面上(或者如圖7A所示,在基板702上方的第二金屬層704上方)。在一些配置中,靶320透過電源332相對於地或基板支撐件偏置,以產生並維持由氣源328供應的處理氣體形成的電漿。電漿中產生的離子朝著靶320加速並撞擊靶320,從而使靶材料從靶320上脫落。脫落的靶材料在基板390上形成具有期望的晶體結構和/或組成的層。RF、DC、或快速切換脈衝DC電源或其組合提供可調節的靶偏壓,以精確控制靶材料的濺射成分和沈積速率。
在一些實施例中,處理條件適合於增加從靶濺射的金屬材料的晶粒尺寸。適用於增加從靶沉積的金屬材料的晶粒尺寸的條件的非限制性範例包括在50 mTorr至500 mtorr的壓力和攝氏20度至攝氏600度的溫度下利用包括氬、和/或氪的處理氣體。在實施例中,以500 W至10 kW提供具有0 W至500 W之間的選擇性偏置功率的濺射源電漿。
在一些實施例中,在膜層沉積處理的不同階段期間分別向基板施加偏壓也是可期望的。因此,可以從電源385(例如,DC和/或RF源)向基板支撐件338中的偏壓電極386(或吸盤電極380)提供偏壓,使得在沉積處理的一或多個階段期間,以在電漿中形成的離子轟擊基板390。在一些處理範例中,在已實行膜沉積處理之後,將偏壓施加到基板上。替代地,在一些處理範例中,在膜沉積處理期間施加偏壓。較大的負基板偏壓將趨向於將電漿中產生的正離子驅向基板或反之亦然,因此當它們撞擊基板表面時,它們將具有較大的能量。
返回參照圖3的實施例,圖3的實施例的電源332是HIPIMS電源,其被配置為在頻率範圍內的短時間內以高電流和高電壓將功率脈衝傳遞到靶320。在一些實施例中,實行高功率脈衝磁控濺射PVD處理,其中將低脈衝頻率的特定範圍內的高電流和高電壓脈衝提供給諸如釕、鉬、銥、鉑、或銠靶的靶,連同向正被處理的基板390提供基板偏壓一起,改善了基板的特徵中沉積膜的底部覆蓋。
在一些實施例中,當介於約50 amps - 1000 amps和約600 volts -1500 volts之間的範圍內的高電流和高電壓脈衝時,HIPIMS電源,例如電源332,以約100 Hz - 5 kHz的低頻範圍傳送到靶320,產生濺射靶材料的更高的離子/中性比。低頻處的高電壓、高電流脈衝產生高峰值功率,這有助於離子化濺射的原子。所產生的對基板的高離子片斷脈衝(high ion fraction pulse),再加上在13.56 Mhz處約20 W至300 W之間,或在13.56 Mhz處0至500 W之間的基板偏壓,增強進入基板390的特徵(通孔/溝槽)的材料通量,增加所產生的膜層的底部覆蓋。
在實施例中,處理腔室300被配置為在足以調節晶粒尺寸或促進沉積的金屬材料晶粒尺寸增大的條件下操作,包括:5 mTorr至500 mTorr的壓力,以及攝氏25度至攝氏600度之間的溫度,例如從攝氏300度到攝氏500度。在實施例中,以500 W至10k W施加濺射源電漿。在實施例中,在0 W至500 W之間施加選擇性的偏置功率。在實施例中,靶包括釕、鉬、銥、鉑或銠金屬。在實施例中,靶包括貴金屬。
圖4是具有分隔的電漿產生區域的可流動化學氣相沉積腔室(例如處理腔室400)的一個實施例的截面圖。諸如處理腔室400的可流動化學氣相沉積腔室可以用於將金屬層(例如第一金屬層或第二金屬層)沉積到基板上。在金屬膜沉積期間,處理氣體可透過氣體入口組件405流入第一電漿區域415。可以在進入遠端電漿系統(RPS)499內的第一電漿區域415之前激發處理氣體。處理腔室400包括蓋412和噴頭425。蓋412被描繪為具有施加的AC電壓源,並且噴頭425被接地,這與在第一電漿區域415中的電漿產生一致。絕緣環420位於蓋412和噴頭425之間,使得能夠在第一電漿區域415中形成電容耦合電漿(CCP)。蓋412和噴頭425被示出為在它們之間具有絕緣環420,絕緣環420允許相對於噴頭425將AC電勢施加到蓋412。
在一些實施例中,蓋412可以是與處理腔室一起使用的雙源蓋。在氣體入口組件405中可以看到兩個不同的氣體供應通道。第一通道402載運穿過RPS 499的氣體,而第二通道404繞過RPS 499。第一通道402可以用於處理氣體(process gas),而第二通道404可以用於處置氣體(treatment gas)。流入第一電漿區域415的氣體可以透過擋板406分散。
在一些實施例中,諸如前驅物的流體可以穿過噴頭425流入處理腔室400的第二電漿區域433中。源自第一電漿區域415中的前驅物的激發物質穿過噴頭425中的孔414,並與從噴頭425流入第二電漿區域433的前驅物反應。第二電漿區域433中很少或沒有電漿。前驅物的激發的衍生物在第二電漿區域433中結合以在基板上形成可流動的介電材料。隨著介電材料的增長,最近添加的材料比下層材料具有更高的移動性。移動性隨著有機物被蒸發減少而降低。
在一些實施例中,單獨地或與RPS 499組合地激發第一電漿區域415中的前驅物提供了多個益處。由於第一電漿區域415中的電漿,可以在第二電漿區域433內增加源自前驅物的激發的物質的濃度。激發的物質的增加的濃度可能是由於電漿在第一電漿區域415中的位置引起的。第二電漿區域433比遠端電漿系統(RPS)499更靠近第一電漿區域415,留下更少的時間使激發的物質透過與其他氣體分子、腔室的壁、和噴頭的表面碰撞而離開激發狀態。
在一些實施例中,也可以在第二電漿區域433內增加源自前驅物的激發的物質的濃度的均勻性。增加的均勻性可以由第一電漿區域415的形狀引起,其更類似於第二電漿區域433的形狀。相對於穿過靠近噴頭425的中心的孔414的物質,在RPS 499中建立的激發的物質行進較大的距離以便穿過靠近噴頭425的邊緣的孔414。較大的距離導致激發的物質的激發減少,例如,可能導致在靠近基板的邊緣的較慢的生長率。激發第一電漿區域415中的前驅物減輕了這種變化。
除了前驅物之外,出於各種目的,可以在不同的時間引入其他氣體。可以引入處置(treatment)氣體以從腔室壁、基板、沉積的膜、和/或沉積期間的膜中去除不想要的雜質,例如殘留物、反應副產物、或例如氫、碳、鹵素例如氯和氟的物質。根據本揭示案適合被去除的其他雜質包括從沉積的膜和/或在沉積期間的膜中去除的包含碳原子的含碳雜質、包含氧原子的含氧雜質、鹵化碳等。在一些實施例中,雜質被去除至低於1%或低於0.1%的等級。在一些實施例中,根據本揭示案,雜質被去除至膜,例如金屬層,的總重量的0.1%以下。在一些實施例中,將雜質去除至低於1000 ppm、或低於100 ppm、或10 ppm至100 ppm的等級。
在一些實施例中,處置氣體可以包括由包括以下的群組中的氣體的至少一種:氫(H2 )、H2 /N2 混合物、氨(NH3 )、氨水(NH4 OH)、臭氧(O3 )、氧氣(O2 )、過氧化氫(H2 O2 )、和水蒸氣。可以在電漿中激發處置氣體,並接著將處置氣體用於從沉積的膜中減少或去除雜質,例如殘留物或殘留的有機物。在其他實施例中,可以在沒有電漿的情況下使用處置置氣體。當處置氣體包括水蒸氣時,可以使用質量流量計(MFM)和噴射閥或其他合適的水蒸氣產生器來達成輸送。在一些實施例中,可以透過RPS單元或繞過RPS單元將處置氣體自引入至第一處理區域,並且可以在第一電漿區域中進一步激發。
現在參照圖5,其為如系統500的示例性多腔室處理系統的示意性頂視圖,該系統可適於實行如本文所揭示的處理,該系統具有耦合至其上的諸如系統500的處理腔室。系統500可包括結合到系統500中的多個處理腔室,例如圖1-4中描繪的處理腔室100、200、300、400。系統500可包括一或多個裝載鎖腔室502、504,用於將基板傳送進和傳送出系統500。通常,由於系統500處於真空,因此裝載鎖腔室502、504可以「抽空」被引入系統500中的基板。第一機器人510可以在裝載鎖腔室502、504和第一組一或多個基板處理腔室(例如處理腔室511、512、513、514(示出四個))之間傳送基板。每個處理腔室511、512、513、514被配置為實行以下至少一種基板處理操作,例如蝕刻處理、處置(treatment)處理、可流動的化學氣相沉積(CVD)、物理氣相沉積(PVD)、脫氣、預清潔、定向和其他基板處理,包括本揭示案的處理。用於實行處理的處理腔室511、512、513、514相對於其他處理腔室100、200、300、400的位置是為了說明,並且處理腔室100、200、300、400的位置可以是如果需要,選擇性地與處理腔室511、512、513、514中的任何一個進行切換。如上所述,以上參照圖1-4所描述的處理腔室100、200、300、400被配置為實行基板處理操作中的至少一種,例如蝕刻處理、處置處理、可流動的化學氣相沉積(CVD)、物理氣相沉積(PVD)、脫氣、預清潔、定向和其他基板處理。
在一些實施例中,第一機器人510也可以將基板傳送到一或多個傳送腔室522、524或從一或多個傳送腔室522、524傳送基板。傳送腔室522、524可用於維持超高真空條件,同時允許基板在系統500內傳送。第二機器人530可以在傳送腔室522、524與第二組一或多個處理腔室532、534、536、538之間傳送基板。類似於處理腔室511、512、513、514,處理腔室532、534、536、538可裝配成包括處理腔室100、200、300、400,以實行各種基板處理操作,包括例如本文所述的蝕刻處理,任何其他合適的處理,包括蝕刻處理、循環層沉積(OLD)、原子層沉積(ALD)、處置(treatment)處理、循環蝕刻處理、電漿增強化學氣相沉積(PE CVD)、可流動化學氣相沉積(CVD)、物理氣相沉積(PVD)、脫氣、預清潔、定向和其他基板處理。如果對由系統500實行的處理非必要,則可以從系統500移除任何處理腔室511、512、513、514、532、534、536、538。在一些實施例中,本揭示案的方法可以在諸如上述腔室的單獨的熱處理腔室中實行。
在一些實施例中,系統500包括複數個熱處理腔室,或多個處理腔室。在實施例中,系統500是基板處理系統,包括:物理氣相沉積腔室,其被配置為在基板上沉積第一金屬層並用於增加第一金屬層的金屬的晶粒尺寸;以及熱處理腔室,其配置為用於處置和退火第一金屬層以去除雜質並增加金屬的晶粒尺寸。在一些實施例中,基板處理系統進一步包括:真空基板傳送腔室,其中物理氣相沉積腔室耦接至真空基板傳送腔室,其中熱處理腔室耦接至真空基板傳送腔室,且其中基板處理系統被配置以在真空下將基板從物理氣相沉積腔室移動到熱處理腔室。在一些實施例中,基板處理系統進一步包括:蝕刻腔室,其被配置以蝕刻第一金屬層以形成金屬線。
現在參照圖6,示出了用於圖案化或製造用於半導體裝置的互連結構的本揭示案的方法600。用於圖案化或製造互連結構的方法600可包括不同技術的幾個處理步驟,例如,沉積處理、後沉積處置處理(例如處置處理和退火處理)、蝕刻處理、後蝕刻處置處理、及根據需要蝕刻後退火處理。方法600可以在諸如圖5中描繪的系統500的處理系統(即,群集系統)中實行。圖7A-7B是示出根據方法600的用於製造設置在基板上的互連結構的實施例的順序的示意性截面圖。儘管以下參照具有第一金屬層706設置在第二金屬層704上的用以形成互連結構的基板來描述方法600,但是方法600亦可用於獲益於其他電晶體裝置製造應用中。
在實施例中,方法600透過在設置在基板702上的第二金屬層704之上沉積第一金屬層706而在處理序列602處開始。在實施例中,基板702被傳送到處理腔室中,例如圖3中的處理腔室300或圖4中的處理腔室400。在實施例中,基板702可以是矽基材料或根據需要的任何合適的絕緣材料或導電材料,其具有設置在基板702上的第二金屬層704,第二金屬層704可以用於形成如圖7A所示的互連結構700。在實施例中,基板702可以設置在包括一或多個附加層(例如界面層)的膜堆疊701中,其可包括在其上形成的不同結構,例如根據需要在其中形成的前端結構、後端結構、接觸結構、金屬導電結構、絕緣結構等。在實施例中,根據需要,界面層可以是導體層或介電層。
在一些實施例中,膜堆疊701包括第一金屬層706、第二金屬層704、和基板702。在實施例中,第一金屬層706、第二金屬層704可以由相似或相同的材料製成,或者第一金屬層706和第二金屬層704可以由不同的材料製成。在實施例中,第一金屬層706和第二金屬層704由鉬、釕、銥、鉑、或銠製成。在一些實施例中,第一金屬層包括與第二金屬層不同的金屬。
在一些實施例中,第一金屬層706可以使用如上述處理腔室300的處理腔室透過物理氣相沉積(PVD)處理沉積在第二金屬層704的頂部。例如,在操作期間,第一金屬層706沉積在設置在基板702上的第二金屬層704的頂部。在實施例中,第一金屬層706沉積到預定厚度,例如20奈米至1000奈米。在實施例中,在適合形成第一金屬層706的條件下,處理氣體和/或氣體混合物在如處理腔室300的處理腔室中與膜堆疊701接觸。在實施例中,處理條件可包括在攝氏20度至攝氏600度的溫度下或在攝氏300度至攝氏500度之間的溫度下50 mTorr至500 mTorr的壓力。在實施例中,以500 W至10k W施加濺射源電漿,並且將0 W至500 W的偏置功率選擇性地施加至膜堆疊701。在實施例中,處理氣體可包括適合於如上所述的PVD處理的氣體。
在一些實施例中,可透過化學氣相沉積(CVD)處理將第一金屬層706沉積在第二金屬層704的頂部。例如,在操作期間,在如圖4的處理腔室400的處理腔室中,第一金屬層706沉積在設置在基板702上的第二金屬層704的頂部。在適合於形成第一金屬層706的條件下,在如處理腔室400的處理腔室中,包括金屬前驅物的處理氣體和/或氣體混合物與膜堆疊701接觸。
仍參照圖6,方法600可以選擇性地包括在處理序列604處,透過第一氫處置處理從第一金屬層706去除雜質,例如殘留物和/或反應副產物和/或殘留有機材料。在一些實施例中,雜質去除處理是透過將包括含氫氣體的雜質去除氣體混合物供應到基板或膜堆疊701以從其中去除如反應副產物和殘留有機物的雜質材料而實行的氫處置處理。在一些實施例中,雜質去除氣體混合物包括含氫氣體和選擇性地惰性氣體。含氫氣體的合適範例包括H2 、H2 O、H2 O2 、NH3 等。
在一些實施例中,在實行處理序列604時,暴露表面707暴露於來自殘留去除氣體混合物的反應性物質。因此,選擇用於實行殘留物去除處理的反應性物質以在這些材料中具有高選擇性,使得反應性物質可以選擇性地去除殘留物。在一個實施例中,從遠端電漿源供應的含氫氣體被提供以選擇性地去除殘留物。含氮氣體(例如N2 、N2 O、NO2 、NH3 )也可以選擇性地供應於殘留去除氣體混合物中。在一些實施例中,殘留去除氣體混合物包括H2 和N2
在一些實施例中,當實行處理序列604時,幾個處理參數亦可被調節。在一些實施例中,處理腔室300中的處理壓力被調節在約10 mTorr與約500 mTorr之間,例如在約100 mTorr與約300 mTorr之間。殘留去除處理可利用遠端電漿源和/或選擇性的RF偏置功率。供應給遠端電漿源的功率可以在200 Watts和約30,000 Watts之間,例如約5000 Watts。在一個範例中,在供應殘留去除氣體混合物時不施加RF偏置功率。在實行殘留去除處理的同時消除RF偏置功率可幫助從雜質去除氣體混合物中產生的電漿中的反應性物質以相對柔和的功率更均勻地分佈在整個基板表面,而沒有特定的方向性。
在一些實施例中,來自雜質去除氣體混合物的含氫氣體可以基於體積以約500 sccm至約2000 sccm之間的流率流入腔室,並且含氮氣體可以基於體積以約500 sccm至約2000 sccm之間的流率供應。來自雜質去除氣體混合物的含烴氣體和含氮氣體可以同時供給至處理腔室,或者根據需要替代地或順序地供給。
在一些實施例中,基板溫度維持在大於攝氏100度,例如在約攝氏20度至約攝氏600度之間,例如在約攝氏150度至約攝氏350度之間,例如在約攝氏200度至約攝氏300度之間。在一些實施例中,在雜質去除處理期間對基板的高溫控制可以輔助和從諸如犧牲層的層蒸發聚合物材料,從而提高去除效率而不會損傷基板上的其他材料。
仍參照圖6,方法600可以選擇性地包括在處理序列606處實行退火處理以去除雜質並生長第一金屬層706的晶粒尺寸。例如,處理序列606包括在760 Torr至76,000 Torr或其之間的壓力下對第一金屬層706退火。在一些實施例中,在處理序列606處的退火處理是在高處理壓力下實行的高壓退火處理,高處理壓力例如從約7,600至約37,500 Torr之間的壓力,例如從約15,000至約37,500 Torr之間的壓力。高壓退火處理可以幫助去除如殘留物的雜質並且增加第一金屬層706金屬的晶粒尺寸。在一些實施例中,高處理壓力可以上升至76,000 Torr。可以在如圖2中描繪的處理腔室200的處理腔室或其他合適的處理腔室,包括一次處理一個基板的處理腔室,實行高壓退火處理。
在一些實施例中,在處理序列606處實行的高壓退火處理維持在氣相中的高壓區域處的處理壓力,例如在基本上不存在液滴的乾氣相中。控制處理壓力和溫度以使膜結構緻密,以驅除雜質並增加晶粒尺寸。在一個實施例中,高壓區域或內部空間215(如圖2所示)被加壓至大於大氣壓的壓力,例如大於約1,400 Torr。在另一範例中,高壓區域或內部空間215被加壓至從約760至約76,000 Torr的壓力,例如在約7,600至約37,500 Torr之間,或在約15,000至約36,000 Torr之間。由於高壓可有效地幫助緻密化膜結構,因此相對低的處理溫度(例如小於攝氏600度)減少熱循環損傷基板或膜堆疊701的可能性。
在一些實施例中,在處理期間,高壓區域或內部空間215被設置在外腔室內的加熱器保持在相對低的溫度,例如,小於攝氏600度的溫度,例如在約攝氏300度與約攝氏600度之間。因此,可以透過利用高壓退火處理以及低溫狀態來獲得對基板的低熱預算。
在一些實施例中,在處理序列606處,可以在退火處理期間供應含氫或氮的氣體,例如H2 、H2 O、H2 O2 、N2 O、NO2 、NH3 、和乾蒸氣。在退火處理期間也可以提供惰性氣體,例如He和Ar。
在一些實施例中,將處理壓力調節至大於760 Torr的壓力,例如在760 Torr和76,000 Torr之間。可以控制處理溫度並將其迅速升高至攝氏300度但小於攝氏600度。
在高壓下的退火處理之後,第一金屬層706具有改善的膜結構,其具有高純度和大晶粒結構,這提供了相對堅固的膜結構,該膜結構提供了更高的膜密度且得到低的膜電阻。在一些實施例中,第一金屬層706是釕(Ru)或含Ru的材料,在高壓退火處理之後,可以將含Ru的材料的膜電阻降低約3%至約7%之間。在一些實施例中,在根據本揭示案的金屬的高壓退火處理之後,膜電阻降低了1至50%、5至50%、10至50%、20至50%。
仍然參照圖6,方法600可以選擇性地包括在處理序列608處的主要蝕刻處理,以蝕刻第一金屬層706,直到暴露出下面的第二金屬層704,如圖7B所示。例如,圖7B示出蝕刻第一金屬層706以形成金屬線710,金屬線710具有第一表面711,第一表面711在第二金屬層704頂部具有線邊緣粗糙度,並暴露第二金屬層704的頂表面713。在一些實施例中,透過將主蝕刻氣體混合物供應到諸如圖1所示的處理腔室100的處理腔室以蝕刻第一金屬層706來實行主蝕刻處理。
在一些實施例中,在處理序列608處的蝕刻包括三個步驟,包括突破(break-through)處理、塊蝕刻處理、和殘留清潔處理。在一些實施例中,所實行的主蝕刻處理是高度選擇性的,使得來自主蝕刻處理的反應性物質可以在暴露時主要蝕刻第一金屬層706而基本上不侵蝕第二金屬層704。在實施例中,選擇性蝕刻處理可以優先蝕刻目標材料(例如第一金屬層706的金屬,而不侵蝕或損傷附近的材料)。
在一些實施例中,主蝕刻氣體混合物包括含鹵素的氣體,例如含氯氣體。也可以在主蝕刻氣體混合物中供應惰性氣體。含氯氣體的合適範例包括氯(Cl2 )、氯化氫(HCl)等。在一個範例中,主蝕刻氣體混合物包括如氯(Cl2 )氣的含氯氣體和氬(Ar)氣。
在處理序列608期間(選擇性地包括突破處理,塊蝕刻處理、和殘留清潔處理),也可以調節幾個處理參數。在一個示例性實施例中,處理腔室100中的處理壓力被調節在約10 mTorr與約5000 mTorr之間,例如在約10 mTorr與約200 mTorr之間。在實行快速蝕刻(flash etching)處理時,可以利用RF源和/或偏置功率。可以以連續模式或脈衝模式將RF源和RF偏置功率施加到處理腔室。在一個範例中,在供應主要蝕刻氣體混合物的同時施加RF偏置功率。在一些實施例中,當供應主要蝕刻氣體混合物時施加RF偏置功率。在一個範例中,可以施加約13 MHz的頻率的RF源功率以維持氣體混合物中的電漿。例如,可以施加約100 Watts至約1000 Watts的RF源功率以將電漿維持在處理腔室100內。在供應主要蝕刻氣體混合物時,可以施加約300 Watts(W)和約700 W的RF偏置功率。在一些實施例中,基板溫度維持在約攝氏10度至約攝氏300度之間,例如在約攝氏20度至約攝氏80度之間,例如在約攝氏30度至約攝氏50度之間。
在實施例中,金屬線710形成在第二金屬層704之上。在一些實施例中,金屬線710的長寬比為0.5∶1,或者在一些實施例中為約20∶1、15∶1、10∶1、5:1等。在一些實施例中,金屬線的寬度是3奈米至1微米、或3奈米至500奈米、或3奈米至100奈米、或3奈米至50奈米、或3奈米至10奈米。在一些實施例中,金屬線的寬度是5至150奈米。
仍參照圖6,方法600可以選擇性地包括在處理序列610處,透過第一氫處置處理從金屬線710去除例如殘留物的雜質。在一些實施例中,雜質去除處理是透過將包括含氫氣體的雜質去除氣體混合物供應到基板或膜堆疊701以從其中去除如有機物的雜質而實行的氫處置處理。在一些實施例中,雜質去除氣體混合物包括含氫氣體和選擇性地惰性氣體。含氫氣體的合適範例包括氫(H2 )、水(H2 O)、過氧化氫(H2 O2 )、氨(NH3 )等。
在一些實施例中,在實行處理序列610時,暴露的第一表面711暴露於來自雜質去除氣體混合物的反應性物質。在一些實施例中,第一表面711圍繞金屬線710的整個暴露的外表面延伸。在一些實施例中,用於實行雜質去除處理的反應性物質被選擇以在這些材料中具有高選擇性,使得反應性物質可以選擇性地去除殘留物。在一個實施例中,從遠端電漿源供應的含氫氣體被提供以選擇性地去除殘留物。含氮氣體(例如N2 、N2 O、NO2 、氨(NH3 ))也可以選擇性地供應於殘留去除氣體混合物中。在一些實施例中,雜質去除氣體混合物包括H2 和N2
在一些實施例中,當實行處理序列610時,幾個處理參數亦可被調節。在一個示例性實施例中,處理腔室300中的處理壓力被調節在約10 mTorr與約500 mTorr之間,例如在約100 mTorr與約300 mTorr之間。殘留去除處理可利用遠端電漿源和/或選擇性的RF偏置功率。供應給遠端電漿源的功率可以在200 Watts和約30,000 Watts之間,例如約5000 Watts。在一個範例中,在供應殘留去除氣體混合物時不施加RF偏置功率。在實行殘留去除處理的同時消除RF偏置功率可幫助從殘留去除氣體混合物中產生的電漿中的反應性物質以相對柔和的功率更均勻地分佈在整個第一表面711,而沒有特定的方向性。
在一些實施例中,來自雜質去除氣體混合物的含氫氣體可以基於體積以約500 sccm至約2000 sccm之間的流率流入腔室,並且含氮氣體可以基於體積以約500 sccm至約2000 sccm之間的流率供應。來自雜質去除氣體混合物的含烴氣體和含氮氣體可以同時供給至處理腔室,或者根據需要替代地或順序地供給。
在一些實施例中,包括金屬線710的基板溫度維持在大於攝氏100度,例如在約攝氏20度至約攝氏600度之間,例如在約攝氏150度至約攝氏350度之間,例如在約攝氏200度至約攝氏300度之間。在一些實施例中,在雜質去除處理期間對基板的高溫控制可以輔助和從諸如犧牲層的層蒸發聚合物材料,以提高去除效率而不會損傷基板上的其他材料。
仍參照圖6,方法600可以包括在處理序列612處實行退火處理以在足以減小金屬線710和第一表面711的線邊緣粗糙度的條件下去除雜質並生長金屬線710的晶粒尺寸。例如,處理序列612包括在760 Torr和76,000 Torr或其之間的壓力下對金屬線710退火。在一些實施例中,在處理序列606處的退火處理是在諸如大於1500 Torr但小於52,504 Torr的高處理壓力下實行的高壓退火處理。高壓退火處理可以幫助去除殘留物並增加金屬線710的晶粒尺寸,並使第一表面711的平均線邊緣粗糙度(LER)低於3奈米、低於2奈米、或低於1奈米。在一些實施例中,高處理壓力可以上升至76,000 Torr。可以在如圖2中描繪的處理腔室200的處理腔室或其他合適的處理腔室,包括一次處理一個基板的處理腔室,實行高壓退火處理。
在一些實施例中,在處理序列612處實行的高壓退火處理維持在氣相中的高壓區域處的處理壓力,例如在基本上不存在液滴的乾氣相中。控制處理壓力和溫度以緻密化膜結構、以驅除雜質、增加晶粒尺寸,並使金屬線710的表面(例如第一表面711)平滑。在一個實施例中,高壓區域或內部空間或內部空間215(如圖2所示)被加壓至大於大氣的壓力,例如大於約1500 Torr。在另一範例中,高壓區域或內部空間215被加壓至從約760 Torr至約76,000 Torr的壓力,例如從約3750至約37,500 Torr之間,例如約18,750 Torr至約42,000 Torr之間。由於高壓可有效地幫助緻密化膜結構,因此相對低的處理溫度(例如小於攝氏600度)減少熱循環損傷基板或膜堆疊701的可能性。
在一些實施例中,在處理期間,高壓區域或內部空間215被設置在外腔室內的加熱器保持在相對低的溫度,例如,小於攝氏600度的溫度,例如在約攝氏300度與約攝氏600度之間。因此,可以透過利用高壓退火處理以及低溫狀態來獲得對基板的低熱預算。
在一些實施例中,在處理序列612處,可以在退火處理期間供應含氫或氮的氣體,例如H2 、H2 O、H2 O2 、N2 O、NO2 、NH3 、和乾蒸氣。在退火處理期間也可以提供惰性氣體,例如He和Ar。
在一些實施例中,將處理壓力調節至大於760 Torr的壓力,例如在760 Torr和76,000 Torr之間。可以控制處理溫度並將其迅速升高至攝氏300度但小於攝氏600度。
在高壓下的退火處理之後,金屬線710具有改善的膜結構,該膜結構具有高純度、大晶粒結構,以及低於3奈米、低於2奈米、或低於1奈米的低線邊緣粗糙度,這提供了相對堅固的膜結構,該膜結構提供了更高的膜密度和低的膜電阻。在一些實施例中,金屬線710是釕(Ru)或含Ru的材料,在高壓退火處理之後,可以將含Ru的材料的膜電阻降低約3%至約7%之間。
在一些實施例中,沿線方向測量晶粒尺寸。在一些實施例中,當沿線方向測量時,晶粒尺寸大於100奈米、或大於200奈米、或在100至250奈米之間。
在一些實施例中,透過使金屬線的表面(例如,圖7B所示的第一表面711)平滑,來改善或基本改善金屬線的線邊緣粗糙度。在一些實施例中,可以透過在一系列位置處測量金屬線的寬度並在間隔內對變化進行平均來量化線邊緣粗糙度,該間隔是金屬線長的至少四倍。在一些實施例中,掃描電子顯微鏡可用於評估線邊緣粗糙度。在一些實施例中,用於測量線邊緣粗糙度(LER)的合適的方法包括使用具有LER的掃描電子顯微鏡(SEM)和用於根據本揭示案形成的金屬線的臨界尺寸(CD)變化軟體。在一些實施例中,可以透過國際公開號WO 2005/059531中揭示的方法獲得線邊緣粗糙度資訊,該公開題為Advanced Roughness Metrology,並讓與給應材公司。
在一些實施例中,本揭示案的方法透過減少或消除透過減性蝕刻方法形成的金屬線的不光滑外表面來減小線邊緣粗糙度。相反地,形成了金屬線的光滑外表面,從而減少或消除了導致電阻降低的散射現象。在一些實施例中,金屬線的第一表面711的線邊緣粗糙度減小五倍或更多倍。在一些實施例中,與使用常規減性蝕刻形成而沒有進行本揭示案的處理的金屬線相比,金屬線的第一表面711的線邊緣粗糙度降低了10%至80%、或20%至70%、或約50%。在一些實施例中,金屬線的第一表面711的線邊緣粗糙度被減小約5奈米至低於1奈米。
在一些實施例中,本揭示案的方法減小了透過減性蝕刻方法形成的金屬線的線寬粗糙度(LWR)。在實施例中,包括由上而下的SEM圖像的軟體工具適合於獲得LWR資訊。在一些實施例中,與使用常規的減性蝕刻形成而沒有進行本揭示案的處理的金屬線相比,金屬線的線寬粗糙度降低了10%至80%、或20%至70%、或約50%。在一些實施例中,金屬線的第一表面711的線寬粗糙度被減小約10奈米至低於1奈米。
現在參照圖8,本揭示案涉及降低金屬線的電阻的方法800。在處理序列802,方法800包括在足以增加第一金屬層的金屬的晶粒尺寸的條件下在第二金屬層的頂部上沉積第一金屬層。在實施例中,足以增加第一金屬層的金屬的晶粒尺寸的條件包括在攝氏20度至攝氏600度之間的溫度和50 mTorr至500 mTorr之間的壓力下在第二金屬層的頂部上沉積第一金屬層。在一些實施例中,透過PVD處理在攝氏20度至攝氏600度之間的溫度和50 mTorr至500 mTorr之間的壓力下實行在第二金屬層的頂部上沉積第一金屬層。
在處理序列804,方法800包括蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分。在實施例中,蝕刻對於第二金屬層材料上方的第一金屬層材料是選擇性的。在實施例中,在上述條件下實行蝕刻。在處理序列806,方法800包括透過氫處置處理從金屬線去除雜質。在實施例中,實行去除雜質直到第一金屬層的純度為至少99.9%。在實施例中,實行去除雜質直到第一金屬層包括低於0.1%的雜質。在隨後的序列808中,方法800包括在760 Torr和76,000 Torr之間的壓力下對金屬線進行退火以減小第一線邊緣粗糙度。在實施例中,在上述條件下實行退火。在一些實施例中,第一線邊緣粗糙度減小了至少10%。在一些實施例中,第一線邊緣粗糙度被減小到低於3奈米、低於2奈米、或低於1奈米。
在一些實施例中,一種用於在基板上圖案化導體層的方法,包括:在第二金屬層之上沉積第一金屬層;透過第一氫處置處理從第一金屬層去除雜質;在760 Torr和76, 000 Torr的壓力下對第一金屬層進行退火;蝕刻第一金屬層,以在第二金屬層的頂部形成具有第一線邊緣粗糙度的金屬線,並暴露第二金屬層的頂表面;透過第二氫處置處理從金屬線去除雜質;及在足以減小第一線邊緣粗糙度的條件下對金屬線進行退火。在一些實施例中,第一金屬層包括釕、鉬、銥、鉑、或銠。在一些實施例中,第一金屬層包括與第二金屬層不同的金屬。在一些實施例中,沉積第一金屬層是物理氣相沉積(PVD)處理。在一些實施例中,沉積第一金屬層是化學氣相沉積(CVD)處理。在一些實施例中,在100 mTorr至300 Torr的壓力下實行從第一金屬層去除雜質。在一些實施例中,在攝氏20度至攝氏600度的溫度下實行從第一金屬層去除雜質。在一些實施例中,第一氫處置處理包括使第一金屬層與包含氫(H2 )、水(H2 O)、過氧化氫(H2 O2 )、或氨(NH3 )中的一或多種的含氫氣體接觸。在一些實施例中,在攝氏300度至攝氏600度的溫度下實行對第一金屬層的退火。在一些實施例中,在100 mTorr至300 Torr的壓力下實行從金屬線去除雜質。在一些實施例中,在攝氏20度至攝氏600度的溫度下實行從金屬線去除雜質。在一些實施例中,第二氫處置處理包括使金屬線與包含氫(H2 )、水(H2 O)、過氧化氫(H2 O2 )、或氨(NH3 )中的一或多種的含氫氣體接觸。在一些實施例中,在攝氏300度到攝氏600度的溫度下實行對金屬線的退火。
在一些實施例中,本揭示案涉及一種基板處理系統,包括:物理氣相沉積腔室,其被配置為在基板上沉積第一金屬層並用於增加第一金屬層的金屬的晶粒尺寸;以及熱處理腔室,其配置為用於處置和退火第一金屬層以去除雜質並增加金屬的晶粒尺寸。在一些實施例中,基板處理系統包括:真空基板傳送腔室,其中物理氣相沉積腔室耦接至真空基板傳送腔室,其中熱處理腔室耦接至真空基板傳送腔室,且其中基板處理系統被配置以在真空下將基板從物理氣相沉積腔室移動到熱處理腔室。在一些實施例中,基板處理系統包括蝕刻腔室,其被配置以蝕刻第一金屬層以形成金屬線。在一些實施例中,熱處理腔室包括配置用於高壓退火的處理腔室。
在一些實施例中,本揭示案涉及一種具有指令儲存於其上的非暫態電腦可讀取媒體,當指令被執行時,引起一種降低金屬線的電阻的方法,該方法包括以下步驟:在足以增加第一金屬層的金屬的晶粒尺寸的條件下,在第二金屬層的頂部沉積第一金屬層;蝕刻第一金屬層以形成具有第一線邊緣粗糙度的金屬線並暴露第二金屬層的一部分;透過氫處置處理從金屬線去除雜質;並在760 Torr至76,000 Torr之間的壓力下對金屬線進行退火,以減小第一線邊緣粗糙度。
雖然前述內容是針對本文的實施例,但在不脫離本文的基本範疇下,可設想本文的其他和進一步的實施例。
100:處理腔室 102:腔室主體 103:基板 104:蓋 106:內部空間 108:側壁 110:底部 114:內表面 118:內襯墊 124:流體源 126:排氣口 128:泵系統 130:噴頭組件 132':入口 132'':入口 134:內部區域 136:外部區域 138:通道 140:光學監控系統 141:匹配網路 142:窗 143:RF源功率 148:基板支撐底座組件 150:控制器 152:CPU 154:記憶體 156:支持電路 158:氣體控制板 162:裝配板 164:基座 166:靜電吸盤 168:導管 170:導管 172:流體源 174:隔離器 176:加熱器 177:遠端電漿源 178:電源 180:夾持電極 182:吸附電源 184:RF偏置電源 186:RF偏置電源 188:匹配電路 189:偏置功率 190:溫度感測器 192:溫度感測器 200:處理腔室 210:主體 211:開口 212:外表面 213:內表面 214:壓力感測器 215:內部空間 216:溫度感測器 217:端口 218:狹縫閥 219:溫度感測器 222:通道 223:第二通道 225:熱屏蔽件 226:冷卻流體源 230:基板支撐件 231:電阻加熱元件 232:基板支撐構件 233:電源 234:桿 235:板 236:孔 237:升舉銷 238:致動器 239:桿 240:加熱器 245:電源 250:氣體控制板 251:溫度感測器 252:加熱器 253:溫度感測器 254:加熱器 255:入口隔離閥 256:溫度讀取裝置 257:源導管 258:加熱器 260:冷凝器 262:溫度讀取裝置 263:排氣導管 264:加熱器 265:出口隔離閥 266:加熱器 267:溫度感測器 269:溫度感測器 270:泵 276:泵 280:控制器 282:電腦處理器 284:記憶體 286:支持電路 290:流體迴路 292:氣體導管 296:加熱器 300:處理腔室 302:全面腐蝕磁控管陰極 304:腔室蓋組件 306:接地框 308:腔室主體 310:側壁 312:接地屏蔽件 316:中央部分 318:處理空間 320:靶 322:陰影框 324:外周部分 326:接地屏蔽組件 328:氣體源 330:進入端口 332:電源 336:腔室屏蔽件 338:基板支撐件 340:軸 342:波紋管 344:升舉機構 346:底部 348:控制器 350:泵送口 352:泵送裝置 354:上處理區域 356:唇部 358:記憶體 360:CPU 362:支持電路 380:電極 381:DC電源 385:電源 386:偏壓電極 390:基板 400:處理腔室 401:基板 402:第一通道 404:第二通道 405:氣體入口組件 406:擋板 412:蓋 414:孔 415:第一電漿區域 420:絕緣環 425:噴頭 433:第二電漿區域 499:遠端電漿系統 500:系統 502:裝載鎖腔室 504:裝載鎖腔室 510:第一機器人 511:處理腔室 512:處理腔室 513:處理腔室 514:處理腔室 522:傳送腔室 524:傳送腔室 530:第二機器人 532:處理腔室 534:處理腔室 536:處理腔室 538:處理腔室 600:方法 602:處理序列 604:處理序列 606:處理序列 608:處理序列 610:處理序列 612:處理序列 700:互連結構 701:膜堆疊 702:基板 704:第二金屬層 706:第一金屬層 707:暴露表面 710:金屬線 711:第一表面 713:頂表面 800:方法 802:處理序列 804:處理序列 806:處理序列 808:處理序列
透過參照至隨附圖式中描繪的本文的說明性實施例,可以理解在上文簡要總結並在下文更詳細討論的本文的實施例。然而,隨附圖式僅描繪本揭示案的典型實施例,並且因而不被認為是對範疇的限制,因為本文可以允許其他等效實施例。
圖1是根據本揭示案的適合於蝕刻的處理腔室的一個實施例的示意性截面圖。
圖2是根據本揭示案的適合於退火的處理腔室的一個實施例的示意性截面圖。
圖3是根據本揭示案的適合於沉積的處理腔室的一個實施例的示意性剖視圖。
圖4是根據本揭示案的適合於沉積的處理腔室的一個實施例的示意性剖視圖。
圖5描繪了示例性多腔室處理系統的示意性頂視圖。
圖6描繪了根據本揭示案的用於圖案化互連結構的方法的流程圖。
圖7A-7B描繪了根據本揭示案的用於形成互連結構的序列的一個實施例。
圖8描繪了根據本揭示案的實施例的降低互連結構的電阻的方法的流程圖。
為了便於理解,在可能的情況下,已使用相同的元件符號來表示圖中共同的相同元件。這些圖不是按比例繪製的,並且為了清楚而可能被簡化。一個實施例的元件和特徵可以有益地併入其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:腔室主體
103:基板
104:蓋
106:內部空間
108:側壁
110:底部
114:內表面
118:內襯墊
124:流體源
126:排氣口
128:泵系統
130:噴頭組件
132':入口
132":入口
134:內部區域
136:外部區域
138:通道
140:光學監控系統
141:匹配網路
142:窗
143:RF源功率
148:基板支撐底座組件
150:控制器
152:CPU
154:記憶體
156:支持電路
158:氣體控制板
162:裝配板
164:基座
166:靜電吸盤
168:導管
170:導管
172:流體源
174:隔離器
176:加熱器
177:遠端電漿源
178:電源
180:夾持電極
182:吸附電源
184:RF偏置電源
186:RF偏置電源
188:匹配電路
189:偏置功率
190:溫度感測器
192:溫度感測器

Claims (20)

  1. 一種降低一金屬線的電阻的方法,包括以下步驟: 在足以增加一第一金屬層的一金屬的一晶粒尺寸的條件下在一第二金屬層的頂部上沉積該第一金屬層; 蝕刻該第一金屬層以形成具有一第一線邊緣粗糙度的一金屬線並暴露該第二金屬層的一部分; 透過一氫處置處理從該金屬線去除雜質;及 在760 Torr和76,000 Torr之間的一壓力下對該金屬線進行退火,以減小該第一線邊緣粗糙度。
  2. 如請求項1所述之方法,其中,透過一PVD處理在攝氏20度至攝氏600度之間的一溫度和50 mTorr至500 mTorr之間的一壓力下實行在該第二金屬層的頂部上沉積該第一金屬層。
  3. 如請求項1或請求項2所述之方法,其中該第一線邊緣粗糙度被減小至少10%。
  4. 一種用於在一基板上圖案化一導體層的方法,包括以下步驟: 在一第二金屬層的頂部上沉積一第一金屬層; 透過一第一氫處置處理從該第一金屬層去除雜質; 在760 Torr和76,000 Torr的一壓力下對該第一金屬層進行退火; 蝕刻該第一金屬層,以在該第二金屬層的頂部上形成具有一第一線邊緣粗糙度的一金屬線,並暴露該第二金屬層的一頂表面; 透過一第二氫處置處理從該金屬線去除雜質;及 在足以減小該第一線邊緣粗糙度的條件下對該金屬線進行退火。
  5. 如請求項4所述之方法,其中該第一金屬層包括釕、鉬、銥、鉑、或銠。
  6. 如請求項4或請求項5所述之方法,其中該第一金屬層包括與該第二金屬層不同的一金屬。
  7. 如請求項4或請求項5所述之方法,其中沉積該第一金屬層是一物理氣相沉積(PVD)處理。
  8. 如請求項4或請求項5所述之方法,其中沉積該第一金屬層是一化學氣相沉積(CVD)處理。
  9. 如請求項4或請求項5所述之方法,從該第一金屬層去除雜質是在100 mTorr至300 Torr的一壓力下實行的。
  10. 如請求項4或請求項5所述之方法,其中在攝氏20度至攝氏600度的一溫度下實行從該第一金屬層去除雜質。
  11. 如請求項4或請求項5所述之方法,其中該第一氫處置處理包括使該第一金屬層與包含氫(H2 )、水(H2 O)、過氧化氫(H2 O2 )、或氨(NH3 )中的一或多種的一含氫氣體接觸。
  12. 如請求項4或請求項5所述之方法,其中在攝氏300度至攝氏600度的一溫度下實行對該第一金屬層的退火。
  13. 如請求項4或請求項5所述之方法,其中從該金屬線去除雜質是在100 mTorr至300 Torr的一壓力下實行的。
  14. 如請求項4或請求項5所述之方法,其中在攝氏20度至攝氏600度的一溫度下實行從該金屬線去除雜質。
  15. 如請求項4或請求項5所述之方法,其中該第二氫處置處理包括使該金屬線與包含氫(H2 )、水(H2 O)、過氧化氫(H2 O2 )、或氨(NH3 )中的一或多種的一含氫氣體接觸。
  16. 如請求項4或請求項5所述之方法,其中在攝氏300度到攝氏600度的一溫度下實行對該金屬線的退火。
  17. 一種基板處理系統,包括: 一物理氣相沉積腔室,其配置為在一基板上沉積一第一金屬層,並增大該第一金屬層的一金屬的一晶粒尺寸;及 一熱處理腔室,其配置為處置和退火該第一金屬層以去除雜質並增加該金屬的該晶粒尺寸。
  18. 如請求項17所述之基板處理系統,進一步包括: 一真空基板傳送腔室,其中該物理氣相沉積腔室耦接至該真空基板傳送腔室,其中該熱處理腔室耦接至該真空基板傳送腔室,且其中該基板處理系統被配置以在真空下將一基板從該物理氣相沉積腔室移動到該熱處理腔室。
  19. 如請求項18所述之基板處理系統,進一步包括: 一蝕刻腔室,其被配置以蝕刻該第一金屬層以形成一金屬線。
  20. 如請求項17或請求項18所述之基板處理系統,其中該熱處理腔室包括配置用於高壓退火的一處理腔室。
TW109134534A 2019-10-06 2020-10-06 用於形成互連結構之方法及設備 TW202125704A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/594,057 2019-10-06
US16/594,057 US11205589B2 (en) 2019-10-06 2019-10-06 Methods and apparatuses for forming interconnection structures

Publications (1)

Publication Number Publication Date
TW202125704A true TW202125704A (zh) 2021-07-01

Family

ID=75275001

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134534A TW202125704A (zh) 2019-10-06 2020-10-06 用於形成互連結構之方法及設備

Country Status (3)

Country Link
US (1) US11205589B2 (zh)
TW (1) TW202125704A (zh)
WO (1) WO2021071777A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815641B (zh) * 2021-09-02 2023-09-11 南韓商圓益Ips股份有限公司 基板處理裝置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113308676B (zh) * 2021-05-25 2023-02-24 西安微电子技术研究所 一种铝硅铜厚金属薄膜物理气相淀积的腔体处理方法
CN113921456A (zh) * 2021-09-30 2022-01-11 北京北方华创微电子装备有限公司 半导体工艺设备和晶圆的加工方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
CN1375113A (zh) * 1999-09-16 2002-10-16 松下电器产业株式会社 薄膜晶体管及其制造方法
KR100422333B1 (ko) * 2000-07-31 2004-03-10 이노스텍 (주) 단결정 거대 입자로 구성된 금속 박막 제조 방법 및 그 금속 박막
CN1260778C (zh) * 2000-12-04 2006-06-21 株式会社荏原制作所 基片加工方法
KR100413481B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 반도체 소자의 구리 박막 증착 장비
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777328B2 (en) * 2002-01-31 2004-08-17 Oki Electric Industry Co., Ltd. Method of forming multilayered conductive layers for semiconductor device
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100558002B1 (ko) * 2003-09-26 2006-03-06 삼성전자주식회사 선택적 전기도금 공정을 이용한 금속패턴 형성방법
US6838379B1 (en) 2003-09-30 2005-01-04 Lsi Logic Corporation Process for reducing impurity levels, stress, and resistivity, and increasing grain size of copper filler in trenches and vias of integrated circuit structures to enhance electrical performance of copper filler
US20050208774A1 (en) * 2004-01-08 2005-09-22 Akira Fukunaga Wet processing method and processing apparatus of substrate
US7030016B2 (en) * 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
IT1392217B1 (it) * 2008-11-24 2012-02-22 Ghidini Metodo per produrre energia e generatore che attua tale metodo
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) * 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) * 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) * 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9425092B2 (en) 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9589808B2 (en) * 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
JP6039616B2 (ja) * 2014-08-11 2016-12-07 東京エレクトロン株式会社 グラフェンの下地膜の生成方法、グラフェンの生成方法及びグラフェンの下地膜生成装置
US10435849B2 (en) * 2015-02-10 2019-10-08 Vianini S.P.A. Modular system for the laying of underground and railroad and tram lines
CN104991914B (zh) * 2015-06-23 2018-04-27 腾讯科技(深圳)有限公司 一种应用推荐方法及服务器
US10516060B2 (en) * 2016-03-11 2019-12-24 Semiconductor Energy Laboratory Co., Ltd. Composite and transistor
US10438849B2 (en) 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US9748173B1 (en) * 2016-07-06 2017-08-29 International Business Machines Corporation Hybrid interconnects and method of forming the same
KR102624631B1 (ko) * 2016-12-02 2024-01-12 삼성전자주식회사 반도체 장치
TWI758398B (zh) * 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10763207B2 (en) * 2017-11-21 2020-09-01 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815641B (zh) * 2021-09-02 2023-09-11 南韓商圓益Ips股份有限公司 基板處理裝置

Also Published As

Publication number Publication date
WO2021071777A1 (en) 2021-04-15
US11205589B2 (en) 2021-12-21
US20210104434A1 (en) 2021-04-08

Similar Documents

Publication Publication Date Title
TWI760555B (zh) 蝕刻方法
JP6637420B2 (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
JP2022180585A (ja) デザイナー原子層エッチング
US8021514B2 (en) Remote plasma source for pre-treatment of substrates prior to deposition
TW202117931A (zh) 間隙填充沉積製程
TW202125704A (zh) 用於形成互連結構之方法及設備
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
US9911622B2 (en) Method of processing target object
JP2007530797A (ja) 金属層を形成する方法および装置
JP4914902B2 (ja) シリサイド形成方法とその装置
TW200915402A (en) Method and apparatus for cleaning a substrate surface
JP2016076621A (ja) 被処理体を処理する方法
TW201534410A (zh) 使用低射頻偏壓頻率應用來清潔非晶碳沉積殘留物之清潔製程
TW201721713A (zh) 被處理體之處理方法
TW201930628A (zh) 減少或消除鎢膜中缺陷的方法
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
JP2015211156A (ja) ドライクリーニング方法及びプラズマ処理装置
JP6063181B2 (ja) プラズマ処理方法、及びプラズマ処理装置
JP2023118883A (ja) プラズマ処理装置
WO2022138655A1 (ja) 基板処理方法及び基板処理装置
US10685848B2 (en) Workpiece processing method
JP2008121054A (ja) 真空処理装置のクリーニング方法及び真空処理装置
US11404282B2 (en) Method of etching film and plasma processing apparatus
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法
JP2022074000A (ja) エッチング方法及びプラズマ処理装置