WO2004047158A1 - プラズマ処理装置およびプラズマ処理方法 - Google Patents

プラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
WO2004047158A1
WO2004047158A1 PCT/JP2003/014850 JP0314850W WO2004047158A1 WO 2004047158 A1 WO2004047158 A1 WO 2004047158A1 JP 0314850 W JP0314850 W JP 0314850W WO 2004047158 A1 WO2004047158 A1 WO 2004047158A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma processing
plasma
processing chamber
processing apparatus
oxide film
Prior art date
Application number
PCT/JP2003/014850
Other languages
English (en)
French (fr)
Inventor
Toshihisa Nozawa
Masaru Sasaki
Masaji Inoue
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/535,856 priority Critical patent/US7754995B2/en
Priority to AU2003284605A priority patent/AU2003284605A1/en
Publication of WO2004047158A1 publication Critical patent/WO2004047158A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Definitions

  • the present invention relates to a plasma processing apparatus and a plasma processing method that can be suitably used when performing various plasma processes on an object to be processed in order to manufacture an electronic device or the like.
  • the plasma processing apparatus of the present invention can be widely applied to plasma processing in general, including the manufacture of electronic devices such as semiconductors, semiconductor devices, and liquid crystal devices.
  • the background art will be described as an example.
  • a plasma processing apparatus is often used for such various kinds of processing. This is because when a plasma processing apparatus is used, there is an advantage of low-temperature processing.
  • a plasma processing chamber in which an object to be processed is to be subjected to plasma processing is usually configured using metal walls.
  • the plasma processing chamber is included in plasma generated in the plasma processing chamber.
  • the metal on the inner wall may be sputtered and / or etched to cause contaminants on the object to be processed.
  • the inner wall of the plasma processing chamber is subjected to alumite treatment (that is, an oxide film is formed on the aluminum surface) or the inner wall is formed. Ceramic coating has been done.
  • An object of the present invention is to provide a plasma processing apparatus and a plasma processing method which solve the above-mentioned disadvantages of the prior art.
  • Another object of the present invention is to suppress spattering and etching of a plasma processing chamber inner wall while suppressing contamination of an object to be processed. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method that can effectively prevent the occurrence of a plasma.
  • the present inventor has found that the plasma processing chamber inner wall (and / or the plasma processing chamber inner wall) is not used separately as in the conventional case, but by using the plasma processing itself instead of separately performing alumite processing or ceramic coating processing. It has been found that forming an oxide film on parts that should form the inner wall, etc.) is extremely effective in achieving the above object.
  • the plasma processing apparatus of the present invention is based on the above findings, and more specifically, a plasma processing chamber for performing plasma processing on a processing target; and a plasma processing chamber for disposing the processing target in the plasma processing chamber.
  • a plasma processing apparatus comprising: at least a target object holding means; and a plasma generation means for generating plasma in the plasma processing chamber; It is characterized by being covered with an oxide film based on processing plasma.
  • a plasma processing chamber for performing plasma processing on the processing object; a processing object holding unit for arranging the processing object in the plasma processing chamber;
  • a plasma processing apparatus including at least plasma generating means for generating plasma in the plasma processing chamber; when a reference target object is processed in the plasma processing chamber with plasma based on an oxygen-containing gas, the total number of atoms of the target object to your Keru a l, C u, N a and F e the later, 2 x 1 0 1.
  • the number is not more than the number.
  • a plasma processing chamber for performing plasma processing on the processing object; a processing object holding unit for arranging the processing object in the plasma processing chamber;
  • a plasma processing apparatus including at least plasma generating means for generating plasma in the plasma processing apparatus;
  • a plasma processing method is provided in which an oxide film is formed on at least a part of the inner wall of the plasma processing chamber by generating the plasma processing chamber.
  • the contamination metal itself present in the plasma is not only removed by sputtering based on the plasma treatment for cleaning, but also a predetermined thickness (for example, 10 nm or more) is formed on the surface of the plasma processing chamber inner wall. Since an oxide film having a thickness of about 100 nm is formed, generation of contamination can be effectively suppressed in the subsequent plasma treatment.
  • the oxide film on the inner wall of the plasma processing chamber formed based on the plasma processing for cleaning is sufficiently resistant to ion irradiation based on the plasma processing (because the oxide film itself is an oxide film formed by the plasma processing). It is.
  • FIG. 1 is a schematic sectional view showing a preferred embodiment of the plasma processing apparatus of the present invention.
  • FIG. 2 is a schematic sectional view showing another embodiment of the plasma processing apparatus of the present invention.
  • FIG. 3 is a schematic sectional view showing an observation position after an oxide film is formed on the inner wall of the plasma processing apparatus of FIG.
  • FIG. 4 is a photograph showing an SEM observation result of an oxide film on the inner wall (aluminum member) of the plasma processing apparatus of FIG.
  • FIG. 5 is a photograph showing the result of Auger analysis on the inner wall (aluminum member) of the plasma processing apparatus of FIG.
  • Figure 6 is a photograph showing the SEM observation results of the oxide and nitride films on the aluminum test piece placed in the plasma processing apparatus of Figure 2. is there.
  • FIG. 7 is a graph showing the measurement results of metal contamination obtained in Example 5.
  • FIG. 8 is a graph showing the results of measurement of metal contamination obtained in Example 5.
  • a plasma processing chamber for performing plasma processing on the object to be processed; and an object holding means for arranging the object to be processed at a predetermined position in the plasma processing chamber; And a plasma generating means for generating plasma in the plasma processing chamber.
  • This plasma processing apparatus is characterized in that at least a part of the inner wall of the plasma processing chamber is covered with an oxide film based on pretreatment plasma.
  • the formation of the oxide film may be performed on the inner wall after the plasma processing apparatus is assembled, or may be performed on a part having a part to constitute the inner wall. Accordingly, the formation of the oxide film before and after the assembly may be combined.
  • the total area of the inner wall of the plasma processing chamber is 5
  • 0% or more is covered with an oxide film having a thickness of at least 1 O nm.
  • the proportion of the area covered by the oxide film having a thickness of at least 20 nm is more preferably 60% or more, particularly preferably 80% or more.
  • the average thickness of the above oxide film is preferably 20 nm, more preferably 30 nm.
  • the thickness of the oxide film, the average film thickness, and the “ratio of the area covered by the oxide film having a thickness of at least 10 nm” are preferably determined by the following measurement methods. Can be measured.
  • the object to be processed which is a reference for the contamination
  • a 1, Cu, Na, and Fe in the object to be treated after the treatment (hereinafter, these four kinds of metal elements may be collectively referred to as “contamination metal”).
  • the total number of atoms 2 X 1 0 1. It is preferable that the number is not more than the number.
  • the A l, C u, the total number of atoms of N a and F e are especially 1 X 1 0 1. It is preferable that the number is not more than the number. This is because these four types of contaminant metals have a particularly significant adverse effect on the characteristics of the object (eg, semiconductor device characteristics) when attached or incorporated into the object.
  • sample silicon wafer sample wafer, diameter: 20 cm
  • plasma treatment is performed by arranging it as an object to be processed, and the total number of atoms of contamination metals in a wafer obtained after the plasma treatment is measured.
  • a plasma processing chamber for performing plasma processing on a processing target, and a processing target for disposing the processing target at a predetermined position in the plasma processing chamber.
  • a plasma processing apparatus including at least a holding unit and an antenna unit for guiding a microwave to the plasma processing chamber is used.
  • plasma based on an oxygen-containing gas is generated in the plasma processing chamber, and an oxide film is formed on an inner wall of the plasma processing chamber.
  • another object to be processed for example, a component or a component of a plasma processing apparatus
  • the surface of the component or the like is placed on the surface of the component.
  • An oxide film may be formed.
  • an oxygen-containing gas can be suitably used.
  • This "oxygen-containing gas” is such that at least one component of the gas itself (when the gas is a single substance) or the gas (when the gas is a mixture) contains at least oxygen. Just do it.
  • Examples of the “oxygen-containing gas” include the following.
  • the following gases can be particularly preferably used from the viewpoint of low cost and safety.
  • pretreatment plasma conditions can be particularly preferably used. That is, the “pre-treatment plasma” condition is different from the normal plasma condition described below in terms of the treatment speed.
  • the present invention it is possible to use ordinary plasma processing conditions for the object to be processed (for example, a substrate for an electronic device such as a semiconductor wafer).
  • the degree of oxidation in the plasma processing chamber by the above-described pretreatment plasma is determined, for example, by using a standard sample wafer (or monitor wafer) as the wafer W in FIG.
  • the degree of oxidation of the sample wafer can be measured by monitoring the film thickness measurement.
  • the oxide film coating in the plasma processing chamber by the pretreatment plasma described above is effective by itself, but the effect is further enhanced by combining with the wet cleaning in advance of the plasma processing chamber. (Wet cleaning)
  • wet cleaning in this case preferably includes acid cleaning.
  • the type, material, shape, size, manufacturing method, and the like are not particularly limited as long as formation of an oxide film thereon is useful.
  • it may be the above-described sample wafer, may be a component or a part of a plasma processing apparatus, or may be various substrates for electronic devices (for example, substrates for various semiconductor devices such as silicon). Material).
  • the type, material, shape, size, manufacturing method, and the like are not particularly limited as long as formation of an oxide film thereon is useful.
  • the plasma processing chamber may be metal (eg, aluminum, SuS, Si, etc.) or other material (eg, ceramic).
  • the type, material, shape, size, manufacturing method, and the like are not particularly limited as long as the object to be processed can be held thereon for a predetermined time.
  • a known object holding means such as a chuck and an electrostatic holding means can be suitably used.
  • a predetermined plasma for example, a plasma for processing a pretreatment plasma or another object to be processed
  • a plasma processing chamber for example, a plasma for processing a pretreatment plasma or another object to be processed
  • this plasma generation means may be an antenna means for guiding the microwave into the processing chamber. I like it.
  • the antenna means is preferably a planar antenna (RLSA) member having a plurality of slots.
  • FIG. 1 is a schematic sectional view showing an example of a plasma processing apparatus according to the present invention.
  • FIG. 1 in this embodiment, a case will be described in which the plasma processing apparatus is applied to plasma CVD (Chemical Vapor Deposition) processing.
  • the embodiment of FIG. 1 is an example in which a planar antenna member is used as an antenna member.
  • the plasma processing apparatus 30 has a plasma processing chamber 32 in which the side walls and the bottom are made of a conductor such as aluminum, and the whole is formed in a cylindrical shape.
  • the inside is configured as a closed processing space S.
  • a mounting table 34 for mounting an object to be processed (for example, a semiconductor wafer W) on its upper surface is accommodated.
  • the mounting table 34 is formed in a substantially columnar shape which is made convex and flat by, for example, anodized aluminum or the like.
  • the lower part of the mounting table 34 is also a columnar shape made of aluminum or the like.
  • the support base 36 is provided at the bottom of the plasma processing chamber 32 via an insulating material 38.
  • An electrostatic chuck or a clamp mechanism (not shown) for holding the wafer is provided on the upper surface of the mounting table 34 described above. Further, the mounting table 34 is connected to a matching box 42 and a high-frequency power source for bias (for example, for 1.3.6 MHz) 44 via a feeder line 40. In the case of CVD, the high-frequency bias power supply 44 need not be provided.
  • the support 36 supporting the mounting table 34 is provided with a cooling jacket 46 for flowing cooling water or the like for cooling the wafer W during the plasma processing. Note that a heater for heating may be provided in the mounting table 34 as necessary.
  • a plasma gas supply nozzle 48 made of quartz pipe for supplying a plasma gas, for example, an argon gas into the container, or a processing gas, for example,
  • a processing gas supply nozzle 50 made of, for example, a quartz pipe for introducing a deposition gas is provided.
  • These nozzles 48 and 50 are connected to the gas supply paths 52 and 54, respectively, via the mass flow controllers 56 and 58 and the opening and closing valves 60 and 62, respectively, to generate the plasma gas source 64 and 50, respectively.
  • the processing gas source 6 6.
  • a deposition gas as a processing gas SiH 4 , O 2 , N 2 gas, or the like can be used.
  • a gate valve 68 that opens and closes when loading / unloading the wafer W into / from the plasma processing chamber 32 is provided outside the side wall of the plasma processing chamber 32, and a cooling jacket that cools the side wall. G 69 is provided. Further, an exhaust port 70 is provided at the bottom of the container, and an exhaust path 72 to which a vacuum pump (not shown) is connected is connected to the exhaust port 70, and a plasma processing chamber is provided as necessary. It is designed to evacuate the interior of 32 to a specified pressure.
  • the ceiling of the plasma processing chamber 32 is opened, and is made of a ceramic material such as A1N, for example, and has an insulating plate 74 (for example, a thick plate) that transmits microwaves. (About 20 mm) is provided in an airtight manner via a sealing member 76 such as an O-ring.
  • a disk-shaped planar antenna member 78 and a slow-wave member 80 having high dielectric constant characteristics are provided on the upper surface of the insulating plate 74.
  • the planar antenna member 78 is formed integrally with the plasma processing chamber 32. It is configured as a bottom plate of a waveguide box 82 formed of a hollow cylindrical container, and is provided to face the mounting table 34 in the plasma processing chamber 32.
  • the waveguide box 82 and the plasma processing chamber 32 are both grounded, and an outer tube 84 A of a coaxial waveguide 84 is connected to the center of the upper part of the waveguide box 82,
  • the internal cable 84B is connected to the center of the planar antenna member 78 through a through hole 86 at the center of the slow wave member 80.
  • the coaxial waveguide 84 is connected to, for example, a 2.45 GHz microwave generator 92 via a mode converter 88 and a waveguide 90. Microwaves are propagated to antenna members 78. This frequency is not limited to 2.45 GHz, and another frequency, for example, 8.35 GHz may be used.
  • a waveguide having a circular or rectangular cross section or a coaxial waveguide can be used.
  • a ceiling cooling jacket 96 in which a cooling water flow passage 94 for flowing cooling water is formed is provided to cool the slow wave material 80 and the like. It has become.
  • the slow wave material 80 having the above-mentioned high dielectric constant is provided, and by this wavelength shortening effect, the micro wave is reduced. The tube wavelength has been shortened.
  • the slow wave material 80 for example, aluminum nitride or the like can be used.
  • planar antenna member 78 corresponds to an 8-inch wafer W
  • a conductive material having a diameter of 300 to 400 mm and a thickness of 1 to several mm (for example, 5 mm) is used.
  • a disk made of, for example, a copper plate or an aluminum plate whose surface is coated with silver, has a large number of microwave radiation holes 98 made of, for example, circular through holes, and an antenna member 78 Are provided substantially uniformly.
  • the arrangement of the microphone mouth-wave radiation holes 98 is not particularly limited. It may be arranged spirally or radially.
  • the shape of the microwave radiation holes 98 is not limited to a circular shape, and may be, for example, a slit shape of a long groove, and the slit-shaped radiation holes may be represented by “C”. They may be arranged in a pattern.
  • the semiconductor wafer WW is accommodated in the plasma processing chamber 32 by the transfer arm (not shown) via the gate valve 68, and the lifter pin (not shown) is moved up and down.
  • the wafer WW is mounted on the mounting surface of the mounting table 3 4.
  • the plasma gas supply nozzle 48 supplies, for example, argon gas while controlling the flow rate.
  • a deposition gas such as SiH 4 , O 2 , and N 2 is supplied while controlling the flow rate.
  • the microwave from the microwave mouthpiece generator 92 is supplied to the planar antenna member 78 via the waveguide 90 and the coaxial waveguide 84, and is supplied to the processing space S and the slow wave material 8 Microwaves whose wavelength is shortened by 0 are introduced, thereby generating plasma and performing predetermined plasma processing, for example, film forming processing by plasma CVD.
  • the object to be processed is not limited to the semiconductor wafer W, but can be applied to a glass substrate, an LCD (liquid crystal device) substrate, and the like.
  • the above-described sample wafer, monitor wafer, or wafer for performing ordinary plasma processing is generally subjected to the following cleaning processing.
  • Substrate A 20 cm (8 inch) P-type silicon substrate was used as the substrate, with a specific resistance of l Qcm and a plane orientation of (100).
  • IPA isopropyl alcohol, 220 ° C
  • an oxide film was coated in the plasma processing chamber by using the silicon wafer (sample / wafer) W after the cleaning treatment as in the first embodiment.
  • Plasma output 2500 W Temperature: 400 ° C
  • the aluminum-test piece was oxidized under the following plasma processing conditions using a plasma processing apparatus on which an oxide film forming process according to Example 2 was performed.
  • Plasma power 350 W
  • Plasma power 350 W
  • plasma is generated in the plasma processing chamber by using the silicon wafer (sample wafer) W that has been subjected to the cleaning process as in Example 1 in the following manner.
  • the metal contamination at was measured.
  • a plasma processing apparatus and a plasma processing method that effectively prevent sputtering and etching of the inner wall of a plasma processing chamber while suppressing contamination of an object to be processed.
  • a plasma processing method is provided.

Abstract

被処理体にプラズマ処理を行うためのプラズマ処理室と;該被処理体を、前記プラズマ処理室内に配置するための被処理体保持手段と;該プラズマ処理室内にプラズマを発生させるためのプラズマ発生手段とを少なくとも含むプラズマ処理装置。前記プラズマ処理室内壁の少なくとも一部は、前処理プラズマに基づく酸化膜で覆われている。被処理体に対するコンタミネーションを抑制しつつ、プラズマ処理室内壁のスパッタリングおよびエッチングをも効果的に防止したプラズマ処理装置およびプラズマ処理方法が提供される。

Description

明 細 書
プラズマ処理装置およびプラズマ処理方法
技術分野
本発明は、 電子デバイス等を作製するために、 被処理体に対して 種々のプラズマ処理を行う際に好適に使用可能なプラズマ処理装置 およびプラズマ処理方法に関する。
背景技術
本発明のプラズマ処理装置は、 半導体ないし半導体デバイス、 液 晶デバイス等の電子デバイス材料の製造を始めとするプラズマ処理 一般に広く適用可能であるが、 ここでは説明の便宜のために、 半導 体デバイスの背景技術を例にとって説明する。
一般に、 半導体デバイスの製造工程においては、 被処理体たる半 導体デバイス用の基材 (ウェハ) に対して、 酸化処理、 C V D (化 学気相堆積) 処理、 エッチング処理、 スパッタ処理等の種々の処理 を施すことが行われる。
従来よ り、 このよ う な各種の処理のためにプラズマ処理装置が用 いられる場合が多い。 これは、 プラズマ処理装置を用いた場合には 、 低温処理という長所があるからである。
従来よ り、 被処理体にプラズマ処理を行うべきプラズマ処理室 ( チャンバ) は、 通常は金属からなる壁を用いて構成されているが、 この場合、 プラズマ処理室内で発生したプラズマ中に含まれるィォ ンが該プラズマ処理室の内壁に照射されるこ とによって、 該内壁の 金属がスパッタ リ ングおよび/又はェツチングされて、 被処理体に コ ンタ ミネーショ ンを生じる場合がある。 このよ うなスパッタ リ ングおよび Z又はエッチングを抑制するた めに、 従来よ り、 プラズマ処理室の内壁をアルマイ ト処理 (すなわ ち、 アルミニウム表面に酸化膜を形成) したり、 あるいは該内壁を セラ ミ ック · コーティ ングするこ とが行われて来た。
これらのアルマイ ト処理ないしセラ ミ ック · コーティ ングは、 プ ラズマに基づく スパッタ リ ングおよび/又はエッチングを抑制する こ と 自体の点では効果的であるが、 他方、 これらのアルマイ ト処理 ないしセラ ミ ック · コーティ ングにおいては、 それらのアルマイ ト ないしセラミ ックの成膜の工程で、 これらの膜に多く の不純物が混 入する傾向があり、 一旦、 このよ う な不純物が混入した場合には、 コーティ ング後に、 プラズマ処理室内壁の洗浄 (例えば、 フッ酸の 使用による) を行っても、 充分にク リーニングするこ とが極めて困 難であった。
ク リ一二ングが不充分なプラズマ処理室内で被処理体のプラズマ 処理を行った場合には、 プラズマ発生に基づくイオン照射によって 、 上記した不純物がプラズマ処理室の内壁からスパッタ リ ングされ てたたき出され、 被処理体上等に付着して、 いわゆるメ タル · コン タ ミネーショ ンと して種々の問題を引き起こ していた。
このよ うなメタル ' コンタ ミネーシヨ ンは、 特に近年のよ う に半 導体デバィスにおける回路等の集積度が高まるにつれて、 よ り深刻 な問題となる傾向がある。 発明の開示
本発明の目的は、 上記した従来技術の欠点を解消したプラズマ処 理装置およびプラズマ処理方法を提供するこ とにある。
本発明の他の目的は、 被処理体に対するコンタ ミネーショ ンを抑 制しつつ、 プラズマ処理室内壁のスパッタ リ ングおよびエッチング をも効果的に防止したプラズマ処理装置およびプラズマ処理方法を 提供するこ とにある。
本発明者は鋭意研究の結果、 従来におけるよ う に別個にアルマイ ト処理ないしセラ ミ ック · コーティ ング処理するのではなく、 ブラ ズマ処理そのものを利用してプラズマ処理室内壁 (および/又は該 内壁を構成すべき部品、 等) に酸化膜を形成するこ とが、 上記目的 の達成のために極めて効果的なこ とを見出した。
本発明のプラズマ処理装置は上記知見に基づく ものであり、 よ り 詳しく は、 被処理体にプラズマ処理を行うためのプラズマ処理室と ; 該被処理体を、 前記プラズマ処理室内に配置するための被処理体 保持手段と ; 該プラズマ処理室内にプラズマを発生させるためのプ ラズマ発生手段とを少なく と も含むプラズマ処理装置であって ; 前 記プラズマ処理室内壁の少なく と も一部が、 前処理プラズマに基づ く酸化膜で覆われているこ とを特徴とするものである。
本発明によれば、 更に、 被処理体にプラズマ処理を行うためのプ ラズマ処理室と ; 該被処理体を、 前記プラズマ処理室内に配置する ための被処理体保持手段と ; 該プラズマ処理室内にプラズマを発生 させるためのプラズマ発生手段とを少なく とも含むプラズマ処理装 置であって ; 前記プラズマ処理室内で基準となる被処理体を酸素含 有ガスに基づく プラズマで処理した際に、 該処理後の被処理体にお ける A l 、 C u 、 N aおよび F e の合計原子数が、 2 x 1 0 1 。 個 以下であるこ とを特徴とするプラズマ処理装置が提供される。
本発明によれば、 更に、 被処理体にプラズマ処理を行うためのプ ラズマ処理室と ; 該被処理体を、 前記プラズマ処理室内に配置する ための被処理体保持手段と ; 該プラズマ処理室内にプラズマを発生 させるためのプラズマ発生手段とを少なく と も含むプラズマ処理装 置を用い ; 酸素含有ガスに基づく前処理プラズマを前記プラズマ処 理室内で発生させて、 該プラズマ処理室の内壁の少なく とも一部に 酸化膜を形成するこ とを特徴とするプラズマ処理方法が提供される 上記構成を有する本発明においては、 プラズマ処理室内壁に存在 するコンタ ミネーショ ン金属自体が、 ク リ ーニング用のプラズマ処 理に基づく スパッタ リ ングによって除去されるのみならず、 プラズ マ処理室内壁の表面に所定の膜厚 (例えば、 1 0 n m〜 l 0 0 n m 程度) の酸化膜が形成されるため、 その後のプラズマ処理において 、 コ ンタ ミネーシヨ ンの発生を効果的に抑制するこ とができる。 更には、 本発明においてク リーニング用のプラズマ処理に基づき 形成されるプラズマ処理室内壁の酸化膜は、 (それ自体がプラズマ 処理による酸化膜であるため) プラズマ処理に基づくイオン照射に 対する耐性も充分である。 図面の簡単な説明
図 1 は、 本発明のプラズマ処理装置の好適な一態様を示す模式断 面図である。
図 2 は、 本発明のプラズマ処理装置の他の態様を示す模式断面図 である。
図 3は、 図 2 のプラズマ処理装置の内壁に酸化膜形成した後の観 測位置を示す模式断面図である。
図 4は、 図 2 のプラズマ処理装置の内壁 (アルミニウム部材) に おける酸化膜の S E M観察結果を示す写真である。
図 5は、 図 2のプラズマ処理装置の内壁 (アルミニウム部材) に おけるォージェ分析結果を示す写真である。
図 6 は、 図 2のプラズマ処理装置内に配置したアルミニウム ' テ ス ト ピースにおける酸化膜 · 窒化膜の S E M観察結果を示す写真で ある。
図 7 は、 実施例 5 において得られた金属コンタ ミネーショ ン測定 結果を示すダラフである。
図 8 は、 実施例 5において得られた金属コ ンタ ミネーシヨ ン測定 結果を示すダラフである。 発明を実施するための最良の形態
以下、 必要に応じて図面を参照しつつ本発明を更に具体的に説明 する。 以下の記載において量比を表す 「部」 および 「%」 は、 特に 断らない限り質量基準とする。
(プラズマ処理装置)
本発明のプラズマ処理装置は、 被処理体にプラズマ処理を行うた めのプラズマ処理室と ; 前記被処理体を、 前記プラズマ処理室内の 所定の位置に配置するための被処理体保持手段と ; 該プラズマ処理 室内にプラズマを発生させるためのプラズマ発生手段とを少なく と も含む。 このプラズマ処理装置においては、 プラズマ処理室の内壁 の少なく と も一部が、 前処理プラズマに基づく酸化膜で覆われてい ることが特徴である。
本発明においては、 プラズマ処理装置が組立られた状態において 、 該装置の内壁の少なく とも一部が、 前処理プラズマに基づく酸化 膜で覆われていれば足り る。 すなわち、 その酸化膜形成は、 プラズ マ処理装置が組立た後に内壁に対して行われてもよく 、 該内壁を構 成すべき部分を有する部品に対して行われてもよく 、 更には、 必要 に応じて、 これら組立前後の酸化膜形成を組み合わせてもよい。
(前処理プラズマに基づく酸化膜)
本発明においては、 被処理体のコンタ ミネーショ ン防止の点から は、 上記したプラズマ処理室內壁において、 該内壁の合計面積の 5 0 %以上が、 少なく と も 1 O n mの厚さを有する酸化膜で覆われて いるこ とが好ましい。 少なく と も 2 0 n mの厚さを有する酸化膜で 覆われている面積の比率は、 更には 6 0 %以上、 特に 8 0 %以上で あるこ とが好ま しい。 上記した酸化膜の平均厚さは、 2 0 n m、 更 には 3 0 n mであるこ とが好ま しい。
本発明において、 上記した酸化膜の膜厚、 平均膜厚、 および 「少 なく とも 1 0 n mの厚さを有する酸化膜で覆われている面積の比率 」 は、 以下の測定方法によ り好適に測定するこ とができる。
(金属コンタ ミネ一ショ ンの程度)
本発明においては、 被処理体のコンタミネーショ ン防止の点から は、 該コ ンタ ミネーショ ン程度の基準となる被処理体を前記プラズ マ処理室内で酸素含有ガスに基づく プラズマで処理した際に、 該処 理後の被処理体における A 1 、 C u、 N aおよび F e (以下、 これ ら 4種類の金属元素を合わせて 「コンタ ミネ一シヨ ン金属」 と称す る場合がある) の合計原子数が、 2 X 1 0 1 。 個以下であるこ とが 好ま しい。 この A l 、 C u、 N aおよび F e の合計原子数は、 特に 1 X 1 0 1 。 個以下であるこ とが好ま しい。 これら 4種のコンタ ミ ネ一シヨ ン金属は、 被処理体に付着ないし取り込まれた場合には、 特に、 被処理体の特性 (例えば、 半導体デバイス特性) に対する悪 影響が特に著しいからである。
後述する実施例において述べるよ うに、 標準的なサンプルたるシ リ コ ンウェハ (サンプルウェハ、 直径 : 2 0 c m) を、 そのコンタ ミネ一シヨ ン金属の合計原子数を測定すべきプラズマ処理装置内に 被処理体と して配置して、 プラズマ処理を行い、 該プラズマ処理の 後に得られたウェハ中のコンタ ミネーショ ン金属の合計原子数を測 定する。
(プラズマ前処理方法) 次に、 上記した酸化処理されたプラズマ処理装置を得るための方 法について説明する。
すなわち、 このよ うな酸化処理法においては、 被処理体にプラズ マ処理を行うためのプラズマ処理室と、 前記被処理体を、 前記ブラ ズマ処理室内の所定の位置に配置するための被処理体保持手段と、 該プラズマ処理室にマイ ク ロ波を案内するためのアンテナ手段とを 少なく とも含むプラズマ処理装置を用いる。 本発明においては、 こ のよ うなプラズマ処理装置において、 酸素含有ガスに基づく プラズ マを前記プラズマ処理室内で発生させて、 該プラズマ処理室の内壁 に酸化膜を形成する。
この酸化膜形成の際には、 必要に応じて、 プラズマ処理室内に他 の被処理体 (例えば、 プラズマ処理装置の部品ないし構成要素) を プラズマ処理室内に配置して、 該部品等の表面に酸化膜形成しても よい。
(酸素含有ガス)
上記したプラズマ前処理においては、 酸素含有ガスが好適に使用 可能である。 この 「酸素含有ガス」 は、 該ガス 自体 (ガスが単一物 である場合) 、 ないしは該ガス (ガスが混合物である場合) を構成 する少なく とも 1つの成分が、 少なく とも酸素を含有していればよ い。 この 「酸素含有ガス」 と しては、 例えば、 以下のものが挙げら れる。
く酸素含有ガスの例 >
O 2 、 N 2 O、 C O 2 、 H 2 0、 H 2 O 2
(好適な酸素含有ガス条件)
本発明においては、 安価、 安全の点からは、 下記のガスが特に好 適に使用可能である。
( 1 ) 酸素 +水素 ( 2 ) 水含有ガス
(他の前処理プラズマ条件)
本発明においては、 下記の前処理プラズマ条件が特に好適に使用 可能である。 すなわち 、 この 「前処理プラズマ」 条件は、 処理速度 の点において、 以下に述べる通常のプラズマ条件とは異なる
( 1 ) 圧力 3〜: L 0 0 P a
( 2 ) 温度 5 0 °C
( 3 ) 処理時間 2 0分以上
( 4 ) プラズマ条件 2 0 0 0 W
(通常のプラズマ条件)
本発明において、 通常の被処理体 (例えば、 半導体ウ エノ、等の電 子デバイス用基材) のプラズマ処理条件を用いるこ とが可能である
( 1 ) 圧力 6 7〜 2 0 0 P a
( 2 ) 温度 4 0 0 °C
( 3 ) 処理時間 1 〜: 1 0分
( 4 ) プラズマ条件 7 0 0 0 W
(プラズマ処理室酸化のモニタ)
本発明において、 上記した前処理プラズマによるプラズマ処理室 内の酸化の程度については、 例えば 、 上記した図 1 のウ エノヽ Wと し て、 標準的なサンプル • ウェハ (ないしはモニタ用ウェハ) を用い て、 該サンプル · ゥェハの酸化の程度を膜厚計測によってモニタす るこ とによ り測定するこ とができる
(湿式洗浄との組合せ)
上記した前処理プラズマによるプラズマ処理室内の酸化膜コーテ イ ングは、 それ単独でも効果的であるが、 プラズマ処理室の事前の 湿式洗浄と組み合わせるこ とによ り 、 更にその効果が増強される。 (湿式洗浄)
上述したよ うに、 本発明においては、 プラズマ処理室を湿式洗浄 した後に、 前記したプラズマ前処理を行う こ とが好ま しい。 重金属 除去の点からは、 この場合の湿式洗浄は、 酸洗浄を含むこ とが好ま しい。
(被処理体)
その上に酸化膜の形成が有用である限り、 その種類、 材質、 形状 、 サイズ、 製造方法、 等は特に制限されない。 例えば、 前述したサ ンプル · ウェハであってもよく、 プラズマ処理装置の構成要素ない し部品であってもよく 、 また電子デバイス用の各種基材 (例えば、 シリ コン等の各種半導体デバイス用の基材) であってもよい。
(プラズマ処理室)
その上に酸化膜の形成が有用である限り、 その種類、 材質、 形状 、 サイズ、 製造方法、 等は特に制限されない。 例えば、 このプラズ マ処理室は金属 (例えば、 アルミニゥム、 S u S 、 S i 等) であつ つても、 他の材料 (例えば、 セラ ミ ック) であってもよい。
(被処理体保持手段)
その上に被処理体を所定の時間で保持可能である限り、 その種類 、 材質、 形状、 サイズ、 製造方法、 等は特に制限されない。 例えば 、 チャ ック、 静電保持手段、 等の公知の被処理体保持手段を好適に 使用するこ とができる。
(プラズマ発生手段)
該プラズマ処理室内に、 所定のプラズマ (例えば、 前処理プラズ マ、 他の被処理体を処理するためのプラズマ) を発生させるこ とが 可能である限り、 特に制限されない。
上記した中でも、 高密度の点からは、 このプラズマ発生手段は、 マイ ク ロ波を処理室内へ案内するためのアンテナ手段であるこ とが 好ま しい。 更には、 プラズマ均一性の点からは、 このアンテナ手段 は、 複数のス ロ ッ トを有する平面アンテナ (R L S A) 部材である こ とが好ましい。
(プラズマ処理装置の一態様)
図 1 は本発明に係るプラズマ処理装置の一例を示す模式断面図で あ ο。
図 1 を参照して、 この態様においては、 プラズマ処理装置をブラ ズマ C V D (化学気相堆積、 Chemical Vapor Deposition) 処理に 適用した場合について説明する。 図 1 の態様は、 アンテナ部材と し て、 平面アンテナ部材を用いた例である。
図 1 に示すよ うに、 このプラズマ処理装置 3 0は、 例えば側壁や 底部がアルミ ニウム等の導体によ り構成されて、 全体が筒体状に成 形されたプラズマ処理室 3 2を有しており 、 内部は密閉された処理 空間 S と して構成されている。
このプラズマ処理室 3 2内には、 その上面に被処理体 (例えば半 導体ウェハ W) を载置するための载置台 3 4が収容される。 この载 置台 3 4は、 例えばアルマイ ト処理したアルミニウム等によ り凸状 に平坦になされた略円柱状に形成されており 、 この下部は同じく ァ ルミニゥム等によ り 円柱状になされた支持台 3 6によ り支持され、 且つこの支持台 3 6はプラズマ処理室 3 2内の底部に絶縁材 3 8を 介して設置されている。
上記した載置台 3 4の上面には、 ここにウェハを保持するための 静電チャ ックまたはク ランプ機構 (図示せず) が設けられる。 更に 、 この載置台 3 4は給電線 4 0を介してマッチングボックス 4 2お よびバイアス用高周波電源 (例えば 1 3. 5 6 MH z用) 4 4に接 続されている。 なお、 C VDの場合には、 このバイアス用高周波電 源 4 4を設けなく てもよい。 上記載置台 3 4 を支持する支持台 3 6 には、 プラズマ処理時のゥ ェハ Wを冷却するための冷却水等を流す冷却ジャケッ ト 4 6が設け られる。 なお、 必要に応じてこの載置台 3 4中に加熱用ヒータを設 けてもよい。
他方、 上記プラズマ処理室 3 2の側壁には、 ガス供給手段と して 、 容器内にプラズマ用ガス、 例えばアルゴンガスを供給する石英パ ィプ製のプラズマガス供給ノズル 4 8や処理ガス、 例えばデポジシ ョ ンガスを導入するための例えば石英パイプ製の処理ガス供給ノズ ル 5 0が設けられる。 これらのノズル 4 8 、 5 0はそれぞれガス供 給路 5 2 、 5 4によ りマスフ ローコ ン ト ローラ 5 6 、 5 8および開 閉弁 6 0 、 6 2 を介してそれぞれプラズマガス源 6 4および処理ガ ス源 6 6に接続されている。 処理ガスと してのデポジショ ンガスは 、 S i H 4 、 0 2 、 N 2 ガス等を用いるこ とができる。
プラズマ処理室 3 2の側壁の外側には、 該プラズマ処理室 3 2の 内部に対してウェハ Wを搬入 · 搬出する時に開閉するゲー トバルブ 6 8が設けられされ、 且つこの側壁を冷却する冷却ジャケッ ト 6 9 が設けられる。 また、 容器底部には、 排気口 7 0が設けられされ、 且つこの排気口 7 0には図示されない真空ポンプが介接された排気 路 7 2が接続されており、 必要に応じてプラズマ処理室 3 2内を所 定の圧力まで真空引きできるよ うになつている。 そして、 プラズマ 処理室 3 2の天井部は開口されて、 ここに例えば A 1 N等のセラ ミ ック材からなり 、 マイ ク ロ波に対しては透過性を有する絶縁板 7 4 (例えば厚さが 2 0 m m程度) が O リ ング等のシール部材 7 6 を介 して気密に設けられる。
この絶縁板 7 4の上面に円板状の平面アンテナ部材 7 8 と高誘電 率特性を有する遅波材 8 0 とが設けられる。 具体的には、 この平面 アンテナ部材 7 8は、 上記プラズマ処理室 3 2 と一体的に成形され ている中空円筒状容器からなる導波箱 8 2の底板と して構成され、 前記プラズマ処理室 3 2内の上記載置台 3 4に対向させて設けられ る。 この導波箱 8 2および上記プラズマ処理室 3 2は共に接地され 、 且つ、 この導波箱 8 2の上部の中心には、 同軸導波管 8 4の外管 8 4 Aが接続され、 内部の内部ケーブル 8 4 Bは、 上記遅波材 8 0 の中心の貫通孔 8 6 を通って上記平面アンテナ部材 7 8の中心部に 接続される。
この同軸導波管 8 4は、 モー ド変換器 8 8および導波管 9 0 を介 して例えば 2 . 4 5 G H z のマイ ク ロ波発生器 9 2に接続されてお り、 上記平面アンテナ部材 7 8 へマイ ク ロ波を伝播するよ うになつ ている。 この周波数は 2 . 4 5 G H z に限定されず、 他の周波数、 例えば 8 . 3 5 G H z を用いてもよい。
この導波管 9 0 と しては、 断面円形または矩形の導波管や同軸導 波管を用いるこ とができる。 上記導波箱 8 2の上部には、 内部に冷 却水を流す冷却水流路 9 4が形成された天井冷却ジャケッ ト 9 6が 設けられており、 上記遅波材 8 0等を冷却するよ う になっている。 上記導波箱 8 2内であって、 平面アンテナ部材 7 8の上面には、 上記高誘電率特性を有する遅波材 8 0 を設けて、 この波長短縮効果 によ り、 マイ ク ロ波の管内波長を短く している。 この遅波材 8 0 と しては、 例えば窒化アルミニウム等を用いるこ とができる。
また、 上記平面アンテナ部材 7 8は、 8イ ンチサイズのウェハ W に対応する場合には、 例えば直径が 3 0 0 〜 4 0 0 m m、 厚みが 1 〜数 m m (例えば 5 m m ) の導電性材料からなる円板、 例えば表面 が銀メ ツキされた銅板またはアルミニゥム板からな り、 この円板に は例えば円形の貫通孔からなる多数のマイ ク ロ波放射孔 9 8が、 ァ ンテナ部材 7 8に略均等に配置させて設けられている。 このマイ ク 口波放射孔 9 8の配置形態は、 特に限定されず、 例えば同心円状、 螺旋状、 または放射状に配置させてもよい。 また、 マイ ク ロ波放射 孔 9 8の形状は円形に限定されず、 例えば長溝のス リ ッ ト形状等で もよ く 、 また、 このス リ ッ ト形状の放射孔を 「ハ」 の字状に配列さ せるよ うにしてもよい。
次に、 以上のよ う に構成されたプラズマ処理装置を用いて行なわ れる処理方法の一例について説明する。
まず、 ゲー トバルブ 6 8 を介して半導体ウェハ W Wを搬送アーム (図示せず) によ り プラズマ処理室 3 2内に収容し、 リ フタ ピン ( 図示せず) を上下動させるこ とによ り ウェハ WWを載置台 3 4の上 面の載置面に載置する。 プラズマ処理室 3 2内を所定のプロセス圧 力、 例えば 0 . 0 1 〜数 P a の範囲内に維持して、 プラズマガス供 給ノズル 4 8から例えばアルゴンガスを流量制御しつつ供給する と 共に処理ガス供給ノズル 5 0から例えば S i H 4 、 0 2 、 N 2 等のデポジショ ンガスを流量制御しつつ供給する。 同時にマイ ク 口 波発生器 9 2からのマイ ク ロ波を、 導波管 9 0および同軸導波管 8 4 を介して平面アンテナ部材 7 8に供給して処理空間 Sに、 遅波材 8 0によって波長が短く されたマイ ク 口波を導入し、 これによ り プ ラズマを発生させて所定のプラズマ処理、 例えばプラズマ C V Dに よる成膜処理を行う。
(他の応用)
上記した態様では、 半導体ウェハ Wに成膜処理する場合を例にと つて説明したが、 これに限定されず、 プラズマエッチング処理、 プ ラズマアツシング処理等の他のプラズマ処理にも適用するこ とがで きる。 また、 被処理体と しても半導体ウェハ Wに限定されず、 ガラ ス基板、 L C D (液晶デバイス) 基板等に対しても適用するこ とが できる。
以下、 実施例によ り本発明を更に具体的に説明する。 実施例
実施例 1
(ウェハの洗浄処理)
本発明においては、 上記したサンプル · ウェハ、 モニタ用ウェハ 、 ないしは通常のプラズマ処理を行うためのウェハは、 一般的に、 下記のよう な洗浄処理をう。
( 1 ) : 基板 基板には 2 0 c m ( 8イ ンチ) の P型のシリ コ ン 基板を用い、 比抵抗が l Q c m、 面方位 ( 1 0 0 ) のものを用いた
( 2 ) : ゲー ト酸化前洗浄
A PM (アンモニア : 過酸化水素水 : 純水 = 1 : 2 : 1 0の混合 液、 6 0 °C) と H P M (塩酸 : 過酸化水素水 : 純水 = 1 : 1 : 1 0 の混合液、 6 0 °C) および DH F (フ ッ酸 : 純水 = 1 : 1 0 0の混 合液、 2 3 °C) を組み合わせた R C A洗浄によって、 自然酸化膜と 汚染要素 (金属や有機物、 パーティ クル) を除去した。 R C A洗浄 は、 A PM 1 0分→純水リ ンス 1 0分→DH F 3分→純水リ ンス 1 0分— H PM 1 0分→純水リ ンス 1 0分→純水フ ァイナルリ ンス 5 分を施した後、 I P A (イ ソプロ ピルアルコール、 2 2 0 °C) 乾燥 を 1 5分行い、 ウェハ上の水分を乾燥させた。
実施例 2
(前処理プラズマによる処理室の酸化膜コーティ ング)
図 1 を参照して、 実施例 1 のよ うに洗浄処理した後のシリ コンゥ ェハ (サンプル · ウェハ) Wを用いて、 プラズマ処理室内を酸化膜 コーティ ングした。
実施例 3
(アルミェゥム部材を用いるプラズマ酸化)
図 2に示したプラズマ処理装置を用いて、 下記のプラズマ処理条 件で酸化処理を行い、 プラズマ処理室内壁のアルミニウム部材の酸 化状態を観察した。 観察した場所は、 図 3の模式断面図に示す通り であった。
プラズマ処理条件
A τ / O 2 = 1 0 0 0 / 1 0 ( s c c m)
圧力 : 6. 7 P a
プラズマ出力 : 2 5 0 0 W温度 : 4 0 0 °C
時間 : 6 0分
上記実験によ り得られた結果を、 表 1および図 4 ( a ) 〜 ( d ) の走査型電子顕微鏡 ( S EM) 写真、 および図 5のォージェ分析結 果に示す。
表 1
アルミ酸化膜の膜厚
Figure imgf000017_0001
上記した表 1の垂直部 ( 1 ) および水平部 ( 2 ) は、 図 3の模式 断面図に示した部分に対応する。
実施例 4
(アルミニウム · テス トピースを用いるプラズマ酸化)
図 2を参照して、 実施例 2による酸化膜形成処理を施したプラズ マ処理装置を用いて、 下記のプラズマ処理条件で、 アルミニウム - テス ト ピースの酸化を行った。
( 1 ) 酸化処理 圧力 : 6. 7 P a
プラズマ出力 : 3 5 0 0 W
温度 : 4 0 0 °C
時間 : 1 0分間 X 6回 =合計 6 0分
( 2 ) 窒化処理
A r /N 2 = 1 0 0 0 X 4 0 ( s c c m)
圧力 : 1 3 3 P a
プラズマ出力 : 3 5 0 0 W
温度 : 4 0 0 °C
時間 : 1 0分間 X 6回 =合計 6 0分
( 3 ) 酸化 · 窒化処理
上記した酸化および窒化処理を、 1 0分間、 3回づっ (すなわち 、 合計 6 0 0分間 ; 酸化→窒化の順で) 行った。
表 2
Figure imgf000018_0001
上記実験によ り得られた処理サンプルの S EMによる観察結果を 、 図 6 ( a ) 〜 ( e ) の写真に示す。
上記した表 2および図 6に示したように、 酸化処理においては、 1時間で 2 0 0 n mの深さまで酸化されたが、 窒化では、 殆ど変化 は見られなかった。 更に、 酸化処理によるアルミニウムの表面改質 効果は確認されたが、 処理後の表面は表面粗さが増大する傾向があ つた。
実施例 5
(金属コ ンタ ミネ一シ ョ ン測定)
図 2 を参照して、 実施例 1 のよ うに洗浄処理した後のシリ コンゥ ェハ (サンプル . ウェハ) Wを用いて、 以下に示す方法で、 プラズ マ処理室内でプラズマ発生させ、 サンプル . ウェハにおける金属コ ンタ ミネーショ ンを測定した。
上記によ り得られた測定結果を図 7および図 8のグラフに示す。
産業上の利用可能性
以上説明したよ う に、 本発明によれば、 被処理体に対するコ ンタ ミネ一ショ ンを抑制しつつ、 プラズマ処理室内壁のスパッタ リ ング およびエッチングをも効果的に防止したプラズマ処理装置およびプ ラズマ処理方法が提供される。

Claims

1 . 被処理体にプラズマ処理を行うためのプラズマ処理室と、 該被処理体を、 前記プラズマ処理室内に配置するための被処理体 保持手段と、
該プラズマ処理室内にプラズマを発生させるためのプラズマ発生 青
手段とを少なく とも含むプラズマ処理装置であって ;
前記プラズマ処理室内壁の少なく とも一部が、 前処理プラズマに 基づく酸化膜で覆われているこ とを特徴とするプラズマ処理装置。
2 . プラズマ処理装置の少なく と範も内壁部分を組み立てた後に 、 前記プラズマ処理室内壁を前処理プラズマに基づく酸化膜で覆つ たものである請求項 1 に記載のプラズマ処理装置。
3 . プラズマ処理装置の構成要素の少なく と も 1つを前処理プ ラズマに基づく酸化膜で覆った後に、 前記プラズマ処理装置の少な く と も内壁部分を組み立てたものである請求項 1 または 2に記載の プラズマ処理装置。
4 . 前記プラズマ処理室内壁のプラズマ照射される合計面積の 5 0 %以上が、 少なく とも 1 0 n mの厚さを有する酸化膜で覆われ ている請求項 1 〜 3のいずれかに記載のプラズマ処理装置。
5 . 被処理体にプラズマ処理を行う ためのプラズマ処理室と、 該被処理体を、 前記プラズマ処理室内に配置するための被処理体 保持手段と、
該プラズマ処理室内にプラズマを発生させるためのプラズマ発生 手段とを少なく と も含むプラズマ処理装置であって ;
前記プラズマ処理室内で基準となる被処理体を酸素含有ガスに基 づく プラズマで処理した際に、 該処理後の被処理体における A 1 、 C u 、 N aおよび F e の合計原子数が、 2 X 1 0 1 。 個以下である こ と を特徴とするプラズマ処理装置。
6. 酸化膜形成後の表面粗さ R a 2 と、 酸化膜形成処理前の表 面粗さ R a ! との比 (R a 2 /R a ! ) が 2以下である請求項 1〜 5のいずれかに記載のプラズマ処理装置。
7. 前記プラズマ処理室内壁の酸化膜の平均膜厚が、 1 0 n m である請求項 1〜 6のいずれかに記載のプラズマ処理装置。
8. 酸化膜形成処理前の前記プラズマ処理室内壁が、 金属から 構成されている請求項 1〜 7のいずれかに記載のプラズマ処理装置
9. 酸化膜形成前の前記プラズマ処理室内壁が、 セラ ミ ック石 英で覆われている金属からなる請求項 1〜 7のいずれかに記載のプ ラズマ処理装置。
1 0. 前記プラズマ発生手段が、 マイ ク ロ波を処理室内へ案内 するためのアンテナ手段である請求項 1〜 9のいずれかに記載のプ ラズマ処理装置。
1 1 . 前記アンテナ手段が、 複数のスロ ッ トを有する平面アン テナ (R L S A) 部材を含む請求項 1〜 1 0のいずれかに記載のプ ラズマ処理装置。
1 2. 被処理体にプラズマ処理を行うためのプラズマ処理室と ; 該被処理体を、 前記プラズマ処理室内に配置するための被処理体 保持手段と ; 該プラズマ処理室内にプラズマを発生させるためのプ ラズマ発生手段とを少なく とも含むプラズマ処理装置を構成する部 品であって ; 該部品の少なく と もプラズマ処理室內壁を構成する 表面部分が、 前処理プラズマに基づく酸化膜で覆われているこ とを 特徴とするプラズマ処理装置の部品。
1 3. 被処理体にプラズマ処理を行うためのプラズマ処理室と ; 該被処理体を、 前記プラズマ処理室内に配置するための被処理体 保持手段と ; 該プラズマ処理室内にプラズマを発生させるためのプ ラズマ発生手段とを少なく と も含むプラズマ処理装置を用い、 酸 素含有ガスに基づく前処理プラズマを前記プラズマ処理室内で発生 させて、 該プラズマ処理室の内壁の少なく と も一部に酸化膜を形成 するこ とを特徴とするプラズマ処理方法。
1 4 . 前記被処理体保持手段に被処理体を保持させて、 該被処 理体上に酸化膜形成を行う請求項 1 3に記載のプラズマ処理方法。
1 5 . 前記酸化膜形成を行うべき被処理体が、 プラズマ処理室 の少なく とも内壁を構成する部品である請求項 1 4に記載のプラズ マ処理方法。
1 6 . 前記プラズマ処理室を湿式洗浄した後に、 前記プラズマ 処理を行う請求項 1 3〜 1 5のいずれかに記載のプラズマ処理方法
1 7 . 前記湿式洗浄が、 酸洗浄を含む請求項 1 6に記載のブラ ズマ処理方法。
1 8 . 前記酸素含有ガスが、 酸素と水素を含む請求項 1 3〜 1 7のいずれかに記載のプラズマ処理方法。
1 9 . 前記酸素含有ガスが、 水を含む請求項 1 3〜 1 7 のいず れかに記載のプラズマ処理方法。
PCT/JP2003/014850 2002-11-20 2003-11-20 プラズマ処理装置およびプラズマ処理方法 WO2004047158A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/535,856 US7754995B2 (en) 2002-11-20 2003-11-20 Plasma processing apparatus and plasma processing method
AU2003284605A AU2003284605A1 (en) 2002-11-20 2003-11-20 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002336837A JP3946130B2 (ja) 2002-11-20 2002-11-20 プラズマ処理装置およびプラズマ処理方法
JP2002-336837 2002-11-20

Publications (1)

Publication Number Publication Date
WO2004047158A1 true WO2004047158A1 (ja) 2004-06-03

Family

ID=32321821

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/014850 WO2004047158A1 (ja) 2002-11-20 2003-11-20 プラズマ処理装置およびプラズマ処理方法

Country Status (5)

Country Link
US (1) US7754995B2 (ja)
JP (1) JP3946130B2 (ja)
AU (1) AU2003284605A1 (ja)
TW (1) TWI256069B (ja)
WO (1) WO2004047158A1 (ja)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
JP2006173413A (ja) * 2004-12-16 2006-06-29 Ulvac Japan Ltd 薄膜形成装置
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
JP5421551B2 (ja) * 2008-06-11 2014-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5158068B2 (ja) 2009-02-20 2013-03-06 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102619949B1 (ko) * 2016-05-16 2024-01-03 삼성전자주식회사 안테나, 그를 포함하는 마이크로파 플라즈마 소스, 플라즈마 처리 장치
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11577592B2 (en) * 2020-09-09 2023-02-14 Ford Global Technologies, Llc Continuous sealing flat assembly for unibody truck cargo box
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562914A (ja) * 1991-08-30 1993-03-12 Furukawa Electric Co Ltd:The 有機金属気相エピタキシヤル成長法
JPH07169700A (ja) * 1993-12-16 1995-07-04 Sanyo Electric Co Ltd 基板の処理装置
JPH08190994A (ja) * 1995-01-12 1996-07-23 Kokusai Electric Co Ltd プラズマ処理装置の電極
JPH09217166A (ja) * 1987-10-24 1997-08-19 Tadahiro Omi ステンレス鋼及びその製造方法並びに減圧装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPH0221598A (ja) 1988-07-07 1990-01-24 Nissin Electric Co Ltd Ecrプラズマ源およびその内壁膜の形成方法
JPH0375373A (ja) 1989-08-18 1991-03-29 Fujitsu Ltd プラズマ処理装置の清浄化方法
EP0725160A1 (en) * 1991-11-20 1996-08-07 OHMI, Tadahiro Method of forming passive oxide film based on chromium oxide and stainless steel
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5895586A (en) * 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US5814555A (en) * 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
JP4104026B2 (ja) * 1996-06-20 2008-06-18 財団法人国際科学振興財団 酸化不働態膜の形成方法並びに接流体部品及び流体供給・排気システム
AUPO425096A0 (en) * 1996-12-18 1997-01-16 University Of Queensland, The Radial line slot antenna
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19748240C2 (de) * 1997-10-31 2001-05-23 Fraunhofer Ges Forschung Verfahren zur korrosionsfesten Beschichtung von Metallsubstraten mittels Plasmapolymerisation und dessen Anwendung
US6475927B1 (en) * 1998-02-02 2002-11-05 Micron Technology, Inc. Method of forming a semiconductor device
JP3601988B2 (ja) 1999-01-04 2004-12-15 株式会社東芝 絶縁膜の形成方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6206973B1 (en) * 1999-04-23 2001-03-27 Silicon Valley Group Thermal System Llc Chemical vapor deposition system and method
US6423175B1 (en) * 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09217166A (ja) * 1987-10-24 1997-08-19 Tadahiro Omi ステンレス鋼及びその製造方法並びに減圧装置
JPH0562914A (ja) * 1991-08-30 1993-03-12 Furukawa Electric Co Ltd:The 有機金属気相エピタキシヤル成長法
JPH07169700A (ja) * 1993-12-16 1995-07-04 Sanyo Electric Co Ltd 基板の処理装置
JPH08190994A (ja) * 1995-01-12 1996-07-23 Kokusai Electric Co Ltd プラズマ処理装置の電極

Also Published As

Publication number Publication date
JP2004172397A (ja) 2004-06-17
AU2003284605A1 (en) 2004-06-15
TW200415685A (en) 2004-08-16
US7754995B2 (en) 2010-07-13
JP3946130B2 (ja) 2007-07-18
TWI256069B (en) 2006-06-01
US20060108331A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
JP3946130B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4338355B2 (ja) プラズマ処理装置
JP4256763B2 (ja) プラズマ処理方法及びプラズマ処理装置
US6706334B1 (en) Processing method and apparatus for removing oxide film
US20050136610A1 (en) Process for forming oxide film, apparatus for forming oxide film and material for electronic device
JP4979575B2 (ja) 基板の窒化処理方法および絶縁膜の形成方法
US20170356084A1 (en) Processing method of silicon nitride film and forming method of silicon nitride film
JPWO2008035678A1 (ja) プラズマクリーニング方法およびプラズマcvd方法
WO2007139141A1 (ja) 絶縁膜の形成方法および半導体装置の製造方法
TW201028804A (en) Substrate processing method
JP2004349546A (ja) 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
WO2020106386A1 (en) A cluster processing system for forming a transition metal material
JP5425361B2 (ja) プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
TW202125704A (zh) 用於形成互連結構之方法及設備
JP5860392B2 (ja) プラズマ窒化処理方法及びプラズマ窒化処理装置
JP2018142691A (ja) 半導体製造方法及びプラズマ処理装置
US20100175621A1 (en) Microwave Plasma Processing Apparatus
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
TWI828704B (zh) 電漿處理方法與用於電漿處理腔室的腔室部件及其製造方法
US20180247827A1 (en) Semiconductor manufacturing method and plasma processing apparatus
JP5442871B2 (ja) 半導体デバイスの製造方法および半導体デバイスの製造装置
WO2022059440A1 (ja) エッチング方法、プラズマ処理装置、及び基板処理システム
JP2018160656A (ja) 成膜方法、ボロン膜、及び成膜装置
JP2002353206A (ja) プラズマ処理装置
JP2019062045A (ja) ボロン系膜の平坦化方法およびボロン系膜の形成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref document number: 2006108331

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10535856

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10535856

Country of ref document: US