TWI416622B - Etching method and memory media - Google Patents

Etching method and memory media Download PDF

Info

Publication number
TWI416622B
TWI416622B TW097104925A TW97104925A TWI416622B TW I416622 B TWI416622 B TW I416622B TW 097104925 A TW097104925 A TW 097104925A TW 97104925 A TW97104925 A TW 97104925A TW I416622 B TWI416622 B TW I416622B
Authority
TW
Taiwan
Prior art keywords
etching
film
gas
plasma
processing
Prior art date
Application number
TW097104925A
Other languages
English (en)
Other versions
TW200845191A (en
Inventor
Toshihisa Nozawa
Kotaro Miyatani
Toshiyasu Hori
Shigekazu Hirose
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200845191A publication Critical patent/TW200845191A/zh
Application granted granted Critical
Publication of TWI416622B publication Critical patent/TWI416622B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

蝕刻方法及記憶媒體
本發明係關於一種藉由電漿對半導體基板等基板上形成之加氟碳膜進行蝕刻之蝕刻方法及憶記有用以實施該方法之程式之記憶媒體。
作為用以實現半導體裝置高積體化之方法之一係使配線多層化的技術,為了獲得多層配線結構,而必需以導電層連接鄰接之配線層間,而導電層以外之區域使用層間絕緣膜進行絕緣。自先前以來大多使用SiO2 來作為如此之層間絕緣膜,近來,因考慮到半導體裝置之小型化及高速化,而使配線間之電容降低,故而傾向於使層間絕緣膜低介電常數化。
作為如此低介電常數之層間絕緣膜,作為碳(C)及氟(F)之化合物之加氟碳膜(氟碳膜;CFx 膜)不斷受到關注。SiO2 膜之相對介電常數為4左右,與此相對,加氟碳膜可藉由選定原料氣體種類而使相對介電常數為2.5以下,非常適合於用作低介電常數層間絕緣膜。最近,藉由選定原料氣體及開發出可產生高密度且低電子溫度之電漿之CVD(chemical vapor deposition,化學氣相沈積)裝置而不斷獲得優質加氟碳膜,且該加氟碳膜進入實用化階段。
另一方面,作為對加氟碳膜進行蝕刻之方法有眾所周知有如下方法,即,使氫氣及氮氣電漿化,並藉由該電漿進行蝕刻(Materials Research Society Conference Proceeding,Volume V-14,Advanced Metallization Conference in 1998)。然而,當採用該方法時,氫將進入經蝕刻之加氟碳膜之側壁部,該氫與膜中之氟鍵結而生成氟化氫,導致對膜造成損害。又,後續步驟中於經蝕刻之凹部內會形成障壁金屬膜或嵌入金屬,當生成有氟化氫時,障壁金屬膜或金屬因受到腐蝕而損害,其結果與該等膜之密接性會變差。
作為解決上述問題的技術提議有如下技術,即,藉由含有如CF4 氣體般之Cx Fy (x、y為自然數)氣體之處理氣體的電漿而對加氟碳膜進行蝕刻(日本專利特開2005-123406號公報)。由此,可進行加氟碳膜受損少之蝕刻。
然而,若使用CF4 氣體等含Cx Fy 氣體對加氟碳膜進行蝕刻,則存在如下問題:對用作蝕刻遮罩之SiN或SiCN等硬質遮罩層之蝕刻選擇比低,加工形狀不充分。
本發明係鑒於上述情況而完成者,其目的在於提供一種蝕刻方法,該蝕刻方法不會造成損害且可以良好之加工形狀對加氟碳膜進行蝕刻。又,本發明之目的在於提供一種記憶有實施上述蝕刻方法之程式之記憶媒體。
為了解決上述問題,本發明之第1觀點提供一種蝕刻方法,其特徵在於,其係藉由電漿而對基板上形成之加氟碳膜進行蝕刻的蝕刻方法,其包括:藉由含氧處理氣體之電漿進行蝕刻之第1階段;及藉由含氟處理氣體之電漿進行蝕刻之第2階段。
本發明之第2觀點提供一種蝕刻方法,其特徵在於,其係對半導體基板上依序積層有加氟碳膜、硬質遮罩層及光阻膜之結構體進行蝕刻的蝕刻方法,其包括:以上述光阻膜為遮罩,藉由電漿對上述硬質遮罩層進行蝕刻的步驟;藉由電漿將上述光阻膜除去之步驟;及以上述硬質遮罩層為遮罩,並藉由電漿對上述加氟碳膜進行蝕刻之步驟;且上述加氟碳膜之蝕刻包括:藉由含氧處理氣體之電漿進行蝕刻之第1階段;及藉由含氟處理氣體之電漿進行蝕刻之第2階段。
於上述第2觀點中,上述硬質遮罩層含有Si系材料,當對上述硬質遮罩層進行蝕刻時,可使用含有Cx Fy (X、y為自然數)氣體之處理氣體之電漿。又,可使對上述硬質遮罩層之蝕刻進行至中途為止後,將上述光阻膜除去,繼續對硬質遮罩進行蝕刻,使上述加氟碳膜暴露出來。
本發明之第3觀點提供一種蝕刻方法,其特徵在於,其係對半導體基板上依序積層有銅配線層及加氟碳膜之結構體之加氟碳膜進行蝕刻之蝕刻方法,其包括:隔著蝕刻遮罩對上述加氟碳膜實施第1蝕刻之步驟;進行上述第1蝕刻之後,於加氟碳膜上形成矽系塗佈膜來充填蝕刻部分之步驟;於上述矽系塗佈膜之上形成蝕刻遮罩,並隔著該蝕刻遮罩對上述加氟碳膜實施第2蝕刻之步驟;將上述矽系塗佈膜除去之步驟,藉此,於上述氟加碳膜上形成溝槽及到達與上述銅配線層對應之位置之孔洞,上述第1及第2蝕刻包含:藉由含氧處理氣體之電漿進行蝕刻之第1階段;及 藉由含氟處理氣體之電漿進行蝕刻之第2階段。
上述第3觀點中,亦可於形成上述矽系塗佈膜之前,先實施如下步驟:對進行上述第1蝕刻後之加氟碳膜之表面上塗佈改善潤濕性表面改質劑,以對該加氟碳膜與上述矽系塗佈膜之間之潤濕性進行改善,使該等間之密接性良好。此時,可使用丙酮來作為上述改善潤濕性表面改質劑。
又,於上述第3觀點中,亦可於形成溝槽及孔洞之後實施如下步驟:對加氟碳膜之內壁表面塗佈抑制氟脫附表面改質劑,以對該加氟碳膜之內壁表面進行改質,抑制氟之脫附量。此時,可使用乙醇或甲醇來作為上述抑制氟脫附表面改質劑。
進而,於上述第3觀點中,亦可於形成溝槽及孔洞並使上述銅配線層暴露之後,實施如下步驟:對上述銅配線層之表面塗佈氨水,將上述銅配線層表面之自然氧化膜除去。此時,較好的是上述氨水中之氨濃度為0.25~5質量%,且較好的是上述氨水之溫度為0~30℃。
進而又於上述第3觀點中,可藉由上述第1蝕刻形成溝槽,且藉由上述第2蝕刻形成孔洞。
於上述第1至第3觀點中,上述加氟碳膜之蝕刻第1階段中所使用之含氧處理氣體,可使用含O2 氣之處理氣體。上述含O2 氣之處理氣體,可單獨使用O2 氣,亦可使用含有O2 氣及稀有氣體者,較好的是,上述加氟碳膜之蝕刻第1階段於13.3 Pa(100 mTorr)以下之壓力進行。
上述加氟碳膜之蝕刻第2階段中所使用之含氟處理氣體,可為含有Cx Fy (x、y為自然數)氣體者。此時,作為含氟處理氣體,可單獨使用Cx Fy (x、y為自然數)氣體,或者可使用含有Cx Fy (x、y為自然數)氣體及稀有氣體者。又,上述Cx Fy (x、y為自然數)氣體,可為含有CF4 氣體、C2 F6 氣體、C3 F6 氣體、C4 F6 氣體、C3 F8 氣體、C4 F8 氣體及C5 F8 氣體中之至少一種者。
較好的是,上述加氟碳膜之蝕刻係於上述第1階段與上述第2階段之間以不開放大氣(不使基板暴露於大氣中)之方式進行的。此時,上述第1階段與上述第2階段可於同一處理容器內進行,上述第1階段與上述第2階段亦可於不同之處理容器內進行,並於該等處理容器間不使基板於大氣開放中進行搬運。
上述加氟碳膜之蝕刻,可藉由電容耦合式電漿進行,亦可以藉由具有複數個槽孔之平面天線所放射出之微波而形成之電漿來進行。
本發明之第4觀點提供一種記憶媒體,其特徵在於,其係記憶有於電腦上進行動作,對電漿處理裝置進行控制之程式之記憶媒體,上述控制程式於執行時,使電腦對上述電漿處理裝置進行控制,以進行上述第1至第3觀點之蝕刻方法。
根據本發明,藉由下述第1階段及第2階段對加氟碳膜進行蝕刻,其中該第1階段係藉由含氧處理氣體,代表性的 是含O2 氣處理氣體之電漿進行蝕刻,該第2階段係藉由含氟處理氣體,代表性的是含Cx Fy (x、Y為自然數)氣體之處理氣體之電漿進行蝕刻,因此,第1階段可藉由含氧處理氣體進行對遮罩之選擇性高之蝕刻,形成良好之形狀,且可於進行第2階段之蝕刻時將因該第1階段之蝕刻而殘留於蝕刻面上之氧除去,故而可較佳形成蝕刻後之表面形狀。
以下,參照隨附圖式對本發明之實施形態加以說明。
圖1係表示可實施本發明蝕刻方法之電漿處理裝置之一例之剖面圖。該電漿處理裝置係藉由於上下對向設置之一對平行平板電極而形成電容耦合式電漿之類型。
如圖1所示之概略構成,該電漿處理裝置10具備形成為近似圓筒狀之處理腔室11,晶座支持台14隔著絕緣板13而配置於該處理腔室11之底部,於該晶座支持台14上配置有晶座15。晶座15兼具下部電極,晶圓W介隔靜電吸盤20而載置於其表面上。符號16為高通濾波器(HPF,High-pass Filter)。
於晶座支持台14之內部,設置有規定溫度之冷卻媒體進行循環之冷媒室17,藉此可將晶座15調整至所需之溫度。導入管18及排出管19連接於冷媒室17。而且,可藉由使冷媒進行循環而控制晶座15上之半導體晶圓W之處理溫度。
靜電吸盤20為絕緣材21之間配置有電極22之結構,藉由自直流電源23對電極22施加直流電壓,而將晶圓W靜電吸附於靜電吸盤20上。經由氣體通路24對晶圓W背面供給包 含He氣體之傳熱氣體,經由該傳熱氣體而將晶圓W之溫度調節為規定溫度。於晶座15之上端周緣部,以包圍靜電吸盤20上所載置之晶圓W周圍之方式,配置有用以提高蝕刻均勻性之環狀聚焦環25。
於晶座15之上方,以與晶座15相對向並隔著絕緣材32而支持於處理腔室11內部之狀態下設置有上部電極31。上部電極31包括具有多個噴口33之電極板34、及支持該電極板34之電極支持體35,且形成簇射狀。
於電極支持體35之中央設置有氣體導入口36,氣體供給管37連接於該氣體導入口36。氣體供給管37,與供給用以進行電漿處理之處理氣體之處理氣體供給部40連接。於處理氣體供給部40中設置有處理氣體供給源,該處理氣體供給源供給作為處理氣體之O2 氣體、Cx Fy 氣體例如CF4 氣體、N2 氣體、稀有氣體例如Ar氣體,可將該等處理氣體以規定流量供給至處理腔室11內。
排氣管41與處理腔室11之底部連接,排氣裝置45與該排氣管41連接,排氣裝置45具有渦輪分子泵等真空泵及壓力控制閥等,可將處理腔室11內設定為規定之減壓氣體環境。於處理腔室11之側壁部分上設置有閘閥42。
供給用以生成電漿之高頻功率之第1高頻電源50經由第1整合器51連接於上部電極31。該第1高頻電源50之頻率使用27~100 MHz左右之範圍。又,低通濾波器(LPF,lowpass filter)52連接於上部電極31。用以引入電漿中之離子之第2高頻電源60經由第2整合器61連接於作為下部電極 之晶座15。第2高頻電源60之頻率,使用例如300 kHz~13.56 MHz之範圍。
該電漿處理裝置10,具有包含對各構成部分進行控制之微處理器(電腦)之處理控制器70,各構成部分與該處理控制器70連接而受到控制。又,處理控制器70連接有使用者介面71,該使用者介面包括操作人員進行指令之輸入操作等以便於對電漿處理裝置10進行管理之鍵盤,及可視化顯示電漿處理裝置運轉狀況之顯示器等。
又,處理控制器70連接有記憶部72,該記憶部72中儲存有用以藉由處理控制器70之控制而實現由電漿處理裝置10來實施各種處理之控制程式,及用以根據處理條件來使電漿處理裝置10之各構成部分實施處理之程式即處理程式。處理程式儲存於記憶部72中之記憶媒體中。記憶媒體可為硬碟或半導體記憶體,亦可為CDROM(compact disk read only memory,唯讀光碟記憶體)、DVD(digital versatile disc,多樣化數位光碟)、快閃記憶體等可移動性記憶媒體。又,亦可自其它裝置,經由例如專用線路來適當地傳輸處理程式。
而且,根據需要,按照使用者介面71之指示等,自記憶部72呼叫任意處理程式,並使處理控制器70執行該處理程式,由此於處理控制器70之控制下,電漿處理裝置10進行所需之處理。
其次,參照圖2之流程圖及圖3步驟剖面圖,來對在上述電漿處理裝置中實施之本實施形態之電漿蝕刻方法加以說 明。
首先,如圖3(a)所示,準備具有如下結構之半導體晶圓W,該半導體晶圓W係於矽基板300上以例如10 nm之厚度形成有包含例如SiCN之蝕刻阻擋層301,於該蝕刻阻擋層301上以例如270 nm之厚度形成有加氟碳膜(CFx 膜)302,於該加氟碳膜302上以例如30 nm之厚度形成有包含含Si材料例如SiCN之硬質遮罩層303,於該硬質遮罩層303上以例如400 nm之厚度形成包含例如KrF光阻之光阻膜304,且藉由光微影步驟而使該光阻膜304形成圖案(步驟1)。
繼而,將上述結構之半導體晶圓搬入至圖1之電漿處理裝置10中,並載置於晶座15上(步驟2)。而且,如圖3(b)所示,對因光微影步驟之顯影處理而殘留之顯影殘渣305進行除渣處理(步驟3)。進行該處理時,使用例如Ar氣體及O2 氣體作為處理氣體,分別以例如135 mL/min(sccm)及65 mL/min(sccm)使該等處理氣體流動,使處理腔室11內之壓力為1.33 Pa(10 mTorr)左右,使施加之高頻功率為例如上部電極:500 W、下部電極:200W。
於進行上述除渣處理之後,如圖3(c)所示,以光阻膜304為蝕刻遮罩,對硬質遮罩層303蝕刻至中途為止(步驟4)。進行該處理時,使用例如N2 氣體及CF4 氣體作為處理氣體,分別以例如20~200 mL/min(sccm)例如30 mL/min,及60~200 mL/min例如90 mL/min(sccm)使該等處理氣體流動,使處理腔室11內之壓力為1.33~13.3 Pa(10~100 mTorr),例如為6 Pa(45 mTorr),使施加之高頻功率為上部 電極:0.8~1.8 W/cm2 ,例如為1.6 W/cm2 ,下部電極:0.18~0.45 W/cm2 ,例如為0.22 W/cm2
繼而,如圖3(d)所示,於硬質遮罩層303之厚度達到原膜厚之1/5~1/3左右時,暫時停止對硬質遮罩層303之蝕刻,將處理氣體轉換為O2 氣體,並藉由灰化而除去光阻膜104(步驟5)。進行該灰化處理時,使O2 氣體之流量為100~500 mL/min(sccm),例如以300 mL/min(sccm)流動,使處理腔室11內之壓力為0.67~6.7 Pa(5~50 mTorr),例如1.3 Pa(10 mTorr),使施加之高頻功率為上部電極:0.3~1.8 W/cm2 ,例如為0.37 W/cm2 ,下部電極:0.04~0.4 W/cm2 ,例如為0.14 W/cm2
如此般藉由灰化而除去光阻膜304之後,如圖3(e)所示,以與步驟4相同之條件,再次對硬質遮罩層303進行蝕刻,並使硬質遮罩層303貫通,使CFx 膜302露出(步驟6)。
其次,如圖3(f)所示,以硬質遮罩層303為蝕刻遮罩,對CFx 膜302進行第1階段蝕刻(步驟7)。使用含氧氣體,代表性的是含O2 氣體作為處理氣體來進行該處理。亦可單獨使用O2 氣體作為處理氣體,但考慮到形成穩定之電漿之觀點,較好的是添加Ar氣體等。此情形時,於下述條件下進行上述處理,即使O2 氣體之流量為40~150 mL/min(sccm),例如65 mL/min(sccm),Ar氣體之流量為80~300 mL/min(sccm),例如135 mL/min(sccm),處理腔室11內為13.3 Pa(100 mTorr)以下之低壓力條件,較好的是6.7 Pa(50 mTorr)以下,例如1.3 Pa(10 mTorr),施加之高頻 功率為上部電極:0.4~1.7 W/cm2 ,例如0.62 W/cm2 ,下部電極:0.2~0.55 W/cm2 例如0.4 W/cm2 ,且自由基較少。如此,藉由含氧氣體,代表性的是含O2 氣體來進行第1階段蝕刻,藉此可提高對含有含Si材料之硬質遮罩層303之選擇比,從而可形成良好之蝕刻形狀。上述日本專利特開2005-123406號公報中揭示之藉由Cx Fy 氣體進行之蝕刻中,對該種技術通常使用之SiCN、SiN等含Si硬質遮罩層未能獲得充分之選擇比,故形狀性不充分,但可如此藉由含氧氣體之蝕刻,而獲得充分之形狀性。
然而,由於上述第1階段蝕刻係以含氧氣體來進行者,故而若僅如此般則存在如下顧慮:氧會殘留於蝕刻面上,於其後形成金屬層時會導致金屬層被氧化。由此,於以含氧氣體進行第1階段蝕刻之後,如圖3(g)所示,藉由含氟氣體,代表性的是含有由Cx Fy (x、y為自然數)所示氣體之氣體進行第2階段蝕刻(步驟8)。於此情形時,亦可單獨使用Cx Fy 氣體進行蝕刻,亦可向該處理氣體中進而添加稀有氣體,例如Ar氣體。該第2階段蝕刻,亦可於第1階段蝕刻結束後,以極薄厚度進行蝕刻,使得殘留有氧之表面部分大致除去。作為由Cx Fy 所示之氣體,可例示CF4 氣體、C2 F6 氣體、C3 F6 氣體、C4 F6 氣體、C3 F8 氣體、C4 F8 氣體及C5 F8 氣體。此時之蝕刻條件如下所示,以100~400 mL/min(sccm),例如100 mL/min(sccm)之流量供給例如CF4 氣體,作為含氟氣體之Cx Fy (x、y為自然數)氣體,使處理腔室11內之壓力為0.67~5.3 Pa(5~40 mTorr),例如為1.3 Pa(10 mTorr),使施加之高頻功率為上部電極:0.4~0.9 W/cm2 ,例如為0.62 W/cm2 ,使對下部電極之偏壓為0~20 W/cm2 ,考慮到防止損害之觀點較好的是不施加偏壓。作為處理氣體,進而亦可含有Ar氣體等稀有氣體來作為稀釋氣體。
經過以上步驟後,結束對CFx 膜302之蝕刻。如此,藉由對CFx 膜302進行兩階段蝕刻,亦即使用含氧氣體之第1階段及使用含氟氣體之第2階段,而於第1階段中進行對遮罩之選擇性高且形狀性良好之低損害之蝕刻,且於第2階段中,用含氟氣體將因含氧氣體所導致殘留於蝕刻面上之氧較多的極薄部分除去,因此可形成良好之表面特性。由此,可實現兼有良好之形狀性及表面特性之CFx 膜之蝕刻。
再者,於該等處理中,較好的是使晶座15之溫度為10~30℃,且較好的是電極間之間隙為30~60 mm左右。
於以上示例中,於同一處理腔室內進行一系列步驟,但亦可使一個或複數個步驟於其它處理腔室內進行。藉此,可減少氣體更換及淨化次數,以提高處理量。此情形時,較好的是處理腔室間之半導體晶圓W搬運以不破真空之方式進行,尤其,CFx 膜302之第1階段蝕刻及第2階段蝕刻中此必要性較高。
如此,作為於複數個處理腔室間不破真空地搬運半導體晶圓W使之進行處理之系統,較好的是圖4所示之集束型製程設備式處理系統。該處理系統100具有4個處理單元101、102、103、104,該等各單元101~104分別對應於形 成為六邊形之搬運室105之4個邊而設置。又,搬運室105之其它兩個邊上分別設置有承載室106、107。該等承載室106、107之與搬運室105相反之側上,設置有搬入搬出室108,搬入搬出室108之與承載室106、10.7相反之側上,設置有裝載埠109、110、111,於該等裝載埠安裝有可收納半導體基板(半導體晶圓)W之3個載體C。
處理單元101~104、及承載室106、107,如圖4所示,介隔閘閥G而連接於搬運室105之各邊,該等係藉由打開所對應之閘閥G而與搬運室105連通,且藉由關閉所對應之閘閥G而與搬運室105隔離。又,於承載室106、107與搬入搬出室108連接之部分上亦設置有閘閥G,承載室106、107係藉由將所對應之閘閥G打開而與搬入搬出室108連通,且藉由將所對應之閘閥G關閉而與搬入搬出室108隔離。
搬運室105內設置有晶圓搬運裝置112,該晶圓搬運裝置112對處理單元101~104及承載室106、107搬入搬出半導體基板W。該晶圓搬運裝置112,配設於搬運室105之大致中央處,於可旋轉及伸縮之旋轉.伸縮部113之前端具有保持晶圓W之兩個葉片114a、114b,該等兩個葉片114a、114b以彼此朝向相反方向之方式安裝於旋轉.伸縮部113。再者,該搬運室5內保持為規定之真空度。
於搬入搬出室108之用以安裝載體C之3個裝載埠109、110、111中,分別設置有未圖示之擋板,於該等裝載埠109、110、111直接安裝有收納著晶圓W或空的載體C,於進行安裝時拆除擋板,防止外部氣體侵入且與搬入搬出室 108連通。又,於搬入搬出室108之側面上設置有對準腔室115,由此可進行半導體基板W之對準。
搬入搬出室108內設置有搬運裝置116,該搬運裝置116對載體C搬入搬出晶圓W及對承載室106、107搬入搬出半導體基板W。該搬運裝置116具有多關節臂結構,可沿著載體C之排列方向走行於導軌118上,使晶圓W載置於其前端之晶圓夾117上進行搬運。
該處理系統100具有包含微處理器(電腦)之處理控制器130,該處理控制器130對各構成部分即各處理單元及搬運系統、氣體供給系統等進行控制,各構成部分連接於該處理控制器130上而受到控制。該等處理控制器130連接有使用者介面131及記憶部132。該等處理控制器130、使用者介面131及記憶部132之構成,與上述處理控制器70、使用者介面71及記憶部72之構成相同。
於如此之處理系統100中,由處理單元101~104中之任一者來進行一部分步驟,其餘步驟由其它一個或兩個以上之處理單元來進行。例如,由一個處理單元來進行上述步驟3之除渣步驟、步驟4、6之硬質遮罩膜蝕刻步驟、步驟5之灰化步驟,而由其它處理單元來進行CFx 膜之第1階段蝕刻步驟,進而由其它處理單元來進行第2階段蝕刻步驟。於該情形時,由於利用保持為真空之搬運室105內之搬運裝置112搬運半導體晶圓W,故而即使於不同之處理腔室內進行一部分處理之情形時,無需破真空亦可進行半導體晶圓W之搬運,從而可防止蝕刻部分等預期外之氧化等。
其次,對將加氟碳膜用作低介電常數層間絕緣膜(Low-k膜),並將本發明蝕刻方法應用於鑲嵌製程之示例加以說明。圖5係表示該製造製程之流程圖,圖6係表示圖5之流程之步驟剖面圖。
首先,準備具有如下結構之晶圓W,即於Si基板400上形成有絕緣膜401,於該絕緣膜401之上部隔著障壁金屬層402而形成有Cu配線層403,於絕緣膜401及Cu配線層403之上形成有阻擋層(例如SiN膜或SiC膜)404,進而,形成作為Low-k膜之加氟碳膜405,於該加氟碳膜405上形成非晶形碳膜406、SiCO膜407及光阻膜408,並藉由光微影而於光阻膜408上形成用以形成溝槽之圖案(步驟201、圖6(a))。
其次,將光阻膜408作為遮罩,對SiCO膜407及非晶形碳膜406進行蝕刻(步驟202,圖6(b)),接著將SiCO膜407及非晶形碳膜406作為遮罩,對加氟碳膜405進行蝕刻,形成溝槽409(步驟203,圖6(c))。此時之蝕刻係藉由如上述般之兩階段蝕刻進行,此兩階段蝕刻為含氧氣體進行之第1階段蝕刻及含氟氣體進行之第2階段蝕刻。
其次,以充填溝槽409之方式,藉由旋塗形成矽系塗佈膜410來作為犧牲膜,並加以平坦化(步驟204,圖6(d))。該矽系塗佈膜為例如有機系含矽膜,且作為SOG(Spin On Glass,旋塗玻璃)而形成。該矽系塗佈膜410於藉由旋塗而形成之後,藉由烘烤處理進行煅燒熱固。
通常於形成如此矽系塗佈膜410之前,先對底層塗佈作 為用以提高密接性之塗佈劑的PGME(Polyethylene glycol monomethyl ether,丙二醇單甲基醚)或PGMEA(Propylene Glycol Monomethyl Ether Acetate,丙二醇單甲基醚乙酸酯),但因加氟碳膜405為疏水性,故而即使塗佈該PGME或PGMEA,加氟碳膜405與矽系塗佈膜410之間之潤濕性亦較差,故而密接性差,如圖7所示,會導致產生剝離或孔隙。當產生如此剝離.或孔隙時,則存在無法以正確之形狀進行蝕刻之不良情形。
為了防止產生上述不良情形,較好的是如圖8(a)所示,對加氟碳膜405之表面塗佈改善潤濕性表面改質劑411,該改善潤濕性表面改質劑411對加氟碳膜405之表面進行改質,以改善對矽系塗佈膜410之潤濕性從而使密接性良好。藉此,如圖8(b)所示,加氟碳膜405之表面成為改質表面405a,並如圖8(c)所示,於形成矽系塗佈膜410時,具有無剝離等之良好密接性。
作為該改善潤濕性表面改質劑411,可較佳地使用例如丙酮。丙酮可適當地使加氟碳膜405之表面粗糙,以使該加氟碳膜405與矽系塗佈膜410之密接性良好。作為該改善潤濕性表面改質劑411,除丙酮之外,可使用2-丁酮等低級酮類。作為改善潤濕性表面改質劑411之塗佈方法,較佳的是如下旋塗法,即一面使晶圓旋轉,一面經由噴嘴對晶圓表面供給丙酮等改善潤濕性表面改質劑411,但亦可將晶圓浸漬於儲存有改善潤濕性表面改質劑411之容器內。
實際上,於確認丙酮作為如此改善潤濕性表面改質劑411之效果後,於未塗佈有丙酮之情形時,如圖9(a)之SEM(scanning electron microscope,掃描式電子顯微鏡)照片所示,加氟碳膜與矽系塗佈膜之間產生有剝離,與此相對,於塗佈有丙酮之情形時,如圖9(b)之SEM照片所示,並未產生剝離。
該步驟204之塗佈矽系塗佈膜410之後,於該矽系塗佈膜410上形成光阻膜412,並藉由光微影而形成用以形成導通孔之圖案(步驟205,圖6(e))。其次,以光阻膜412作為遮罩,對加氟碳膜405進行蝕刻,形成導通孔413(步驟206,圖6(f))。此時之蝕刻藉由兩階段蝕刻進行,此兩階段為含氧氣體進行之第1階段蝕刻及含氟氣體進行之第2階段蝕刻。
於該導通孔413蝕刻之後,藉由使用DHF(Dilute hydrofluoric acid,稀釋氫氟酸)(例如1%氫氟酸)或BHF(Buffered hydrofluoric acid,緩衝氫氟酸)等之濕式處理將矽系塗佈膜410除去,進而藉由使用Cx Fy 系氣體之乾式蝕刻對阻擋層404進行蝕刻,從而使Cu配線層403露出(步驟207,圖6(g))。
此處,經過以上步驟之加氟碳膜405,因乾式蝕刻等造成之損害而導致氟之脫附量增加。當氟之脫附量增加時,存在如下顧慮,即於其後之熱步驟中加氟碳膜405與上層之密接性降低而產生剝離,或其後形成之障壁金屬(Ta、TaN、Ti等)會腐蝕、剝離。
為了防止上述情形,如圖10(a)所示,較好的是對加氟碳膜405之表面塗佈抑制氟脫附表面改質劑415,以對該加氟碳膜405之表面進行改質來抑制氟之脫附量。藉此,如圖10(b)所示,加氟碳膜405之表面成為改質表面405b,可有效地防止其後形成之障壁金屬受到腐蝕或上層剝離。
該抑制氟脫附表面改質劑415係將受到乾式蝕刻等損害之加氟碳膜405表面之游離氟除去及進行表面終止反應,以抑制氟脫附者,可使用揮發性高之有機溶劑,較佳的是乙醇或甲醇。作為抑制氟脫附表面改質劑415之塗佈方法,較佳的是下述旋塗法,即一面使晶圓旋轉,一面經由噴嘴對晶圓表面供給乙醇等抑制氟脫附表面改質劑415,但亦可將晶圓浸漬於儲存有抑制氟脫附表面改質劑415之容器內。
為了實際瞭解乙醇作為如此抑制氟脫附表面改質劑415之效果,而藉由TDS(Thermal Desorption Spectrometry,熱釋放譜)來確認氟之氣體脫附量,其結果如圖11所示,確認到因塗佈有乙醇而使氟脫附量減少。
另一方面,如圖6(g)所示,當Cu配線層403表面於暴露狀態下暴露於含氧氣體環境中時,於該Cu配線層403之表面上將形成自然氧化膜。又,亦有時會於該Cu配線層403之表面中混入雜質。若以該狀態將金屬填入導通孔,則導通孔之電阻會升高,使配線之電阻升高。
先前,用DHF(例如1%氫氟酸)或BHF將自然氧化膜除去,但存在對加氟碳膜405帶來損害,氟之脫附量增多之 傾向。又,雖亦對低損害藥劑進行研討,但該種藥劑昂貴,且因該種藥劑之成分不同而使得廢液處理複雜且耗費成本。
人們發現進行氨水處理可有效地除去自然氧化膜或雜質而又不會產生上述不良情形。由此,於圖6(g)之階段中,如圖12所示於Cu配線層403表面上形成自然氧化膜416之情形時,則如圖13所示,對Cu配線層403之表面塗佈氨水417。氨水不會對加氟碳膜405帶來損害,便可將Cu配線層403之自然氧化膜或雜質除去。又,氨水之價格亦低,亦容易進行廢液處理。
氨水與Cu氧化物之反應如下所示。
首先,氨水於平衡狀態下,產生以下之(1)式之反應。
NH3 +H2 O=NH4 +OH ………(1)
而且,Cu氧化物由以下(2)之反應而成為中間生成物之第1氫氧化銅(Cu(OH)2 )。
Cu+2OH =Cu(OH)2 ………(2)
(Cu(OH)2 )與過剩之NH3 藉由以下之(3)式之反應而生成錯離子。
Cu(OH)2 +4NH3 → [Cu(NH3 )4 ]2+ +2OH =[Cu(NH3 )4 ](OH)2 ………(3)
如此之錯離子可溶解於水,從而達到CuO溶解之狀態。
較好的是氨水之氨濃度為0.25~5質量%。於該範圍內可有效地產生上述反應,從而易於除去Cu之自然氧化膜。又,較好的是處理時間為1~5分鐘左右。較好的是溫度為0~30℃,作為氨水417之塗佈方法,較佳的是旋塗法,即 一面使晶圓旋轉,一面經由噴嘴對晶圓表面供給氨水417,但亦可將晶圓浸漬於儲存有氨水417之容器內。
實際確認到該氨水處理之效果。圖14係表示有無進行氨水處理時之TDS(total dissolved solid,溶解固體總量)變化之圖。如該圖所示可確認如下,藉由進行氨水處理而使氟之脫附量降低,且氨水處理不會對加氟碳膜405帶來損害。其次,對表面經Cu氧化處理之銅板,塗佈1%氨水並放置4分鐘後,對表面狀態進行確認,其結果確認到自圖15(a)之照片所示之狀態變為圖15(b)之照片所示之狀態,Cu氧化膜被除去。
可根據需要,於進行以上處理之後,於溝槽409及導通孔413之內壁形成障壁金屬膜420,進而藉由電解電鍍而將銅421作為配線金屬填入溝槽409及導通孔413(步驟208,圖6(h))。其後,藉由對晶圓W進行熱處理而對填入導通孔413、溝槽409中之銅421進行退火處理,進而藉由CMP(chemical mechanical polishing,化學機械研磨法)法進行平坦化處理(步驟209)。
藉此製造所需之半導體裝置。
以上說明中,表示了於進行鑲嵌製程時,先形成溝槽之後再形成導通孔之示例(溝槽先、導通孔後),但亦可使用先形成導通孔後再形成溝槽之方法(導通孔先、溝槽後)。
其次,對可實施本發明方法之其它電漿處理裝置進行說明。圖16是表示可應用本發明方法之其它電漿處理裝置之剖面圖。該電漿處理裝置200構成為RLSA微波電漿處理裝 置,該RLSA微波電漿處理裝置係藉由具有複數個槽孔之作為平面天線之RLSA(Radial Line Slot Antenna;輻射線狀槽孔天線)而將微波導入至處理室內使之產生電漿。
電漿處理裝置200具有氣密性構成之近似圓筒狀接地之處理腔室(處理容器)201,於其中對作為被處理體之半導體晶圓W進行蝕刻。於處理腔室201之上部,設置有用以將微波導入至處理空間中之微波導入部230。
於處理腔室201內,用以水平支持作為被處理體之半導體晶圓W之晶座205,以藉由隔著絕緣構件204a豎立設置於處理腔室201底部中央之筒狀支持構件204支持之狀態設置。
於晶座205之上表面,設置有靜電吸盤206。該靜電吸盤206,具有將包含導電膜之電極207設置於絕緣體206a內部之結構,藉由自直流電源208對電極207施加直流電壓,而使晶圓W靜電吸附於靜電吸盤206上。
於靜電吸盤206(半導體晶圓W)之周圍,配置有環狀聚焦環209以提高蝕刻之均勻性。
於晶座205之內部設置有規定溫度之冷卻媒體進行循環之冷媒室212,藉此可將晶座205調整至所需溫度。導入管214a及排出管214b連接於冷媒室212。而且,可藉由使冷媒循環而對晶座205上之半導體晶圓W之處理溫度進行控制。進而,經由氣體通路218對晶圓W背面供給傳熱氣體,例如He氣體,經由該傳熱氣體將晶圓之溫度調節至規定溫度。
又,高頻偏壓電源220經由整合器219電性連接於晶座205。藉由自該高頻偏壓電源220對晶座205供給高頻功率,而將離子引入至晶圓W側。高頻偏壓電源220,輸出頻率範圍為例如300 kHz~13.56 MHz範圍內之頻率功率。
排氣管225連接於處理腔室201之底部,包含真空泵之排氣裝置226連接於該排氣管225。排氣裝置226具有渦輪分子泵等真空泵及壓力控制閥等,可將處理腔室201內設定為規定之減壓氣體環境。於處理腔室201之側壁部分設置有閘閥242。
處理腔室201之上部為開口部,可氣密性配置微波導入部230,以堵塞該開口部。微波導入部230,自晶座205側依序具有透射板228、平面天線構件231、慢波材233,該等由屏蔽構件234、支撐環236及頂板229覆蓋。
透射板228包含介電體,並作為使微波透射而將微波導入至處理腔室201內之處理空間之微波導入窗口而發揮作用。透射板228係藉由環狀配置於微波導入部230之外周下方之頂板229而以氣密性狀態受到支持。
平面天線構件231呈圓板狀,於透射板228之上方位置上,卡止於屏蔽構件234之內周面。該平面天線構件231包括導體,用以放射微波等電磁波之多個槽孔232以規定圖案貫通形成,構成RLSA。
槽孔232例如如圖17所示呈長槽狀,代表性的是鄰接槽孔232彼此呈「T」字狀配置,且該等複數個槽孔232呈同心圓狀配置。槽孔232之長度或排列間隔,取決於慢波材 233中之微波波長(λg),例如槽孔232之間隔,以成為1/2λg或λg之方式配置。再者,槽孔232亦可為圓形、圓弧狀等其它形狀,並非限定於該配置形態。
慢波材233具有大於真空之介電常數,且設置於平面天線構件231之上表面。該慢波材23.3包含介電體,因於真空中微波之波長變長,故而具有使微波之波長變短以對電漿進行調整之功能。
於屏蔽構件234形成有冷卻水流路234a,藉由使冷卻水流經該冷卻水流路234a中,而對屏蔽構件234、慢波材233、平面天線231、透射板228進行冷卻。再者,屏蔽構件234為接地。
於屏蔽構件234之中央,形成有開口部234b,波導管237連接於該開口部234b。微波產生裝置239經由匹配電路238連接於該波導管237之端部。藉此,微波產生裝置239中產生之例如頻率為2.45 GHz之微波經由波導管237而傳送至上述平面天線構件231。作為微波頻率,亦可使用8.35 GHz、1.98 GHz等。
波導管237具有自上述屏蔽構件234之開口部234b向上方延伸之剖面圓形之同軸波導管237a,及經由模式轉換器240連接於該同軸波導管237a上端部之沿水平方向延伸之矩形波導管237b。矩形波導管237b與同軸波導管237a之間之模式轉換器240,具有將以TE(Transverse electric,橫向電波)模式傳播於矩形波導管237b內之微波轉換為TEM(transverse electromagnetic,橫向電磁波)模式之功能。內 導體241延伸至同軸波導管237a之中心,內導體241之下端部連接固定於平面天線構件231之中心。藉此,微波經由同軸波導管237a之內導體241呈放射狀高效地向平面天線構件231均勻傳播。
於處理腔室201內之晶座205與微波導入部230之間,水平設置有用以導入處理氣體之簇射板251。該簇射板251,亦如圖18所示,具有形成為柵格狀之氣體流路252,及形成於該氣體流路252中之多個氣體噴出孔253,柵格狀氣體流路252之間為空間部254。延伸至處理腔室201外側之氣體供給管255連接於該簇射板251之氣體流路252。氣體供給管255,連接於供給用以進行電漿處理之處理氣體之處理氣體供給部260。處理氣體供給部260中設置有處理氣體供給源,此處理氣體供給源供給O2 氣體、Cx Fy 氣體例如CF4 氣體、N2 氣體、稀有氣體例如Ar氣體,可將該等處理氣體以規定流量供給至處理腔室201內。
另一方面,於處理腔室201之簇射板251之上方位置,沿腔室壁設置著環狀電漿氣體導入構件265,於該電漿氣體導入構件265之內周設置有多個氣體噴出孔。供給作為電漿氣體之Ar氣體之配管267連接於該電漿氣體導入構件265。而且,經由配管267及氣體導入構件265而導入至處理腔室201內之Ar氣體,藉由經由微波導入部230導入至處理腔室201內之微波而電漿化,該Ar電漿將通過簇射板251之空間部254自簇射板251之氣體噴出孔253噴出的處理氣體電漿化。
該電漿處理裝置200具有包含對各構成部分進行控制之微處理器(電腦)之處理控制器270,各構成部分連接於該處理控制器270而受到控制。使用者介面271及記憶部272連接於處理控制器270。該等處理控制器270、使用者介面271及記憶部272之構成,與第1實施形態之處理控制器70、使用者介面71及記憶部72之構成相同。
如此構成之電漿處理裝置,將晶圓W搬入至處理腔室201內,並載置於晶座205上之後,繼而,經由配管267及氣體導入構件265將Ar氣體導入至處理腔室201內,並且將來自微波產生裝置239之微波,經由匹配電路238導入至波導管237,並使之依序通過矩形波導管237b、模式轉換器240及同軸波導管237a後,經由內導體241供給至平面天線構件231,自平面天線構件231之槽孔,經由透射板228使之放射至處理腔室201內。微波於矩形波導管237b內以TE模式傳送,該TE模式微波由模式轉換器240轉換為TEM模式,並於同軸波導管237a內向平面天線構件231傳送,自平面天線構件231經過透射板228而放射至微波處理腔室201內,藉由該微波而使作為電漿生成氣體之Ar氣體電漿化。
其次,藉由自處理氣體供給部260以規定流量供給規定之處理氣體,而使該處理氣體受到通過簇射板251之空間部254之Ar電漿激發而電漿化,藉由該等電漿而實施規定之電漿處理。
此時所形成之電漿,藉由自平面天線構件231之多個槽 孔232放射出微波,而成為大致1×1011 ~5×1012 /cm3 之高密度,且於晶圓W附近為1.5 eV以下之低電子溫度電漿。藉此,可進行損害更小之蝕刻。
如此之電漿處理裝置200之電漿處理,亦可對應於上述步驟3~8中之任一步驟,且可根據上述電漿處理裝置10之條件來進行處理,尤其適合於步驟8之使用包含由Cx Fy (x、y為自然數)所示之氣體之氣體等的CFx 膜302之第2階段蝕刻。由於該第2階段蝕刻僅將第1階段蝕刻後之CFx 膜302表層之極薄部分除去,故而期望對膜之損害小,RLSA微波電漿如上述,可藉由高電漿密度且低電子溫度之電漿而實現損害小之電漿處理,因此適合於如此之蝕刻。
其次,對將本發明之蝕刻方法加以實際應用之實驗進行說明。首先,使用200 mm之矽晶圓,於矽基板上以10 nm厚度形成作為蝕刻阻擋層之SiCN膜,於該SiCN膜上以例如270 nm厚度形成CFx 膜,於該CFx 膜上以例如30 nm厚度形成作為硬質遮罩層之SiCN膜,於該SiCN膜上以400 nm厚度形成包含例如KrF光阻之光阻膜,藉由光微影步驟將該光阻膜形成圖案寬度為200 nm左右之圖案,從而製造具有圖3(a)所示結構之晶圓,對該晶圓藉由圖1所示之裝置,首先,進行除去顯影殘渣之除渣步驟。分別以135 mL/min(sccm)及65 mL/min(sccm)之流量使Ar氣體及O2 氣體流動,並使處理腔室內之壓力為1.33 Pa(10 mTorr),使施加高頻功率為上部電極:500 W、下部電極:200W,且使電極間間隙為55 mm而使上述步驟進行10秒鐘。繼而,以光 阻膜為蝕刻遮罩,對作為硬質遮罩層之SiCN膜蝕刻至中途為止。以30 mL/min及90 mL/min(Sccm)使N2 氣體及CF4 氣體流動,使處理腔室內為6 Pa(45 mTrorr),使施加高頻功率為上部電極:500 W、下部電極:100 W,電極間間隙為60 mm,進行18秒鐘上述蝕刻,以將硬質遮罩層蝕刻至原膜厚度之1/4左右。其後,藉由灰化將光阻膜除去。以300 mL/min(sccm)之流量供給O2 氣體,使處理腔室內為1.3 Pa(10 mTorr),使施加高頻功率為上部電極:300 W、下部電極:250 W,使電極間間隙為55 mm,使上述灰化進行18秒鐘。其後以與上述條伴相同之條件,對硬質遮罩層之殘部進行10秒鐘蝕刻,使CFx 膜露出。
其次,以硬質遮罩層為蝕刻遮罩進行CFx 膜之第1階段蝕刻。此處,以65 mL/min(sccm)之流量供給O2 氣體,以135 mL/min(sccm)之流量供給Ar氣體,使處理腔室內為1.3 Pa(10 mTorr)之低壓條件,使施加高頻功率為上部電極:500 W、下部電極:150 W,使電極間間隙為55 mm,進行12秒鐘之上述第1階段蝕刻,繼而,進行第2階段蝕刻。此處,將CF4 氣體作為處理氣體,以100 mL/min(sccm)之流量供給至處理腔室內,使處理腔室內為1.3 Pa(10 mTorr),使施加高頻功率為上部電極;500 W,且未對下部電極施加偏壓,使電極間間隙為60 mm,進行7秒鐘之上述第2階段蝕刻。
於上述條件下進行第1階段蝕刻時及進行第2階段蝕刻時,晶圓樣品剖面之掃描顯微鏡(SEM)照片,分別如圖19 及圖20所示。圖19係對溝槽進行蝕刻之照片,圖20係對孔洞進行蝕刻之照片。如圖19所示可確認到,以O2 +Ar氣體對CFx 膜進行蝕刻,藉此實現大致垂直之形狀性良好之蝕刻。然而,亦確認出表面上殘留有氧,表面特性惡化。與此相對,以O2 +Ar氣體進行蝕刻後,以CF4 氣體進行蝕刻(兩階段蝕刻),藉此如圖20所示可確認到,可進行形狀性及表面特性均良好之蝕刻。
再者,為了進行比較,可確認到僅以CF4 氣體對CFx 膜進行蝕刻之結果,如圖21所示,蝕刻形狀成為梯形,形狀性惡化。
又,將經兩階段蝕刻之樣品,及於晶圓上形成有CFx 膜之樣品加熱至400℃為止,藉由TDS確認到氣體成分(F氣體與HF氣體)釋放,其結果為如圖22及圖23所示之結果。再者,該等圖中,將於晶圓上形成有CFx 膜之樣品之資料,記作"No Treat"。根據該等圖,經兩階段蝕刻之樣品自CFx 膜單體之TDS資料來看脫附氣體減少,從而可確認本發明中之兩階段蝕刻之有效性。
其次,分別以(1)CF4 +Ar、(2)H2 +N2 、(3)O2 +Ar對CFx 膜進行蝕刻。此處,使用圖16之微波電漿處理裝置進行蝕刻。於(1)中,以下述基準條件進行蝕刻,流量:CF4 /Ar=200/200 mL/min(sccm),微波功率:2 kW,偏壓:250 W,壓力:0.93 Pa(7 mTorr),晶座溫度:30℃;於(2)中,以下述基準條件進行蝕刻,流量:H2 /N2 =200/200 mL/min(sccm),微波功率:2 kW,偏壓:250 W,壓力:2.66 Pa(20 mTorr),晶座溫度:30℃;於(3)中,以下述基準條件進行蝕刻,流量:O2 /Ar=500/500 mL/min(sccm),微波功率:2 kW,晶座溫度:30℃,並使壓力為106 Pa(800 mTorr)及5.3 pa(40 mTorr)。首先,藉由XPS(X-ray Photoelectron Spectroscopy,X射線光電子光譜法)對該等蝕刻後之樣品及蝕刻前之樣品進行表面分析。圖24係蝕刻前之CFx 之XPS分布圖,圖25係以(1)之CF4 +Ar進行蝕刻之情形時之XPS分布圖,圖26係以(2)之H2 +N2 進行蝕刻之情形時之XPS分布圖,圖27係以(3)之O2 +Ar進行蝕刻之情形時之XPS分布圖。XPS分布圖基本上是表示碳(Cls)、氧(Ols)/氟(Fls)之分布,於(2)之H2 +N2 之情形時,除該等之外,還表示氮(Nls)之分布。根據該等分布圖,進行成分分析之結果示於表1中。
於以(1)之CF4 +Ar進行蝕刻之情形時,對圖24及圖25加以比較而明確,未看到XPS分布圖中有較大變化,如表1所示可確認出,F量增加若干程度,成分與蝕刻前相比未 有太大變化,膜維持良好。另一方面,於以(2)之H2 +N2 進行蝕刻之情形時,對圖24及圖26加以比較而明確,XPS分布圖變化較大,如表1所示可確認出,F極端減少,且進入有N,對膜造成損害。又,於以(3)之O2 +Ar進行蝕刻之情形時,對圖24及圖27加以比較而明確,未發現XPS分布圖中有較大變化,如表1所示可確認出,C及F之比率無大變動,膜維持良好,但表面之氧量增多。
其次,藉由TDS而確認使進行了上述(1)~(3)蝕刻之樣品之溫度上升至400℃為止的過程中F之釋放。其結果示於圖28~30中。圖28係以(1)之CF4 +Ar進行蝕刻之情形,圖29係以(2)之H2 +N2 進行蝕刻之情形,圖30係以(3)之O2 +Ar進行蝕刻之情形。如該等所示,於以(1)之CF4 +Ar進行蝕刻之情形時,與未進行蝕刻之樣品(圖中以"No Treatment"線表示)相比,F之釋放無較大變化,以(2)之H2 +N2 進行蝕刻之情形時,與未進行蝕刻之樣品相比,F之釋放較多,於以(3)之O2 +Ar進行蝕刻時,於壓力為5.3 Pa(40 mTorr)之情形時,與未進行蝕刻之樣品相比,可發現F之釋放無較大變化,但於壓力為106 Pa(800 mTorr)之情形時,可發現F之釋放。
根據以上各種氣體之蝕刻結果,於藉由含O2 氣體對CFx 膜進行之第1階段蝕刻之後,藉由含CF4 氣體對該CFx 膜進行第2階段蝕刻之情形時,可認為未對CFx 膜造成較大損害。又,若考慮到F之釋放,則可確認重要的是,第1階段之使用含O2 氣體進行之蝕刻應於與自由基相比,離子蝕刻 占主導地位之低壓區域(具體而言為13.3 Pa(100 mTorr)以下)中進行。
再者,本發明並未限定於上述實施形態,可於本發明之主旨範圍內進行各種變形。例如,上述實施形態中,例示了以藉由平行平板型電漿處理裝置而形成之電容耦合式電漿、及藉由具有複數個槽孔之平面天線所放射出之微波而形成之電漿進行蝕刻,但並非限定於此。又,亦可以不同之電漿源進行CFx 膜之第1階段蝕刻及第2階段蝕刻,例如,亦可以平行平板型電容耦合電漿進行第1階段蝕刻,而以藉由具有複數個槽孔之平面天線所放射出之微波而形成之電漿進行第2階段蝕刻。
[產業上可利用性]
根據本發明,可對加氟碳膜(CFx 膜)進行形狀性良好之蝕刻,且不會使之受到損害,由此可將該CFx 膜用作低介電常數層間絕緣膜(Low-k),於以鑲嵌法製造具有Cu配線層等之多層配線結構之半導體裝置時尤為有效。
10、200‧‧‧電漿處理裝置
11、201‧‧‧處理腔室
13‧‧‧絕緣板
14‧‧‧晶座支持台
15、205‧‧‧晶座
16‧‧‧高通濾波器
17‧‧‧冷媒室
18‧‧‧導入管
19‧‧‧排出管
20、206‧‧‧靜電吸盤
21、32‧‧‧絕緣材
22、207‧‧‧電極
23、208‧‧‧直流電源
24、218‧‧‧氣體通路
25、209‧‧‧聚焦環
31‧‧‧上部電極
33‧‧‧噴口
34‧‧‧電極板
35‧‧‧電極支持體
36‧‧‧氣體導入口
37、255‧‧‧氣體供給管
40、260‧‧‧處理氣體供給部
41、225‧‧‧排氣管
42、242、G‧‧‧閘閥
45、226‧‧‧排氣裝置
50‧‧‧第1高頻電源
51‧‧‧第1整合器
52‧‧‧低通濾波器
60‧‧‧第2高頻電源
61‧‧‧第2整合器
70、、130、270‧‧‧處理控制器
71、131、271‧‧‧使用者介面
72、、132、272‧‧‧記憶部
101、102、103、104‧‧‧處理單元
105‧‧‧搬運室
106、107‧‧‧承載室
108‧‧‧搬入搬出室
109、110、111‧‧‧裝載埠
112、116‧‧‧搬運裝置
113‧‧‧旋轉.伸縮部
114a、114b‧‧‧葉片
115‧‧‧對準腔室
117‧‧‧晶圓夾
204‧‧‧支持構件
204a‧‧‧絕緣構件
206a‧‧‧絕緣體
219‧‧‧整合器
220‧‧‧高頻偏壓電源
230‧‧‧微波導入部
231‧‧‧平面天線構件
232‧‧‧槽孔
233‧‧‧慢波材
234‧‧‧屏蔽構件
234a‧‧‧冷卻水流路
236‧‧‧支撐環
237‧‧‧波導管
237a‧‧‧同軸波導管
237b‧‧‧矩形波導管
238‧‧‧匹配電路
239‧‧‧微波產生裝置
240‧‧‧模式轉換器
241‧‧‧內導體
251‧‧‧簇射板
252‧‧‧氣體流路
253‧‧‧氣體噴出孔
254‧‧‧空間部
300‧‧‧矽基板
301‧‧‧蝕刻阻擋層
302、405‧‧‧加氟碳膜
303‧‧‧硬質遮罩層
400‧‧‧Si基板
401‧‧‧絕緣膜
402‧‧‧障壁金屬層
403‧‧‧Cu配線層
404‧‧‧阻擋層
405a‧‧‧改質表面
406‧‧‧非晶形碳膜
407‧‧‧SiCO膜
408、412‧‧‧光阻膜
409‧‧‧溝槽
410‧‧‧矽系塗佈膜
411‧‧‧改善潤濕性表面改質劑
413‧‧‧導通孔
417‧‧‧氨水
420‧‧‧障壁金屬膜
421‧‧‧銅
C‧‧‧載體
W‧‧‧晶圓
圖1係表示可實施本發明蝕刻方法之電漿處理裝置之一例之剖面圖。
圖2係表示本發明一實施形態之蝕刻方法之步驟之流程圖。
圖3(a)~(g)係表示本發明一實施形態之蝕刻方法之步驟之步驟剖面圖。
圖4係表示可實施本發明蝕刻方法之集束型製程設備型 處理系統之平面圖。
圖5係表示將加氟碳膜用作Low-k膜,且將本發明蝕刻方法應用於鑲嵌製程之示例之流程圖。
圖6(a)-(h)係用以說明圖5之流程之步驟剖面圖。
圖7係表示形成溝槽之後作為犧牲膜而塗佈之矽系塗佈膜與加氟碳膜之間之狀態之圖。
圖8(a)-(c)係表示加氟碳膜表面上塗佈有改善潤濕性表面改質劑之狀態、及其後形成有矽系塗佈膜之狀態之圖。
圖9(a)-(b)係表示未塗佈有作為改善潤濕性表面改質劑之丙酮的情形及塗佈有作為改善潤濕性表面改質劑之丙酮之情形時加氟碳膜與矽系塗佈膜之間之狀態之圖。
圖10(a)-(b)係表示加氟碳膜表面上塗佈有抑制氟脫附表面改質劑之狀態、及其表面經改質之狀態之圖。
圖11係表示形成溝槽及導通孔之後,於加氟碳膜上顯示出作為抑制氟脫附表面改質劑之乙醇效果之氟之TDS分布圖。
圖12係表示形成溝槽及導通孔之後,於Cu配線層表面上形成有自然氧化膜之狀態之圖。
圖13係表示形成有圖12之自然氧化膜時於Cu配線層表面上塗佈氨水後之狀態之圖。
圖14係表示有否經氨處理之氟之TDS之圖。
圖15(a)-(b)係表示經Cu氧化處理之銅板的表面狀態、及對該銅板實施氨處理後之表面狀態之圖。
圖16係表示可實施本發明蝕刻方法之電漿處理裝置之其 它例之剖面圖。
圖17係表示圖16之電漿處理裝置中所使用之平面天線構件結構之圖。
圖18係表示圖16電漿處理裝置中所使用之簇射板結構之平面圖。
圖19係表示本發明蝕刻方法之第1階段蝕刻結束後之晶圓樣品剖面之掃描顯微鏡照片。
圖20係表示本發明蝕刻方法之第2階段蝕刻結束後之晶圓樣品剖面之掃描顯微鏡照片。
圖21係表示用CF4 氣體對CFx 膜進行蝕刻後之晶圓樣品剖面之掃描顯微鏡照片。
圖22係表示將經兩階段蝕刻之樣品及晶圓上形成有CFx 膜之樣品加熱至400℃為止時F氣體釋放之TDS分布圖。
圖23係表示將經兩階段蝕刻之樣品及晶圓上形成有CFx 膜之樣品加熱至400℃為止時HF氣體釋放之TDS分布圖。
圖24係表示蝕刻前之CFx 膜之XPS分布圖。
圖25係表示用CF4 氣體及Ar氣體進行蝕刻後之CFx 膜之XPS分布圖。
圖26係表示用H2 氣體及N2 氣體進行蝕刻後之CFx 膜之XPS分布圖。
圖27係表示用O2 氣體及Ar氣體進行蝕刻後之CFx 膜之XPS分布圖。
圖28係表示用CF4 氣體及Ar氣體對CFx 膜進行蝕刻後,使該CFx 膜之溫度上升至400℃為止之過程中F釋放之TDS分 布圖。
圖29係表示用H2 氣體及N2 氣體對CFx 膜進行蝕刻後,使該CFx 膜之溫度上升至400℃為止之過程中F釋放之TDS分布圖。
圖30係表示用O2 氣體及Ar氣體對CFx 膜進行蝕刻後,使該CFx 膜之溫度上升至400℃為止之過程中F釋放之TDS分布圖。
10‧‧‧電漿處理裝置
11‧‧‧處理腔室
13‧‧‧絕緣板
14‧‧‧晶座支持台
15‧‧‧晶座
16‧‧‧高通濾波器
17‧‧‧冷媒室
18‧‧‧導入管
19‧‧‧排出管
20‧‧‧靜電吸盤
21、32‧‧‧絕緣材
22‧‧‧電極
23‧‧‧直流電源
24‧‧‧氣體通路
25‧‧‧聚焦環
31‧‧‧上部電極
33‧‧‧噴口
34‧‧‧電極板
35‧‧‧電極支持體
36‧‧‧氣體導入口
37‧‧‧氣體供給管
40‧‧‧處理氣體供給部
41‧‧‧排氣管
42‧‧‧閘閥
45‧‧‧排氣裝置
50‧‧‧第1高頻電源
51‧‧‧第1整合器
52‧‧‧低通濾波器
60‧‧‧第2高頻電源
61‧‧‧第2整合器
70‧‧‧處理控制器
71‧‧‧使用者介面
72‧‧‧記憶部

Claims (9)

  1. 一種蝕刻方法,其係對半導體基板上依序積層有銅配線層及加氟碳膜之結構體之加氟碳膜進行蝕刻之蝕刻方法,其包括:隔著蝕刻遮罩對上述加氟碳膜實施第1蝕刻之步驟;進行上述第1蝕刻之後,於加氟碳膜上形成矽系塗佈膜來充填蝕刻部分之步驟;於上述矽系塗佈膜之上形成蝕刻遮罩,並隔著該蝕刻遮罩對上述加氟碳膜實施第2蝕刻之步驟;及將上述矽系塗佈膜除去之步驟;藉此,於上述加氟碳膜上形成溝槽及到達與上述銅配線層對應之位置之孔洞;上述第1及第2蝕刻包含:藉由含氧處理氣體之電漿進行蝕刻之第1階段;及藉由含氟處理氣體之電漿進行蝕刻之第2階段。
  2. 如請求項1之蝕刻方法,其中該蝕刻方法包括如下步驟:於形成上述矽系塗佈膜之前,對進行上述第1蝕刻後之加氟碳膜之表面上,塗佈改善潤濕性表面改質劑,以對該加氟碳膜與上述矽系塗佈膜之間之潤濕性進行改善,使該等間之密接性良好。
  3. 如請求項2之蝕刻方法,其中使用丙酮作為上述改善潤濕性表面改質劑。
  4. 如請求項1之蝕刻方法,其中該蝕刻方法包括如下步驟:於形成溝槽及孔洞之後,對加氟碳膜之內壁表面塗 佈抑制氟脫附表面改質劑,以對該加氟碳膜之內壁表面進行改質,抑制氟之脫附量。
  5. 如請求項4之蝕刻方法,其中上述抑制氟脫附表面改質劑係乙醇或甲醇。
  6. 如請求項1之蝕刻方法,其中該蝕刻方法包括於形成溝槽及孔洞且使上述銅配線層露出之後,對上述銅配線層之表面塗佈氨水,以將上述銅配線層表面之自然氧化膜除去之步驟。
  7. 如請求項6之蝕刻方法,其中上述氨水之氨濃度為0.25~5質量%。
  8. 如請求項6之蝕刻方法,其中上述氨水之溫度為0~30℃。
  9. 如請求項1之蝕刻方法,其中藉由上述第1蝕刻形成溝槽,且藉由上述第2蝕刻形成孔洞。
TW097104925A 2007-02-09 2008-02-05 Etching method and memory media TWI416622B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007031162 2007-02-09
JP2007123820A JP4919871B2 (ja) 2007-02-09 2007-05-08 エッチング方法、半導体装置の製造方法および記憶媒体

Publications (2)

Publication Number Publication Date
TW200845191A TW200845191A (en) 2008-11-16
TWI416622B true TWI416622B (zh) 2013-11-21

Family

ID=39838590

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097104925A TWI416622B (zh) 2007-02-09 2008-02-05 Etching method and memory media

Country Status (4)

Country Link
US (1) US8383519B2 (zh)
JP (1) JP4919871B2 (zh)
CN (1) CN101606234B (zh)
TW (1) TWI416622B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5167052B2 (ja) * 2008-09-30 2013-03-21 パナソニック株式会社 ドライエッチング方法
JP2010129950A (ja) * 2008-12-01 2010-06-10 Panasonic Corp 半導体装置及びその製造方法
JP5487469B2 (ja) 2010-03-29 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
JP6140576B2 (ja) * 2013-08-27 2017-05-31 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
CN104516138B (zh) * 2013-09-29 2017-09-22 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
JP6033496B2 (ja) 2013-11-06 2016-11-30 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法
US9768033B2 (en) * 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
JP6328524B2 (ja) * 2014-08-29 2018-05-23 東京エレクトロン株式会社 エッチング方法
JP6339963B2 (ja) * 2015-04-06 2018-06-06 東京エレクトロン株式会社 エッチング方法
JP6817692B2 (ja) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
KR102227883B1 (ko) 2016-12-14 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 급속 열 활성화 공정과 함께 플라즈마를 이용하는 원자층 에칭 공정
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
KR20200091526A (ko) * 2019-01-22 2020-07-31 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN114833045B (zh) * 2021-02-01 2023-07-25 江苏菲沃泰纳米科技股份有限公司 Pecvd镀膜系统和镀膜方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW483027B (en) * 1999-03-09 2002-04-11 Tokyo Electron Ltd Semiconductor device and method of making same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0467655A (ja) * 1990-07-09 1992-03-03 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP3400918B2 (ja) 1996-11-14 2003-04-28 東京エレクトロン株式会社 半導体装置の製造方法
JP3202657B2 (ja) * 1997-05-23 2001-08-27 日本電気株式会社 半導体装置の製造方法
JP4260764B2 (ja) * 1999-03-09 2009-04-30 東京エレクトロン株式会社 半導体装置の製造方法
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
JP3683570B2 (ja) * 2003-02-19 2005-08-17 松下電器産業株式会社 半導体装置の製造方法
JP2005123406A (ja) * 2003-10-16 2005-05-12 Tokyo Electron Ltd プラズマエッチング方法。
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
JP2006165189A (ja) * 2004-12-06 2006-06-22 Nec Electronics Corp 半導体装置の製造方法
JP4599212B2 (ja) * 2005-04-15 2010-12-15 株式会社日立ハイテクノロジーズ プラズマ処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW483027B (en) * 1999-03-09 2002-04-11 Tokyo Electron Ltd Semiconductor device and method of making same

Also Published As

Publication number Publication date
CN101606234A (zh) 2009-12-16
TW200845191A (en) 2008-11-16
US8383519B2 (en) 2013-02-26
CN101606234B (zh) 2011-02-09
JP2008218959A (ja) 2008-09-18
US20100279510A1 (en) 2010-11-04
JP4919871B2 (ja) 2012-04-18

Similar Documents

Publication Publication Date Title
TWI416622B (zh) Etching method and memory media
US9418858B2 (en) Selective etch of silicon by way of metastable hydrogen termination
US9159606B1 (en) Metal air gap
US9412608B2 (en) Dry-etch for selective tungsten removal
US9881805B2 (en) Silicon selective removal
US9355862B2 (en) Fluorine-based hardmask removal
US9373522B1 (en) Titanium nitride removal
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US8642481B2 (en) Dry-etch for silicon-and-nitrogen-containing films
US9064816B2 (en) Dry-etch for selective oxidation removal
US20160005833A1 (en) Feol low-k spacers
US7432209B2 (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20160218018A1 (en) Vertical gate separation
US20130298942A1 (en) Etch remnant removal
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
TW201946098A (zh) 用於鹵化物驅氣的處理系統及方法
TWI761455B (zh) 半導體製造方法及電漿處理裝置
KR101179111B1 (ko) 에칭 방법 및 기억 매체
TWI791106B (zh) 處理系統及處理方法
US7456111B2 (en) Plasma etching method and plasma etching apparatus
CN108511389B (zh) 半导体制造方法和等离子体处理装置
US20160068969A1 (en) Integrated processing for microcontamination prevention

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees