CN101606234A - 蚀刻方法及存储介质 - Google Patents

蚀刻方法及存储介质 Download PDF

Info

Publication number
CN101606234A
CN101606234A CNA2008800044252A CN200880004425A CN101606234A CN 101606234 A CN101606234 A CN 101606234A CN A2008800044252 A CNA2008800044252 A CN A2008800044252A CN 200880004425 A CN200880004425 A CN 200880004425A CN 101606234 A CN101606234 A CN 101606234A
Authority
CN
China
Prior art keywords
gas
fluorine
etching
engraving method
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008800044252A
Other languages
English (en)
Other versions
CN101606234B (zh
Inventor
野沢俊久
宫谷光太郎
堀寿靖
广瀬繁和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority claimed from PCT/JP2008/051862 external-priority patent/WO2008096752A1/ja
Publication of CN101606234A publication Critical patent/CN101606234A/zh
Application granted granted Critical
Publication of CN101606234B publication Critical patent/CN101606234B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种蚀刻方法,是利用等离子体对在基板上形成的添加氟的碳膜进行蚀刻的蚀刻方法,其具有:利用含有氧的处理气体的等离子体来进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。

Description

蚀刻方法及存储介质
技术领域
本发明涉及利用等离子体对形成于半导体基板等基板上的添加氟的碳膜进行蚀刻的蚀刻方法以及储存了用于执行该方法的程序的存储介质。
背景技术
作为用于实现半导体装置的高集成化的方法之一,有将布线多层化的技术,为了形成多层布线结构,需要将相邻的布线层之间用导电层连接,将导电层以外的区域利用层间绝缘膜绝缘。作为此种层间绝缘膜以往多使用SiO2膜,然而近来从半导体设备的微细化及高速化的观点出发,为了降低布线间的电容,正趋向层间绝缘膜的低介电常数化。
作为此种低介电常数的层间绝缘膜,属于碳(C)及氟(F)的化合物的添加氟的碳膜(氟碳膜:CFx膜)受到关注。相对于SiO2膜的比介电常数为4左右,添加氟的碳膜可以通过选择原料气体的种类而将比介电常数做到2.5以下,作为低介电常数层间绝缘膜来说是极为有效的。最近,由于原料气体的选定或以高密度发生低电子温度的等离子体的CVD装置的开发,已经可以获得优质的膜,并达到能够实用化的阶段。
另一方面,作为蚀刻添加氟的碳膜的方法,已知有将氢气及氮气等离子体化、利用该等离子体来蚀刻的方法(Materials Research SocietyConference Proceedings、Volume V-14、Advanced MetallizationConference in 1998)。但是,如果采用该方法,则氢会进入被蚀刻的添加氟的碳膜的侧壁部,该氢与膜中的氟结合而生成氟化氢,对膜造成损伤。另外,在被蚀刻的凹部内,在下一工序中形成屏蔽金属膜或嵌入金属,然而如果生成氟化氢,则会腐蚀屏蔽金属膜或金属而造成损伤,其结果是,使这些膜的粘接性变差。
作为解决此种问题的技术,提出过利用含有CF4气体之类的CxFy(x、y为自然数)气体的处理气体的等离子体对添加氟的碳膜进行蚀刻的技术(日本特开2005-123406号公报)。这样,就可以进行对添加氟的碳膜的损伤少的蚀刻。
但是,如果将添加氟的碳膜用CF4气体等含CxFy的气体来进行蚀刻,则对作为蚀刻掩模使用的SiN或SiCN等硬质掩模层的蚀刻选择比低,会有加工形状不够充分的问题。
发明内容
本发明是鉴于该情况而完成的,目的在于,提供一种可以不产生损伤地并且以良好的加工形状对添加氟的碳膜进行蚀刻的蚀刻方法。另外,目的还在于,提供一种储存了执行此种方法的程序的存储介质。
为了解决上述问题,本发明的第一观点中,提供一种蚀刻方法,是利用等离子体对形成于基板上的添加氟的碳膜进行蚀刻的蚀刻方法,其特征在于,具有:利用含有氧的处理气体的等离子体进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
本发明的第二观点中,提供一种蚀刻方法,是对在半导体基板上依次层叠了添加氟的碳膜、硬质掩模层及抗蚀剂膜的构造体进行蚀刻的蚀刻方法,其特征在于,具有:将上述抗蚀剂膜作为掩模而利用等离子体来蚀刻上述硬质掩模层的工序、将上述抗蚀剂膜利用等离子体除去的工序、将上述硬质掩模层作为掩模而利用等离子体来蚀刻上述添加氟的碳膜的工序,上述添加氟的碳膜的蚀刻具有:利用含有氧的处理气体的等离子体进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
上述第二观点中,上述硬质掩模层由Si系材料构成,在对上述硬质掩模层进行蚀刻时,可以使用含有CxFy(x、y为自然数)气体的处理气体的等离子体。另外,在将上述硬质掩模层蚀刻到中途后,可以除去上述抗蚀剂膜,接下来继续蚀刻硬质掩模而使上述添加氟的碳膜露出。
本发明的第三观点中,提供一种蚀刻方法,是对在半导体基板上依次层叠了铜布线层及添加氟的碳膜的构造体的添加氟的碳膜进行蚀刻的蚀刻方法,其特征在于,具有:隔着蚀刻掩模对上述添加氟的碳膜实施第一蚀刻的工序;在进行了上述第一蚀刻后,在添加氟的碳膜上形成硅系涂布膜而对蚀刻部分进行填充的工序;在上述硅系涂布膜之上形成蚀刻掩模,隔着该蚀刻掩模对上述添加氟的碳膜实施第二蚀刻的工序;除去上述硅系涂布膜的工序,由此在上述添加氟的碳膜上形成沟槽及达到与上述铜布线层对应的位置的孔,上述第一及第二蚀刻具有:利用含有氧的处理气体的等离子体进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
上述第三观点中,也可以在形成上述硅系涂布膜之前,实施如下的工序,即,在进行了上述第一蚀刻之后的添加氟的碳膜的表面,涂布用于改善与上述硅系涂布膜之间的浸润性而使它们之间的粘接性良好的浸润性改善表面改性剂。该情况下,作为上述浸润性改善表面改性剂,可以使用丙酮。
另外,上述第三观点中,在形成了沟槽及孔后,也可以实施如下的工序,即,在添加氟的碳膜的内壁表面,涂布用于将其表面改性而抑制氟的脱离量的氟脱离抑制表面改性剂。该情况下,作为上述氟脱离抑制表面改性剂,可以使用乙醇或甲醇。
此外,上述第三观点中,在形成沟槽及孔,上述铜布线层露出后,也可以实施如下的工序,即,在上述铜布线层的表面涂布氨水,将上述铜布线层的表面的自然氧化膜除去的工序。该情况下,上述氨水的氨浓度优选为0.25~5质量%,上述氨水的温度优选为0~30℃。
此外,上述第三观点中,可以利用上述第一蚀刻形成沟槽,利用上述第二蚀刻形成孔。
上述第一到第三观点中,作为上述添加氟的碳膜的蚀刻的第一阶段中所用的含有氧的处理气体,可以使用含有O2气体的处理气体。上述含有O2气体的处理气体可以单独使用O2气体,或者使用由O2气体及稀有气体混合的气体。上述添加氟的碳膜的蚀刻的第一阶段优选以13.3Pa(100mTorr)以下的压力进行。
作为上述添加氟的碳膜的蚀刻的第二阶段中所用的含有氟的处理气体,可以设为含有CxFy(x、y为自然数)气体的气体。该情况下,作为含有氟的处理气体,可以设为单独的CxFy(x、y为自然数)气体,或者设为由CxFy(x、y为自然数)气体及稀有气体构成的气体。另外,上述CxFy(x、y为自然数)气体可以设为由CF4气体、C2F6气体、C3F6气体、C4F6气体、C3F8气体、C4F8气体及C5F8气体中的至少一种构成的气体。
上述添加氟的碳膜的蚀刻优选在上述第一阶段与上述第二阶段之间不向大气开放地(不将基板暴露于大气中地)进行。该情况下,既可以将上述第一阶段与上述第二阶段在相同的处理容器内进行,也可以将上述第一阶段与上述第二阶段在不同的处理容器内进行,将基板在这些处理容器之间不向大气开放地搬送。
上述添加氟的碳膜的蚀刻既可以利用电容耦合型的等离子体来进行,也可以利用由从具有多个狭缝的平面天线中辐射出的微波形成的等离子体来进行。
本发明的第四观点中,提供一种存储介质,是储存了由计算机执行的、用于控制等离子体处理装置的程序的存储介质,其特征在于,上述控制程序在执行时,以进行上述第一至第三观点的蚀刻方法的方式,使计算机控制上述等离子体处理装置。
根据本发明,由于利用:以含有氧的处理气体、典型地是含有O2气的处理气体的等离子体进行蚀刻的第一阶段;以含有氟的处理气体、典型地是含有CxFy(x、y是自然数)气体的处理气体的等离子体进行蚀刻的第二阶段来蚀刻添加氟的碳膜,因此可以在第一阶段中,利用含有氧的处理气体进行对于掩模的选择性高的蚀刻而使其形状良好,可以将在因该第一阶段的蚀刻而残存于蚀刻面上的氧在第二阶段的蚀刻之时除去,从而可以使蚀刻后的表面形状良好。
附图说明
图1是表示可以实施本发明的蚀刻方法的等离子体处理装置的一例的剖面图。
图2是表示本发明的一个实施方式的蚀刻方法的工序的流程图。
图3是表示本发明的一个实施方式的蚀刻方法的工序的工序剖面图。
图4是表示能够实施本发明的蚀刻方法的集束设备(cluster tool)型的处理系统的俯视图。
图5是表示使用添加氟的碳膜作为Low-k膜而将本发明的蚀刻方法应用于大马士革镶嵌工艺(damascene process)中的例子的流程图。
图6是用于说明图5的流程的工序剖面图。
图7是表示在形成了沟槽后作为牺牲膜涂布的硅系涂布膜与添加氟的碳膜之间的状态的图。
图8是表示在添加氟的碳膜的表面涂布了浸润性改善表面改性剂的状态、和其后形成了硅系涂布膜的状态的图。
图9是表示未涂布作为浸润性改善表面改性剂的丙酮时和涂布时的、添加氟的碳膜与硅系涂布膜之间的状态的图。
图10是表示在添加氟的碳膜的表面涂布了氟脱离抑制表面改性剂的状态、和其表面被改性的状态的图。
图11是表示在形成沟槽及通孔(via)后在添加氟的碳膜上作为氟脱离抑制表面改性剂的乙醇的效果的氟的TDS曲线(profile)的图。
图12是表示在形成了沟槽及通孔后在Cu布线层的表面形成自然氧化膜的状态的图。
图13是表示在图12的自然氧化膜被形成时在Cu布线层的表面涂布了氨水的状态的图。
图14是表示有无由氨处理而造成的氟的TDS的图。
图15是表示进行了Cu氧化处理的铜板的表面状态、和对该铜板实施氨处理时的表面状态的图。
图16是表示可以实施本发明的蚀刻方法的等离子体处理装置的其他例子的剖面图。
图17是表示图16的等离子体处理装置中所用的平面天线构件的构造的图。
图18是表示图16的等离子体处理装置中所用的喷淋板的构造的俯视图。
图19是表示本发明的蚀刻方法的第一阶段的蚀刻结束后的晶片样品的剖面的扫描显微镜照片。
图20是表示本发明的蚀刻方法的第二阶段的蚀刻结束后的晶片样品的剖面的扫描显微镜照片。
图21是表示用CF4气体蚀刻CFx膜的情况下的晶片样品的剖面的扫描显微镜照片。
图22是表示进行了2阶段蚀刻的样品、在晶片上形成了CFx膜的样品被加热到400℃时的F气体的释放的TDS曲线。
图23是表示进行了2阶段蚀刻的样品、在晶片上形成了CFx膜的样品被加热到400℃时的HF气体的释放的TDS曲线。
图24是表示蚀刻前的CFx膜的XPS曲线的图。
图25是表示用CF4气体及Ar气体蚀刻后的CFx膜的XPS曲线的图。
图26是表示用H2气体及N2气体蚀刻后的CFx曲线的图。
图27是表示用O2气体及Ar气体蚀刻后的CFx膜的XPS曲线的图。
图28是表示在将CFx膜用CF4气体及Ar气体蚀刻后使温度上升到400℃的过程中的F的释放的TDS曲线的图。
图29是表示在将CFx膜用H2气体及N2气体蚀刻后使温度上升到400℃的过程中的F的释放的TDS曲线的图。
图30是表示在将CFx膜用O2气体及Ar气体蚀刻后使温度上升到400℃的过程中的F的释放的TDS曲线的图。
具体实施方式
下面,参照附图对本发明的实施方式进行说明。
图1是表示可以实施本发明的蚀刻方法的等离子体处理装置的一例的剖面图。该等离子体处理装置是利用上下对置地设置的一对平行平板电极形成电容耦合型等离子体的类型的装置。
如图1中表示的概略构成所示,该等离子体处理装置10具备以近似圆筒状形成的处理室11,在其底部隔着绝缘板13配置有基座支承台14,在其上配置有基座15。基座15兼作下部电极,在其上面隔着静电卡盘20放置有晶片W。符号16是高通滤波器(HPF)。
在基座支承台14的内部,设有循环流动规定温度的冷却介质的制冷剂室17,这样就可以将基座15调整为所需的温度。在制冷剂室17上连接有导入管18及排出管19。这样,通过使制冷剂循环,就可以控制基座15上的半导体晶片W的处理温度。
静电卡盘20形成为在绝缘材料21之间配置有电极22的构造,通过从直流电源23对电极22施加直流电压,晶片W就被静电吸附在静电卡盘20上。经由气体通路24向晶片W的背面供给由He气体构成的传热气体,借助该传热气体将晶片W调节到规定温度。在基座15的上端周缘部,按照将放置于静电卡盘20上的晶片W的周围包围的方式配置有用于提高蚀刻的均匀性的环状的聚焦环25。
在基座15的上方,与基座15相对置地,以隔着绝缘材料32被支承在处理室11的内部的状态设有上部电极31。上部电极31由具有多个喷出口33的电极板34、支承该电极板34的电极支承体35构成,形成喷水器状。
在电极支承体35的中央,设有气体导入口36,在其上连接着气体供给管37。气体供给管37与供给用于等离子体处理的处理气体的处理气体供给部40连接。在处理气体供给部40中,设有供给作为处理气体的O2气体;CxFy气体,如CF4气体;N2气体;稀有气体,如Ar气体的处理气体供给源,从而能够将这些处理气体以规定的流量向处理室11内供给。
在处理室11的底部,连接有排气管41,在该排气管41上连接有排气装置45。排气装置45具备蜗轮分子泵等真空泵及压力控制阀等,能够将处理室11内设定为规定的减压气氛。在处理室11的侧壁部分,设有门阀42。
在上部电极31上,通过第一匹配器51连接有供给等离子体生成用的高频功率的第一高频电源50。作为该第一高频电源50的频率使用27~100MHz左右的范围。另外,在上部电极31上连接有低通滤波器(LPF)52。在作为下部电极的基座15上,通过第二匹配器61连接有用于将等离子体中的离子引入的第二高频电源60。作为第二高频电源60的频率,例如使用300kHz~13.56MHz的范围。
该等离子体处理装置10具有由用于控制各构成部的微处理器(计算机)构成的过程控制器70,形成将各构成部与该过程控制器70连接而被控制的构成。另外,在过程控制器70上,连接有操作者为了管理等离子体处理装置10而进行命令的输入操作等的键盘、由将等离子体处理装置的工作状况可视化显示的显示器等构成的用户界面71。
另外,在过程控制器70上,连接有存储部72,该存储部72保存有配方,该配方即为用于利用过程控制器70的控制来实现由等离子体处理装置10所执行的各种处理的控制程序;和用于根据处理条件使等离子体处理装置10的各构成部执行处理的程序。配方储存在存储部72的存储介质中。存储介质既可以是硬盘或半导体存储器,也可以是CDROM、DVD、闪存存储器等移动式的存储部件。另外,还可以从其他的装置,例如经由专用线路适当地传输配方。
这样,通过根据需要,利用来自用户界面71的指示等将任意的配方从存储部72中调出而使过程控制器70执行该配方,就可以在过程控制器70的控制下,利用等离子体处理装置10进行期望的处理。
下面,参照图2的流程图及图3的工序剖面图,对在此种等离子体处理装置中所实施的本实施方式的等离子体蚀刻方法进行说明。
首先,准备如图3的(a)所示的半导体晶片W(步骤1),该半导体晶片W以如下方式形成:在硅基板300上例如以10nm的厚度形成例如由SiCN构成的蚀刻阻挡层301,再在上面例如以270nm的厚度形成添加氟的碳膜(CFx膜)302,再在上面例如30nm的厚度形成例如由SiCN构成的硬质掩模层303,再在上面例如以400nm的厚度形成例如由KrF抗蚀剂构成的抗蚀剂膜304,利用光刻工序对该抗蚀剂膜304进行图案形成。
然后,将此种构造的半导体晶片搬入到图1的等离子体处理装置10中,放置于基座15上(步骤2)。此后,如图3的(b)所示,对由光刻工序的显影处理残存的显影残渣305进行清除浮渣处理(步骤3)。该处理是作为处理气体例如使用Ar气体及O2气体,将它们例如分别以135mL/min(sccm)及65mL/min(sccm)流过,将处理室11内的压力设为1.33Pa(10mTorr)左右,将所施加的高频功率例如设为上部电极:500W、下部电极:200W而进行的。
此种清除浮渣处理之后,如图3的(c)所示,将抗蚀剂膜304作为蚀刻掩模而将硬质掩模层303蚀刻到中途(步骤4)。该处理是作为处理气体例如使用N2气体及CF4气体,将它们例如分别以20~200mL/min(sccm)、例如30mL/min;及60~200mL/min、例如90mL/min(sccm)流过,将处理室11内的压力设为1.33~13.3Pa(10~100mTorr),例如设为6Pa(45mTorr),将所施加的高频功率设为上部电极:0.8~1.8W/cm2,例如1.6W/cm2;下部电极:0.18~0.45W/cm2,例如0.22W/cm2而进行的。
此后,如图3的(d)所示,在硬质掩模层303的厚度达到原来的厚度的1/5~1/3左右时,暂时地停止硬质掩模层303的蚀刻,将处理气体切换为O2气体而将抗蚀剂膜104利用灰化除去(步骤5)。该灰化处理是将O2气体的流量设为100~500mL/min(sccm),例如设为300mL/min(sccm)而流过,将处理室11内设为0.67~6.7Pa(5~50mTorr),例如设为1.3Pa(10mtorr),将所施加的高频功率设为上部电极:0.3~1.8W/cm2,例如0.37W/cm2;下部电极:0.04~0.4W/cm2,例如0.14W/cm2而进行的。
在像这样利用灰化除去抗蚀剂膜304后,如图3的(e)所示,在与步骤4相同的条件下再次开始硬质掩模层303的蚀刻,将硬质掩模层303贯穿,露出CFx膜302(步骤6)。
然后,如图3的(f)所示,将硬质掩模层303作为蚀刻掩模而进行CFx膜302的第一阶段的蚀刻(步骤7)。该处理是作为处理气体利用含有氧的气体,典型地讲是利用含有O2气体的气体来进行的。虽然O2气体也可以是单独的,然而从形成稳定的等离子体的观点考虑,优选添加Ar气体等。该情况下,将O2气体的流量设为40~150mL/min(sccm),例如设为65mL/min(sccm),将Ar气体设为80~300mL/min(sccm),例如设为135mL/min(sccm),将处理室11内设为13.3Pa(100mTorr)以下的低压条件,优选设为6.7Pa(50mTorr)以下,例如设为1.3Pa(10mTorr),将所施加的高频功率设为上部电极:0.4~1.7W/cm2,例如0.62W/cm2;下部电极:0.2~0.55W/cm2,例如0.4W/cm2,在自由基少的条件下进行。像这样,通过利用含有氧的气体,典型地讲是利用含有O2的气体来进行第一阶段的蚀刻,就可以提高对于由含Si的材料构成的硬质掩模层303的选择比,使得蚀刻形状良好。在上述日本特开2005-123406号公报中所公开的利用CxFy气体的蚀刻中,对于在该种即使中通常所用的SiCN、SiN等含Si的硬质掩模层无法取得足够的选择比,形状性不够充分,然而像这样利用含有氧的蚀刻,就可以获得足够的形状性。
但是,由于该第一阶段的蚀刻是用含有氧的气体进行的,因此如果是保持原样地进行,则会在蚀刻面残存氧,在其后形成金属层时有可能发生氧化。所以,在用含有氧的气体进行了第一阶段的蚀刻后,如图3的(g)所示,利用含有氟的气体,典型地讲是含有以CxFy(x、y为自然数)表示的气体的气体来进行第二阶段的蚀刻(步骤8)。此时,也可以是单独的CxFy气体,也可以在该CxFy气体中加入稀有气体例如Ar气体。该第二阶段的蚀刻只要以极薄的厚度进行蚀刻,达到将在第一阶段的蚀刻结束后残存氧的表面部分除去的程度即可。作为以CxFy表示的气体,可以例示出CF4气体、C2F6气体、C3F6气体、C4F6气体、C3F8气体、C4F8气体及C5F8气体。作为此时的蚀刻条件,将作为属于含有氟的气体的CxFy(x、y为自然数)气体的例如CF4气体,以100~400mL/min(sccm),例如100mL/min(sccm)的流量供给,将处理室11内设为0.67~5.3Pa(5~40mTorr),例如1.3Pa(10mTorr),将所施加的高频功率设为上部电极:0.4~0.9W/cm2,例如0.62W/cm2,对下部电极的偏置为0~20W/cm2,从防止损伤的观点考虑,优选不施加地进行。作为处理气体,也可以还含有Ar气体等稀有气体作为稀释气体。
利用如上所述的工序,来结束CFx膜302的蚀刻。通过像这样以使用了含有氧的气体的第一阶段、使用了含有氟的气体的第二阶段的两个阶段来进行CFx膜302的蚀刻,就可以在第一阶段进行对掩模的选择性高、形状性良好的低损伤的蚀刻,在第二阶段,由于将因含氧气体而残存于蚀刻面上的氧多的极薄的部分利用含有氟的气体除去,因此就可以使表面性状良好。由此,就可以实现兼具了良好的形状性和表面性状的CFx膜的蚀刻。
而且,这些处理中,基座15的温度优选设为10~30℃,电极间隙优选为30~60mm左右。
以上的例子中,虽然将一连串的工序在同一处理室中进行,然而也可以将一个或多个工序在其他的处理室中进行。这样,就可以减少气体的切换或清洗的次数而提高生产量。该情况下,处理室间的半导体晶片W的搬送优选不破坏真空地进行。对于CFx膜302的第一阶段的蚀刻与第二阶段的蚀刻来说,该必要性尤其高。
像这样,作为在多个处理室间不破坏真空地搬送半导体晶片W而进行处理的系统,优选如图4所示的集束设备型的处理系统。该处理系统100具备4个处理单元101、102、103、104,这些各单元101~104分别与形成六边形的搬送室105的4个边对应地设置。另外,在搬送室105的另外2个边上分别设有真空加载互锁室106、107。在这些真空加载互锁室106、107的与搬送室105相反的一侧,设有搬入搬出室108,在搬入搬出室108的与真空加载互锁室106、107相反的一侧,设有安装能够收容半导体基板(半导体晶片)W的3个承载架C的端口109、110、111。
处理单元101~104以及真空加载互锁室106、107如同图所示,借助门阀G与搬送室105的各边连接,它们通过将对应的门阀G打开而与搬送室105连通,通过将对应的门阀G关闭而与搬送室105隔断。另外,在真空加载互锁室106、107的与搬入搬出室108连接的部分也设有门阀G,真空加载互锁室106、107通过将对应的门阀G打开而与搬入搬出室108连通,通过将对应的门阀G关闭而与搬入搬出室108隔断。
在搬送室105内,设有针对处理单元101~104、真空加载互锁室106、107进行半导体基板W的搬入搬出的晶片搬送装置112。该晶片搬送装置112配设于晶片搬送室105的大致中央处,在能够旋转及伸缩的旋转伸缩部113的头端具有保持晶片W的2个刀片114a、114b,这2个刀片114a、114b被相互朝向相反方向地安装于旋转伸缩部113上。而且,该搬送室5内被保持为规定的真空度。
在搬入搬出室108的承载架C安装用的3个端口109、110、111中分别设有未图示的闸门,在这些端口109、110、111上直接安装收容了晶片W的、或空的承载架C,在安装时闸门被拆下,一边防止外部气体的侵入,一边与搬入搬出室108连通。另外,在搬入搬出室108的侧面设有对准室115,在这里进行半导体基板W的对准。
在搬入搬出室108内,设有相对于承载架C进行晶片W的搬入搬出及相对于真空加载互锁室106、107进行半导体基板W的搬入搬出的搬送装置116。该搬送装置116具有多关节臂构造,能够沿着承载架C的排列方向在轨道118上行走,在其头端的手117上放置晶片W而进行其搬送。
该处理系统100具有由控制各构成部,即各处理单元或搬送系统、气体供给系统等的微处理器(计算机)构成的过程控制器130,形成将各构成部与该过程控制器130连接而被控制的构成。在过程控制器130上连接有用户界面131及存储部132。这些过程控制器130、用户界面131及存储部132被与上述过程控制器70、用户界面71及存储部72相同地构成。
此种处理系统100中,利用处理单元101~104的任一个进行一部分的工序,利用其他的1个或2个以上的处理单元进行剩余的工序。例如,可以利用一个处理单元进行上述的步骤3的清除浮渣工序、步骤4、6的硬质掩模膜蚀刻工序、步骤5的灰化工序,利用其他的处理单元进行CFx膜的第一阶段的蚀刻工序,再利用其他的处理单元进行第二阶段的蚀刻工序。该情况下,由于是用保持为真空的搬送室105内的搬送装置112来进行半导体晶片W的搬送,因此即使在不同的处理室中进行一部分的处理时,也可以不破坏真空地进行半导体晶片W的搬送,可以防止蚀刻部分等的不希望的氧化等。
下面,对将添加氟的碳膜作为低介电常数层间绝缘膜(Low-k膜)使用、将本发明的蚀刻方法应用于大马士革镶嵌工艺中的例子进行说明。图5是表示此种制造过程的流程图,图6是表示图5的流程的工序剖面图。
首先,准备具有如下构造的晶片W(步骤201、图6(a)),在Si基板400上形成绝缘膜401,在其中的上部隔着屏蔽金属层402形成Cu布线层403,在绝缘膜401及Cu布线层403上形成阻挡层(例如SiN膜或SiC膜)404,继而,形成作为Low-k膜的添加氟的碳膜405,在其上形成无定形碳膜406、SiCO膜407及光致抗蚀剂膜408,在光致抗蚀剂膜408上利用光刻形成沟槽形成用的图案。
然后,将光致抗蚀剂膜408作为掩模而蚀刻SiCO膜407及无定形碳膜406(步骤202、图6(b)),接下来将SiCO膜407及无定形碳膜406作为掩模而蚀刻添加氟的碳膜405,形成沟槽409(步骤203、图6(c))。此时的蚀刻是利用如上所述的采用含氧气体的第一阶段的蚀刻及采用含氟气体的第二阶段的蚀刻的2阶段蚀刻进行的。
然后,利用旋转涂布形成硅系涂布膜410作为牺牲膜,以对沟槽409进行填充,将其平坦化(步骤204、图6(d))。该硅系涂布膜例如为有机系的含硅膜,被作为SOG(Spin On Glass)形成。此种硅系涂布膜410在利用旋转涂布形成后,被利用烘焙处理烧固。
但是,在形成此种硅系涂布膜410之前,一般来说要在基底上进行作为用于提高粘接性的涂布剂的PGME或PGMEA的涂布,然而由于添加氟的碳膜405为疏水性,因此即使涂布此种PGME或PGMEA,添加氟的碳膜405与硅系涂布膜410之间的浸润性也很差,因此粘接性差,如图7所示,产生剥离或空隙。一旦产生此种剥离或空隙,就会有无法以正确的形状进行蚀刻的不良状况。
为了防止此种情况,优选如图8(a)所示,在添加氟的碳膜405的表面,涂布浸润性改善表面改性剂411,其用于将该表面改性,改善对硅系涂布膜410的浸润性,使得粘接性良好。这样,如图8(b)所示,添加氟的碳膜405的表面成为改性表面405a,如图8(c)所示,在硅系涂布膜410形成之时,就会成为没有剥离等具有良好的粘接性。
作为此种浸润性改善表面改性剂411,例如可以优选使用丙酮。丙酮可以将添加氟的碳膜405的表面适度地粗糙化,从而使得与硅系涂布膜410的粘接性良好。作为此种浸润性改善表面改性剂411,除了丙酮以外,还可以使用2-丁酮等低级酮类。作为浸润性改善表面改性剂411的涂布方法,优选一边旋转晶片、一边经由喷嘴向晶片表面供给丙酮等浸润性改善表面改性剂411的旋转涂布法,然而也可以将晶片浸渍于贮存了浸润性改善表面改性剂411的容器内。
实际上,确认了作为此种浸润性改善表面改性剂411的丙酮的效果,其结果是,在未涂布丙酮的情况下,如图9(a)的SEM照片中所示,在添加氟的碳膜与硅系涂布膜之间产生了剥离,然而在涂布了丙酮的情况下,如图9(b)的SEM照片中所示,未产生剥离。
在此种步骤204的硅系涂布膜410的涂布之后,在其上形成光致抗蚀剂膜412,利用光刻形成通孔形成用的图案(步骤205、图6(e))。然后,将光致抗蚀剂膜412作为掩模蚀刻添加氟的碳膜405而形成通孔413(步骤206、图6(f))。此时的蚀刻是利用如上所述的采用含氧气体的第一阶段的蚀刻及采用含氟气体的第二阶段的蚀刻的2阶段蚀刻来进行的。
在该通孔413的蚀刻之后,利用使用了DHF(例如1%氢氟酸)或BHF等的湿式处理除去硅系涂布膜410,继而利用使用了CxFy系气体的干式蚀刻进行阻挡层404的蚀刻,露出Cu布线层403(步骤207、图6(e))。
这里,经过了以上的工序的添加氟的碳膜405因干式蚀刻等所致的损伤而使氟的脱离量增加。一旦氟的脱离量增加,就有可能在其后的热工序中是与上层之间的粘接性降低而剥离,或对其后形成的屏蔽金属(Ta、TaN、Ti等)造成腐蚀,剥离。
为了防止此种情况,优选如图10(a)所示,在添加氟的碳膜405的表面,涂布用于将其表面改性并抑制氟的脱离量的氟脱离抑制表面改性剂415。这样,就会如图10(b)所示,添加氟的碳膜405的表面成为改性表面405b,可以有效地防止其后形成的屏蔽金属的腐蚀或上层的剥离。
该氟脱离抑制表面改性剂415是进行因干式蚀刻等而受到了损害的添加氟的碳膜405的表面的游离氟除去及表面的终端、抑制氟的脱离的物质,可以使用挥发性高的有机溶剂,优选乙醇或甲醇。作为氟脱离抑制表面改性剂415的涂布方法,优选一边旋转晶片、一边借助喷嘴向晶片表面供给乙醇等氟脱离抑制表面改性剂415的旋转涂布法,然而也可以将晶片浸渍于贮存了氟脱离表面改性剂415的容器内。
为了实际地掌握作为此种氟脱离抑制表面改性剂415的乙醇的效果,利用TDS(Thermal Desorption Spectrometry)确认了氟的脱气量,结果确认,如图11所示,通过涂布乙醇,氟脱离量减少。
另一方面,在图6(g)所示的、以Cu布线层403的表面露出的状态暴露于含氧气氛中的情况下,会在其表面形成自然氧化膜。另外,还有杂质被引入其表面的情况。如果在该状态下向通孔中填充金属,则通孔的电阻就会变高,使得布线的电阻变高。
以往,自然氧化膜的除去是利用DHF(例如1%氢氟酸)或BHF等进行的,然而会有对添加氟的碳膜405造成损伤、使氟的脱离变多的倾向。此外,还研究过低损伤的药剂,然而其价格高,废液处理因成分不同而变得复杂,成本升高。
为了不产生此种不佳状况地除去自然氧化膜或杂质,发现氨水处理是有效的。所以,在图6(g)的阶段,如图12所示,在Cu布线层403的表面形成自然氧化膜416的情况下,则如图13所示,在Cu布线层403的表面涂布氨水417。氨水可以不对添加氟的碳膜405造成损伤,并除去Cu布线层403的自然氧化膜或杂质。另外,氨水的价格也很低,废液处理也很容易。
氨水与Cu氧化物的反应如下所示。
首先,氨水在平衡状态下产生以下的(1)式的反应。
NH3+H2O=NH4 ++OH-......(1)
此后,Cu氧化物利用以下的(2)的反应变为作为中间产物的氢氧化铜(Cu(OH)2)。
Cu+2OH-=Cu(OH)2......(2)
(Cu(OH)2)与过剩的NH3利用如以下的(3)式那样的反应产生配位离子。
Cu(OH)2+4NH3→[Cu(NH3)4]2++2OH-
=[Cu(NH3)4](OH)2......(3)
此种配位离子是溶解于水中的,形成CuO溶解的状态。
氨水的氨浓度优选为0.25~5质量%。在该范围中会有效地产生上述反应,容易除去Cu的自然氧化膜。另外,处理时间优选为1~5分钟左右。温度优选为0~30℃。作为氨水417的涂布方法,优选一边旋转晶片、一边借助喷嘴向晶片表面供给氨水417的旋转涂布法,然而也可以将晶片浸渍于贮存了氨水417的容器内。
实际地确认了此种氨水处理的效果。图14是表示由氨水处理的有无造成的TDS的变化的图。如该图所示,利用氨水处理,氟的脱离量降低,从而确认,氨水处理不会对添加氟的碳膜405造成损伤。然后,在对表面进行了Cu氧化处理的铜板上涂布1%氨水而放置4分钟后,确认了表面的状态,其结果是,从图15(a)的照片所示的状态变成图15(b)的照片所示的状态,可以确认Cu氧化膜被除去。
根据需要,在进行了如上所述的处理后,在沟槽409及通孔413的内壁形成屏蔽金属膜420,继而利用电镀在沟槽409及通孔413中作为布线金属嵌入铜421(步骤208、图6(h))。其后,通过对晶片W进行热处理,进行嵌入在通孔413、沟槽409中的铜421的退火处理,继而进行利用CMP法的平坦化处理(步骤209)。由此制造出所需的半导体装置。
以上的说明中,在进行大马士革镶嵌工艺时,虽然给出的是在最先形成沟槽后再形成通孔的例子(沟槽先、通孔后),然而也可以使用在最先形成通孔后再形成沟槽的方法(通孔先、沟槽后)。
下面,对可以实施本发明的方法的其他的等离子体处理装置进行说明。图16是表示能够应用本发明的方法的其他的等离子体处理装置的剖面图。该等离子体处理装置200被作为如下的RLSA微波等离子体处理装置构成,即,利用具有多个狭缝的平面天线的RLSA(Radial LineSlot Antenna;径向线狭缝天线)向处理室内导入微波从而产生等离子体。
等离子体处理装置200具有被气密性地构成的近似圆筒状的接地的处理室(处理容器)201,在其当中蚀刻作为被处理体的半导体晶片W。在处理室201的上部,设有用于向处理空间导入微波的微波导入部230。
在处理室201内,以由在处理室201的底部中央隔着绝缘构件204a竖立设置的筒状的支承构件204支承的状态,设有用于将作为被处理体的半导体晶片W水平地支承的基座205。
在基座205的上面,设有静电卡盘206。该静电卡盘206具有在绝缘体206a的内部设置了由导电膜构成的电极207的构造,通过从直流电源208对电极207施加直流电压,晶片W就被静电吸附于静电卡盘206上。
在静电卡盘206(半导体晶片W)的周围,配置有用于提高蚀刻的均匀性的环状的聚焦环209。
在基座205的内部,设有循环流动了规定温度的冷却介质的制冷剂室212,这样就可以将基座205调整为所需的温度。在制冷剂室212上连接有导入管214a及排出管214b。这样,通过使制冷剂循环,就可以控制基座205上的半导体晶片W的处理温度。此外,经由气体通路218向晶片W的背面供给传热气体,例如He气体,从而可以借助该传热气体将晶片调节为规定温度。
另外,在基座205上,隔着匹配器219电连接有高频偏置电源220。通过从该高频偏置电源220向基座205供给高频功率,就可以向晶片W侧引入离子。高频偏置电源220例如输出300kHz~13.56MHz的范围内的频率范围的高频功率。
在处理室201的底部连接有排气管225,在该排气管225上连接有包括真空泵的排气装置226。排气装置226具备蜗轮分子泵等真空泵及压力控制阀等,能够将处理室201内设定为规定的减压气氛。在处理室201的侧壁部分,设有门阀242。
处理室201的上部成为开口部,可以按将该开口部填塞的方式气密性地配置微波导入部230。微波导入部230从基座205侧开始依次具有透过板228、平面天线构件231、滞波材料233。它们被屏蔽构件234、推压环236及顶板229覆盖。
透过板228由电介体构成,作为使微波透过并导入处理室201内的处理空间的微波导入窗发挥作用。透过板228由在微波导入部230的外周下方成环状地配备的顶板229以气密状态支承。
平面天线构件231制成圆板状,在透过板228的上方位置卡止在屏蔽构件234的内周面上。该平面天线构件231由导体构成,以规定的图案贯穿地形成用于辐射微波等电磁波的多个狭缝孔232,构成RLSA。
狭缝孔232例如如图17所示制成长槽状,典型地讲是将相邻的狭缝孔232之间以“T”字形配置,将多个狭缝孔232以同心圆状配置。狭缝孔232的长度和排列间隔是根据滞波材料232中的微波的波长(λg)决定的,例如将狭缝孔232的间隔配置为达到1/2λg或λg。而且,狭缝孔232也可以是圆形、圆弧状等其他的形状,其配置形态也没有限定。
滞波材料233具有比真空更大的介电常数,设于平面天线构件231的上面。该滞波材料233由电介体构成,由于在真空中微波的波长变大,因此具有缩短微波的波长而调整等离子体的功能。
在屏蔽构件234上,形成有冷却水流路234a,通过在其中流通冷却水,而将屏蔽构件234、滞波材料233、平面天线231、透过板228冷却。而且,屏蔽构件234被接地。
在屏蔽构件234的中央,形成有开口部234b,在该开口部234b上连接有波导管237。在该波导管237的端部,隔着匹配电路238连接有微波发生装置239。这样,由微波发生装置239产生的例如频率为2.45MHz的微波就会经由波导管237向上述平面天线构件231传播。作为微波的频率,也可以使用8.35GHz、1.98GHz等。
波导管237具有从上述屏蔽构件234的开口部234b向上方延伸出来的截面为圆形的同轴波导管237a、隔着模式转换器240与该同轴波导管237a的上端部连接的沿水平方向延伸的矩形波导管237b。矩形波导管237b与同轴波导管237a之间的模式转换器240具有将在矩形波导管237b内以TE模式传播的微波转换为TEM模式的功能。在同轴波导管237a的中心延伸着内导体241,内导体241在其下端部与平面天线构件231的中心连接固定。这样,微波就被经由同轴波导管237a的内导体241向平面天线构件231以辐射状有效均匀地传播。
在处理室201内的基座205与微波导入部230之间,水平地设有用于导入处理气体的喷淋板251。该喷淋板251也如图18所示,具有以格子状形成的气体流路252、形成于该气体流路252中的多个气体喷出孔253,格子状的气体流路252之间成为空间部254。在该喷淋板251的气体流路252上连接有向处理室201的外侧延伸的气体供给管255。气体供给管255与供给用于等离子体处理的处理气体的处理气体供给部260连接。在处理气体供给部260中,设有作为处理气体供给O2气体;CxFy气体,例如CF4气体;N2气体;稀有气体,例如Ar气体的处理气体供给源,能够将这些处理气体以规定的流量向处理室201内供给。
另一方面,在处理室201的喷淋板251的上方位置,沿着室壁设有环状的等离子体导入构件265,在该等离子体气体导入构件265上,在内周设有多个气体喷出孔。在该等离子体气体导入构件265上,连接有供给作为等离子体气体的Ar气体的配管267。这样,经由配管267及气体导入构件265向处理室201内导入的Ar气体就被经由微波导入部230向处理室201内导入的微波等离子体化,该Ar等离子体穿过喷淋板251的空间部254而将从喷淋板251的气体喷出孔253中喷出的处理气体等离子体化。
该等离子体处理装置200具有由控制各构成部的微处理器(计算机)构成的过程控制器270,形成将各构成部与该过程控制器270连接而控制的构成。在过程控制器270上连接有用户界面271及存储部272。这些过程控制器270、用户界面271及存储部272被与第一实施方式的过程控制器70、用户界面71及存储部72相同地构成。
如此构成的等离子体处理装置在将晶片W搬入处理室201内,放置于基座205上后,一边经由配管267及气体导入构件265向处理室201内导Ar气体,一边将来自微波发生装置239的微波经由匹配电路238导向波导管237,依次穿过矩形波导管237b、模式转换器240及同轴波导管237a,经由内导体241向平面天线构件231供给,从平面天线构件231的狭缝穿过透过板228向处理室201内辐射。微波在矩形波导管237b内以TE模式传播,该TE模式的微波被模式转换器240转换为TEM模式,在同轴波导管237a内朝向平面天线构件231传播,从平面天线构件231穿过透过板228将微波向处理室201辐射,利用该微波将作为等离子体生成气体的Ar气体等离子体化。
然后,通过从处理气体供给部260将规定的处理气体以规定流量供给,就被穿过喷淋板251的空间部254的Ar等离子体激发而等离子体化,利用这些等离子体来实施规定的等离子体处理。
此时形成的等离子体因被从平面天线构件231的多个狭缝孔232辐射微波,而成为约为1×1011~5×1012/cm3的高密度、并且在晶片W附近为约为1.5eV以下的低电子温度等离子体。这样,就可以进行损伤更少的蚀刻。
利用此种等离子体处理装置200的等离子体处理可以对应上述步骤3~8的任意步骤,可以依照上述等离子体处理装置10的条件进行处理,尤其适于步骤8的使用了含有以CxFy(x、y为自然数)表示的气体的气体等的CFx膜302的第二阶段的蚀刻。该第二阶段的蚀刻由于仅除去第一阶段的蚀刻后的CFx膜302的表层的极薄部分,因此希望对膜的损伤很小,而RLSA微波等离子体如上所述可以利用高等离子体密度、低电子温度的等离子体来实现损伤少的等离子体处理,适于此种蚀刻。
下面,对实际地应用本发明的蚀刻方法的实验进行说明。首先,使用200mm的硅晶片,在硅基板上以10nm的厚度形成作为蚀刻阻挡层的SiCN膜,再在其上例如以270nm的厚度形成CFx膜,再在其上例如以30nm的厚度形成作为硬质掩模层的SiCN膜,再在其上以400nm的厚度形成例如由KrF抗蚀剂构成的抗蚀剂膜,将该抗蚀剂膜利用光刻工序以200mm左右的图案宽度形成图案,对该形成了图案的图3的(a)所示的构造的晶片,利用图1所示的装置,首先进行除去显影残渣的清除浮渣工序。该工序中,将Ar气体及O2气体分别以135mL/min(sccm)及65mL/min(sccm)的流量流过,将处理室内的压力设为1.33Pa(10mTorr),将施加高频功率设为上部电极:500W、下部电极:200W,将电极间隙设为55mm,进行了10sec。然后,将抗蚀剂膜作为蚀刻掩模而将作为硬质掩模层的SiCN膜蚀刻到中途。该蚀刻是将N2气体及CF4气体分别以30mL/min(sccm)及90mL/min(sccm)流过,将处理室内设为6Pa(45mTorr),将施加高频功率设为上部电极:500W、下部电极:100W,将电极间隙设为60mm,进行了18sec,将硬质掩模层蚀刻到原来的膜厚的1/4左右。其后,利用灰化除去了抗蚀剂膜。灰化是将O2气体以300mL/min(sccm)的流量供给,将处理室内设为1.3Pa(10mTorr),将施加高频功率设为上部电极:300W、下部电极:250W,将电极间隙设为55mm,进行了18sec。其后,对硬质掩模层的剩余部分在与上述的条件相同的条件下蚀刻10sec,露出了CFx膜。
然后,将硬质掩模层作为蚀刻掩模而进行CFx膜的第一阶段的蚀刻。这里,将O2气体以65mL/min(sccm)的流量供给,将Ar气体以135mL/min(sccm)的流量供给,将处理室内设为1.3Pa(10mTorr),将施加的高频功率设为上部电极:500W、下部电极:150W,将电极间隙设为55mm,进行了12sec。接下来,进行了第二阶段的蚀刻。这里,向处理室内以100mL/min(sccm)的流量供给作为处理气体的CF4气体,将处理室内设为1.3Pa(10mTorr),将施加的高频功率设为上部电极:500W,不施加对下部电极的偏置,将电极间隙设为60mm,进行了7sec。
在上述条件下进行第一阶段的蚀刻之时、进行第二阶段的蚀刻之时的晶片样品的剖面的扫描显微镜(SEM)照片分别如图19及图20所示。图19是蚀刻线的照片,图20是蚀刻孔的照片。如图19所示地确认,通过用O2+Ar气体来蚀刻CFx膜,能够完成基本垂直的形状性良好的蚀刻。但是,也可以确认,在表面残存有氧,表面性状差。与之不同,通过在用O2+Ar气体蚀刻后,用CF4气体蚀刻(2阶段蚀刻),则可以如图20所示地确认,可以进行形状性及表面性状都很良好的蚀刻。
而且,为了比较,仅用CF4气体蚀刻了CFx膜,结果如图21所示,蚀刻形状变成梯形,确认形状性差。
另外,将进行了2阶段蚀刻的样品、在晶片上形成了CFx膜的样品加热到400℃,利用TDS确认了气体成分(F气体和HF气体)释放,结果得到如图22和图23所示的结果。而且,这些图中,在晶片上形成了CFx膜的样品的数据被记作“No Treat”。根据这些图,实施了2阶段蚀刻的样品与单独CFx膜的TDS数据相比脱气减少,从而可以确认本发明的2阶段蚀刻的有效性。
然后,将CFx膜分别用(1)CF4+Ar、(2)H2+N2、(3)O2+Ar蚀刻。这里,使用图16的微波等离子体处理装置进行了蚀刻。(1)中,以流量:CF4/Ar=200/200mL/min(sccm)、微波功率:2kW、偏置:250W、压力:0.93Pa(7mTorr)、基座温度:30℃作为标准条件,(2)中,以流量:H2/N2=200/200mL/min(sccm)、微波功率:2kW、偏置:250W、压力:2.66Pa(20mTorr)、基座温度:30℃作为标准条件,(3)中,设为流量:O2/Ar=500/500mL/min(sccm)、微波功率:2kW、基座温度:30℃,以106Pa(800mTorr)及5.3Pa(40mTorr)的压力进行了蚀刻。首先,对这些蚀刻后的样品及蚀刻前的样品利用XPS(X-rayPhotoelectron Spectroscopy)进行了表面分析。图24是蚀刻前的CFx膜的XPS曲线,图25是(1)的利用CF4+Ar蚀刻时的XPS曲线,图26是(2)的利用H2+N2蚀刻时的XPS曲线,图27是(3)利用O2+Ar蚀刻时的XPS曲线。XPS曲线基本上是针对碳(C1s)、氧(O1s)、氟(F1s)进行表示的,对于(2)的H2+N2的情况,除了这些以外,还针对氮(N1s)进行表示。将根据这些曲线进行了组成分析的结果表示于表1中。
[表1]
Figure G2008800044252D00221
Figure G2008800044252D00231
在(1)的利用CF4+Ar进行蚀刻的情况下,比较图24和图25可以清楚地看到,在XPS曲线中并未看到大的变化,在如表1所示F量略为增加的程度下,确认组成与蚀刻前相比没有明显变化,可以健全地维持膜。另一方面,在(2)的利用H2+N2进行蚀刻的情况下,比较图24和图26可以清楚地看到,XPS曲线很大地变化,如表1所示F极端地减少,并且加入了N,可以确认在膜中产生损伤。另外,在(3)的利用O2+Ar进行蚀刻的情况下,比较图24和图27可以清楚地看到,在XPS曲线中并未看到大的变化,如表1所示在C及F的比率中没有大的变动,确认可以健全地维持膜,然而表面的氧量变多。
然后,对于进行了上述(1)~(3)的蚀刻的样品,利用TDS确认了将温度升高到400℃的过程中的F的释放。将其结果表示于图28~30中。图28是(1)的利用CF4+Ar进行蚀刻的情况,图29是(2)的利用H2+N2进行蚀刻的情况,图30是(3)的利用O2+Ar进行蚀刻的情况。如这些图中所示,在(1)的利用CF4+Ar进行蚀刻的情况下,F的释放与未进行蚀刻的样品(图中以“No Treatment”的线表示。)相比没有大的变化,在(2)的利用H2+N2进行蚀刻的情况下,与未进行蚀刻的样品相比F的释放增大,在(3)的利用O2+Ar进行蚀刻时,虽然在压力为5.3Pa(40mTorr)的情况下,F的释放与未进行蚀刻的样品相比没有大的变化,然而在将压力设为106Pa(800mTorr)的情况下,可以看到F的释放。
根据以上的利用各种气体的蚀刻的结果可以认为,在对CFx膜进行了利用含O2气体的第一阶段的蚀刻后,利用含CF4气体进行第二阶段的蚀刻的情况下,在CFx膜中不会产生大的损伤。另外,如果考虑F的释放,则可以确认,在第一阶段的使用了含O2气体的蚀刻中,在与自由基相比由离子所致的蚀刻处于支配性的低压区域(具体来说是13.3Pa(100mTorr)以下)中进行是十分重要的。
而且,本发明并不限定于上述实施方式,可以在本发明的思想的范围内进行各种变形。例如,在上述实施方式中,虽然对利用以平行平板型的等离子体处理装置形成的电容耦合型等离子体、以由具有多个狭缝的平面天线辐射的微波形成的等离子体进行蚀刻的例子进行了表示,然而并不限定于此。另外,也可以利用不同的等离子体源来进行CFx膜的第一阶段的蚀刻和第二阶段的蚀刻,例如,也可以利用平行平板型的电容耦合等离子体来进行第一阶段,利用由具有多个狭缝的平面天线辐射的微波形成的等离子体来进行第二阶段。
工业上的利用可能性
根据本发明,由于可以对添加氟的碳膜(CFx膜)不造成损伤并形状性良好地进行蚀刻,因此在利用大马士革镶嵌法来制造多层布线结构的半导体装置时将会特别有效,该多层布线结构使用该CFx膜为低介电常数层间绝缘膜(Low-k)膜,具有Cu布线层等。

Claims (25)

1.一种蚀刻方法,是利用等离子体对在基板上形成的添加氟的碳膜进行蚀刻的蚀刻方法,其特征在于,
具有:利用含有氧的处理气体的等离子体来进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
2.一种蚀刻方法,是对在半导体基板上依次层叠了添加氟的碳膜、硬质掩模层及抗蚀剂膜的构造体进行蚀刻的蚀刻方法,其特征在于,具有:
将所述抗蚀剂膜作为掩模,利用等离子体来蚀刻所述硬质掩模层的工序;
将所述抗蚀剂膜利用等离子体除去的工序;及
将所述硬质掩模层作为掩模,利用等离子体来蚀刻所述添加氟的碳膜的工序,
所述添加氟的碳膜的蚀刻具有:利用含有氧的处理气体的等离子体来进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
3.根据权利要求2所述的蚀刻方法,其特征在于,所述硬质掩模层由Si系材料构成,在对所述硬质掩模层进行蚀刻时,使用含有CxFy气体的处理气体的等离子体,其中x、y为自然数。
4.根据权利要求2所述的蚀刻方法,其特征在于,在将所述硬质掩模层蚀刻到中途后,除去所述抗蚀剂膜,接下来蚀刻硬质掩模而使所述添加氟的碳膜露出。
5.一种蚀刻方法,是对在半导体基板上依次层叠了铜布线层及添加氟的碳膜而形成的构造体的添加氟的碳膜进行蚀刻的蚀刻方法,其特征在于,具有:
隔着蚀刻掩模对所述添加氟的碳膜实施第一蚀刻的工序;
在进行了所述第一蚀刻后,在添加氟的碳膜上形成硅系涂布膜,对蚀刻部分进行填充的工序;
在所述硅系涂布膜之上形成蚀刻掩模,隔着该蚀刻掩模对所述添加氟的碳膜实施第二蚀刻的工序;及
除去所述硅系涂布膜的工序,
由此在所述添加氟的碳膜上形成沟槽及达到与所述铜布线层对应的位置的孔,
所述第一及第二蚀刻具有:利用含有氧的处理气体的等离子体来进行蚀刻的第一阶段、利用含有氟的处理气体的等离子体来进行蚀刻的第二阶段。
6.根据权利要求5所述的蚀刻方法,其特征在于,具备如下的工序:在形成所述硅系涂布膜之前,在进行了所述第一蚀刻之后的添加氟的碳膜的表面,涂布用于改善与所述硅系涂布膜之间的浸润性而使它们之间的粘接性良好的浸润性改善表面改性剂。
7.根据权利要求6所述的蚀刻方法,其特征在于,使用丙酮作为所述浸润性改善表面改性剂。
8.根据权利要求5所述的蚀刻方法,其特征在于,具备如下的工序:在形成了沟槽及孔后,在添加氟的碳膜的内壁表面,涂布用于将该表面改性并抑制氟的脱离量的氟脱离抑制表面改性剂。
9.根据权利要求8所述的蚀刻方法,其特征在于,所述氟脱离抑制表面改性剂为乙醇或甲醇。
10.根据权利要求5所述的蚀刻方法,其特征在于,具备如下的工序:在形成沟槽及孔,露出所述铜布线层后,在所述铜布线层的表面涂布氨水,除去所述铜布线层的表面的自然氧化膜。
11.根据权利要求10所述的蚀刻方法,其特征在于,所述氨水的氨浓度为0.25~5质量%。
12.根据权利要求10所述的蚀刻方法,其特征在于,所述氨水的温度为0~30℃。
13.根据权利要求5所述的蚀刻方法,其特征在于,利用所述第一蚀刻形成沟槽,利用所述第二蚀刻形成孔。
14.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻的第一阶段中所用的含有氧的处理气体为含有O2气体的处理气体。
15.根据权利要求14所述的蚀刻方法,其特征在于,所述含有O2气体的处理气体由单独的O2气体或者由O2气体及稀有气体构成。
16.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻的第一阶段是以13.3Pa(100mTorr)以下的压力进行的。
17.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻的第二阶段中所用的含有氟的处理气体含有CxFy气体,其中x、y为自然数。
18.根据权利要求17所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻的第二阶段中所用的含有氟的处理气体由单独的CxFy气体,或者由CxFy气体及稀有气体构成,其中x、y为自然数。
19.根据权利要求17所述的蚀刻方法,其特征在于,所述CxFy气体由CF4气体、C2F6气体、C3F6气体、C4F6气体、C3F8气体、C4F8气体及C5F8气体的至少一种构成,其中x、y为自然数。
20.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻是在所述第一阶段与所述第二阶段之间不向大气开放地进行的。
21.根据权利要求20所述的蚀刻方法,其特征在于,所述第一阶段与所述第二阶段在同一处理容器内进行。
22.根据权利要求20所述的蚀刻方法,其特征在于,所述第一阶段与所述第二阶段在不同的处理容器内进行,将基板在这些处理容器之间不向大气开放地搬送。
23.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻是利用电容耦合型的等离子体来进行的。
24.根据权利要求1、2或5中任意一项所述的蚀刻方法,其特征在于,所述添加氟的碳膜的蚀刻是利用由从具有多个狭缝的平面天线中辐射出的微波所形成的等离子体来进行的。
25.一种存储介质,储存了由计算机执行的用于控制处理装置的程序,其特征在于,所述控制程序在执行时,以执行权利要求1、2或5中任意一项所述的蚀刻方法的方式,使计算机控制所述处理装置。
CN2008800044252A 2007-02-09 2008-02-05 蚀刻方法及存储介质 Expired - Fee Related CN101606234B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP031162/2007 2007-02-09
JP2007031162 2007-02-09
JP123820/2007 2007-05-08
JP2007123820A JP4919871B2 (ja) 2007-02-09 2007-05-08 エッチング方法、半導体装置の製造方法および記憶媒体
PCT/JP2008/051862 WO2008096752A1 (ja) 2007-02-09 2008-02-05 エッチング方法および記憶媒体

Publications (2)

Publication Number Publication Date
CN101606234A true CN101606234A (zh) 2009-12-16
CN101606234B CN101606234B (zh) 2011-02-09

Family

ID=39838590

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800044252A Expired - Fee Related CN101606234B (zh) 2007-02-09 2008-02-05 蚀刻方法及存储介质

Country Status (4)

Country Link
US (1) US8383519B2 (zh)
JP (1) JP4919871B2 (zh)
CN (1) CN101606234B (zh)
TW (1) TWI416622B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104516138A (zh) * 2013-09-29 2015-04-15 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
CN105390387A (zh) * 2014-08-29 2016-03-09 东京毅力科创株式会社 蚀刻方法
CN106057666A (zh) * 2015-04-06 2016-10-26 东京毅力科创株式会社 蚀刻方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5167052B2 (ja) * 2008-09-30 2013-03-21 パナソニック株式会社 ドライエッチング方法
JP2010129950A (ja) * 2008-12-01 2010-06-10 Panasonic Corp 半導体装置及びその製造方法
JP5487469B2 (ja) 2010-03-29 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
JP6140576B2 (ja) * 2013-08-27 2017-05-31 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
JP6033496B2 (ja) 2013-11-06 2016-11-30 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
JP6817692B2 (ja) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
CN110088882B (zh) 2016-12-14 2023-05-26 玛特森技术公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
KR20200091526A (ko) * 2019-01-22 2020-07-31 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN114833045B (zh) * 2021-02-01 2023-07-25 江苏菲沃泰纳米科技股份有限公司 Pecvd镀膜系统和镀膜方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0467655A (ja) * 1990-07-09 1992-03-03 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
JP3400918B2 (ja) 1996-11-14 2003-04-28 東京エレクトロン株式会社 半導体装置の製造方法
JP3202657B2 (ja) * 1997-05-23 2001-08-27 日本電気株式会社 半導体装置の製造方法
WO2000054329A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Dispositif semi-conducteur et procede de fabrication correspondant
JP4260764B2 (ja) * 1999-03-09 2009-04-30 東京エレクトロン株式会社 半導体装置の製造方法
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
JP3683570B2 (ja) * 2003-02-19 2005-08-17 松下電器産業株式会社 半導体装置の製造方法
JP2005123406A (ja) * 2003-10-16 2005-05-12 Tokyo Electron Ltd プラズマエッチング方法。
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
JP2006165189A (ja) * 2004-12-06 2006-06-22 Nec Electronics Corp 半導体装置の製造方法
JP4599212B2 (ja) * 2005-04-15 2010-12-15 株式会社日立ハイテクノロジーズ プラズマ処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104516138A (zh) * 2013-09-29 2015-04-15 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
CN105390387A (zh) * 2014-08-29 2016-03-09 东京毅力科创株式会社 蚀刻方法
CN106057666A (zh) * 2015-04-06 2016-10-26 东京毅力科创株式会社 蚀刻方法

Also Published As

Publication number Publication date
TW200845191A (en) 2008-11-16
US20100279510A1 (en) 2010-11-04
CN101606234B (zh) 2011-02-09
US8383519B2 (en) 2013-02-26
TWI416622B (zh) 2013-11-21
JP4919871B2 (ja) 2012-04-18
JP2008218959A (ja) 2008-09-18

Similar Documents

Publication Publication Date Title
CN101606234B (zh) 蚀刻方法及存储介质
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
US9263331B2 (en) Method for forming self-aligned contacts/vias with high corner selectivity
US6583067B2 (en) Method of avoiding dielectric layer deterioration with a low dielectric constant
TWI363255B (en) Method for removing masking materials with reduced low-k dielectric material damage
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6979654B2 (en) Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
CN101238551A (zh) 用于低k刻蚀后的无损灰化工艺和系统
TW201405662A (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
US6355572B1 (en) Method of dry etching organic SOG film
KR100500932B1 (ko) 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
US7713864B2 (en) Method of cleaning semiconductor substrate conductive layer surface
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US7510965B2 (en) Method for fabricating a dual damascene structure
CN100426469C (zh) 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法
KR20090094363A (ko) 에칭 방법 및 기억 매체
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
JP2006073612A (ja) レジスト除去方法
JP2004103747A (ja) 半導体装置の製造方法
KR20000076754A (ko) 절연막의 에칭 방법 및 배선층의 형성 방법
US20230298869A1 (en) Subtractive copper etch
KR20220123983A (ko) 기판처리방법 및 이를 포함하는 반도체 소자 제조방법
JPH0927472A (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
TW202137285A (zh) 用以抑制對低k層損傷之通孔形成用的分割式灰化製程
TW200807619A (en) Method of fabricating dual damascene structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110209

Termination date: 20180205

CF01 Termination of patent right due to non-payment of annual fee