KR101214995B1 - 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름 - Google Patents

차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름 Download PDF

Info

Publication number
KR101214995B1
KR101214995B1 KR1020117027943A KR20117027943A KR101214995B1 KR 101214995 B1 KR101214995 B1 KR 101214995B1 KR 1020117027943 A KR1020117027943 A KR 1020117027943A KR 20117027943 A KR20117027943 A KR 20117027943A KR 101214995 B1 KR101214995 B1 KR 101214995B1
Authority
KR
South Korea
Prior art keywords
silicon carbide
barrier layer
layer
deposited
substrate processing
Prior art date
Application number
KR1020117027943A
Other languages
English (en)
Other versions
KR20110134521A (ko
Inventor
이 쳉
시린이바스 디. 네마니
리-쿤 시아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110134521A publication Critical patent/KR20110134521A/ko
Application granted granted Critical
Publication of KR101214995B1 publication Critical patent/KR101214995B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

페닐기를 포함하는 유기실리콘 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 제공하는 단계와 낮은 k 유전체 재료를 갖는 단일 다마신 또는 이중 다마신 용도에서 배리어층으로서 유용한 낮은 k 실리콘 카바이드 배리어층을 증착시키기 위해 프로세싱 가스를 반응시키는 단계를 포함하는 기판 프로세싱 방법이 제공된다. 무산소 유기실리콘 화합물을 포함하는 프로세싱 가스로부터, 실리콘 원자에 부착된 페닐기를 실질적으로 갖지않는 실리콘 카바이드 캡층을 낮은 k 실리콘 카바이드 배리어층 상에 증착하는 방법이 제공된다.

Description

차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름 {TWO-LAYER FILM FOR NEXT GENERATION DAMASCENE BARRIER APPLICATION WITH GOOD OXIDATION RESISTANCE}
본 발명은 집적회로의 제조에 관한 것이며, 보다 구체적으로는 기판 위에 유전체층을 증착하기 위한 방법과, 상기 유전체층에 의해 형성되는 구조물에 관한 것이다. 본 발명은 또한 기판 위에 배리어층을 증착하기 위한 방법과, 상기 배리어층에 의해 형성되는 구조물에 관한 것이다.
반도체 소자의 구조는 이러한 소자가 수십년 전에 최초로 도입된 이후에 그 크기가 급격히 감소하고 있다. 그 이후, 직접회로는 일반적으로 2년당 절반 크기 법칙(종종 무어의 법칙으로 지칭됨)을 따르며, 이는 칩 상에 조립되는 소자 수가 2년마다 2배로 증가함을 의미한다. 오늘날의 제조 설비는 통상적으로 0.35㎛ 및 심지어 0.18㎛의 최소배선폭을 갖는 소자를 제조하며, 미래의 설비는 곧 훨씬 더 작은 구조를 갖는 소자를 제조할 것이다.
직접 회로 상의 소자 크기를 더 감소시키기 위해, 낮은 저항을 가진 전도체 재료를 이용하고 낮은 유전상수(4.0 미만의 유전상수)를 갖는 절연체를 이용하여 인접한 금속 라인들 사이의 용량성 결합(capacitive coupling)을 감소시킬 필요가 있게 되었다. 이러한 낮은 k 재료는 화학 기상 증착 방법에 의해 증착된 실리콘 옥시카바이드 및 실리콘 카바이드를 포함하며, 이들 두 재료는 다마신 피쳐(features)의 제조에서 유전체 재료로서 사용될 수 있다.
낮은 저항을 갖는 하나의 전도체 재료는 구리 및 그 합금이며, 구리가 알루미늄보다 낮은 저항(알루미늄의 3.1 μΩ-㎝와 비교할 때 1.7 μΩ-㎝), 보다 큰 전류 및 최고 부하 용량(carry capacity)을 갖기 때문에 이들은 0.25미크론 이하의 인터커넥트(interconnect) 기술에 대해 선택된 재료이다. 이들 특성은 높은 수준의 집적도 및 증가된 소자 속도에서 야기되는 보다 큰 전류 밀도를 지원하는데 있어서 중요하다. 또한, 구리는 양호한 열 전도성을 갖고 고순도 상태로 이용가능하다.
반도체 소자에 구리를 이용함에 있어 한가지 난점은 정확한 패턴을 달성하는 것과 에칭하기가 어렵다는 것이다. 인터커넥트를 형성하는 종래의 증착/에칭 프로세스를 이용하여 구리를 에칭하는 것은 만족스럽지 못하다. 그러므로, 구리함유 재료와 낮은 k 유전체 재료를 갖는 인터커넥트를 제조하는 신규 방법이 개발되고 있다.
수직 및 수평 인터커넥트를 형성하는 한가지 방법은 단일 다마신(damascene) 또는 이중 다마신(dual damascene) 방법이다. 다마신 방법에서, 낮은 k 유전체 재료와 같은 하나 이상의 유전체 재료는 증착 및 패턴 에칭되어 비아와 같은 수직 인터커넥트 및 라인과 같은 수평 인터커넥트를 형성한다. 구리함유 재료와 같은, 전도체 재료, 그리고 구리함유 재료가 주위의 낮은 k 유전체 재료로 확산되는 것을 방지하는데 이용되는 배리어 층 재료와 같은 다른 재료들이 에칭된 패턴 내에 인레이(inlay)된다. 기판의 필드와 같이 에칭된 패턴 외부에 있는 과잉(excess) 구리함유 재료 및 과잉 배리어층 재료는 그 후 제거된다.
그러나, 낮은 k 유전체 재료는 대부분 다공성이고 구리와 같은 전도체 재료의 층간 확산에 민감하며, 이는 단락 회로의 형성 및 소자 파괴를 야기할 수 있다. 게다가 낮은 k 유전체 재료는 대부분 산화에 민감하다. 유전체 배리어층 재료는 층간 확산을 방지하기 위해 구리재료와 주변의 낮은 k 유전체 재료 사이에 대부분 배치된다. 그러나 실리콘 질화물과 같은 종래의 유전체 배리어층 재료는 대부분 7 이상의 큰 유전상수를 갖는다. 주변의 낮은 k 유전체 재료와 함께 높은 k 유전체 재료의 조합은 원하는 유전상수보다 큰 유전상수를 갖는 유전체 스택을 야기한다.
그 결과, 다마신 방법에 적용하기 위한 낮은 유전상수와 양호한 내산화성을 갖는 유전체 배리어층 재료를 증착하기 위한 개선된 방법이 필요하다.
본 발명의 일 측면은 일반적으로 낮은 유전상수를 갖는 배리어층 및 배리어층에 인접한 캡층을 증착하기 위한 방법을 제공한다. 일 측면에서, 본발명은 유기실리콘 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버내로 유입시킴으로써 기판상에 실리콘 카바이드 배리어층을 증착하는 단계, 배리어층을 증착하기 위해서 프로세싱 가스를 반응시키는 단계, 무산소 유기실리콘 화합물을 포함하는 제 2 프로세싱 가스 혼합물을 프로세싱 챔버내로 유입시킴으로써 배리어층 상에 실리콘 카바이드 캡층을 증착하는 단계, 및 실리콘 카바이드 캡층을 증착시키기 위해서 제 2 프로세싱 가스 혼합물을 반응시키는 단계를 포함하는 기판 프로세싱 방법을 제공하며, 여기서 상기 유기실리콘 화합물은 화학식 SiHa(CH3)b(C6H5)c을 갖고, 상기 화학식에서 a는 0 내지 3, b는 0 내지 3, 및 c는 1 내지 4이며, 상기 배리어층은 4 미만의 유전상수를 갖으며 실리콘 원자에 부착된 페닐기를 포함하며, 상기 실리콘 카바이드 캡층은 실리콘 원자에 부착된 페닐기를 실질적으로 갖지 않는다.
또 다른 측면에서, 제 1 유기실리콘 화합물을 포함하는 제 1 프로세싱 가스 혼합물을 프로세싱 챔버내로 유입시킴으로써 기판상에 실리콘 카바이드 배리어층을 증착하는 단계, 배리어층을 증착시키기 위해 프로세싱 가스를 반응시키는 단계, 제 2 유기실리콘 화합물을 포함하는 제 2 프로세싱 가스 혼합물을 프로세싱 챔버내로 유입시킴으로써 배리어층 상에 실리콘 카바이드 캡층을 증착하는 단계, 실리콘 카바이드 캡층을 증착시키기 위해서 제 2 프로세싱 가스 혼합물을 반응시키는 단계를 포함하는 기판 프로세싱 방법이 제공되며, 여기서 상기 제 1 유기 화합물은 화학식 SiHa(CH3)b(C6H5)c을 갖고, 이때 a는 0 내지 3, b는 0 내지 3, 및 c는 1 내지 4이며, 상기 배리어층은 4 미만의 유전상수를 갖고, 상기 제 2 유기실리콘 화합물은 화학식 SiHx(CH3)y(C2H5)z을 갖고, 이때 x는 1 내지 3, y는 0 내지 3, z는 0 내지 3이다.
본 발명의 전술한 특징들이 달성되고 상세하게 이해될 수 있도록, 첨부 도면에 도시된 실시예를 참조하여, 위에서 간략하게 요약된 본 발명을 보다 상세히 설명할 것이다.
그러나 첨부 도면은 단지 본 발명의 전형적인 실시예를 설명하려는 것이지, 본 발명의 범위를 제한하고자 하는 것이 아니며, 따라서 본 발명은 다른 동일 효과의 실시예에도 적용된다.
도 1 은 낮은 k 배리어층과 낮은 k 유전체층을 포함하는 이중 다마신 구조를 도시하는 단면도이며; 그리고
도 2a 내지 2h는 본 발명의 이중 다마신 증착 과정의 일 실시예를 도시하는 단면도이다.
다음의 상세한 설명을 참조한다면, 본 발명의 측면을 더 잘 이해할 수 있을 것이다.
본원에서 기재된 본 발명의 측면은 낮은 유전상수를 가진 실리콘 카바이드 배리어층을 증착하는 방법 및 전구체(precursor)에 관한 것이다. 상기 실리콘 카바이드 배리어층은 또한 수소를 포함할 수도 있으며, 필름의 특성을 향상시키기 위해서 산소, 질소, 붕소, 인 또는 이들의 조합물로 도핑 될 수도 있다. 도핑된 실리콘 카바이드는 일반적으로 산소, 질소, 붕소, 인 또는 이들의 조합물과 같은 도펀트를 약 15 원자 퍼센트(원자%) 미만으로 포함한다.
실리콘 카바이드 배리어 층은 화학식 SiHa(CH3)b(C6H5)c을 갖는 유기실리콘 화합물을 포함하는 프로세싱 가스를 플라즈마 내에서 반응시킴으로서 증착되며, 카본-실리콘 결합 및 4 미만의, 바람직하게는 약 3.5 미만의 유전상수를 포함하는 유전체층을 형성하며, 상기 화학식에서 a는 0 내지 3, b는 0 내지 3, 및 c는 1 내지 4이다. 산소 도핑을 위한 실록산 (siloxane) 화합물 또는 산소 (O2)와 같은, 또는 붕소 도핑를 위한 보란(borane)(BH3)과 같은 도펀트를 포함하는 재료도 증착 프로세스 중에 존재할 것이다.
이하의 프로세싱 변수하에서, a는 0 내지 3, b는 0 내지 3, 및 c는 1 내지 4인 화학식 SiHa(CH3)b(C6H5)c을 갖는 유기실리콘 화합물로 실리콘 카바이드 재료를 증착하였을때, 트리메틸실란(TMS)과 같은, 상용으로 이용할 수 있는 알킬실란 전구체에 의해 제조된 실리콘 카바이드 필름보다 약 100% 이상 큰 층간 확산 저항과 같은, 향상된 배리어층 특성과 함께 4 미만의 유전상수를 갖는 실리콘 카바이드 필름이 제조되었다는 놀랍고도 기대하지 않았던 결과를 발견하였다. 페닐기가 증착된 유전체 재료의 다공성을 증가시키고, 그에 따라 증착된 유전체 재료의 층간 확산 저항이 감소하는것이 관찰되었기 때문에 위의 내용은 예상 밖이다. 상기 배리어층들이 유전체층에 인접하여 증착되는 실시예에서, 그러한 배리어층들은 약 3 미만과 같은 약 4 미만의 유전체층을 갖고 실리콘, 산소, 탄소 및 수소를 포함하는 유전체층에 인접하여 바람직하게 증착된다.
배리어층 재료로 사용되는 유기실리콘 화합물은 일반적으로 다음 구조,
Figure 112011092839300-pat00001
를 가지며, 여기서 R은 페닐기이며, R1, R2 및 R3은 H, C 및/또는 Si를 포함하는 기(group)이다. 상기 유기실리콘 화합물은 화학식 SiHa(CH3)b(C6H5)c을 포함하며, 상기 화학식에서 a는 0 내지 3, b는 0 내지 3, 및 c는 1 내지 4이며, 그리고 a+b+c는 4이다. 상기 화학식으로부터 유도된 적절한 전구체의 예는 디페닐실란, 디메틸페닐실란, 디페닐메틸실란, 페닐메틸실란 및 이들의 조합물을 포함한다. 바람직하게도, b는 1 내지 3, 및 c는 1 내지 3이다. 배리어층 재료로서 증착하기 위한 가장 바람직한 유기실리콘 화합물은 화학식 SiHa(CH3)b(C6H5)c을 갖는 유기실리콘 화합물을 포함하며, 상기 화학식에서 a는 1 또는 2, b는 1 또는 2, 및 c는 1 또는 2이다. 바람직한 전구체의 예는 디메틸페닐실란 및 디페닐메틸실란을 포함한다. 상기 프로세싱 가스는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 질소(N2), 및 이들의 조합물과 같은 불활성가스를 포함할 수도 있다.
상기 프로세싱 가스는 증착된 실리콘 카바이드 재료를 각각의 산소 및 질소로 도핑하기 위해, 또한 유기실록산 화합물과 같은 Si-O-Si 결합기를 갖는 화합물, 실라잔(silazane) 화합물과 같은 Si-N-Si 결합기를 갖는 화합물 및 이들의 조합물을 포함할 수도 있다. 트리메틸실란(TMS)과 같은 알킬실란 전구체는 또한 원하는 필름 특성을 개선하거나 바꾸기 위해 본원에 기재된 유기실리콘 전구체와 함께 사용될 수도 있다.
실록산 결합을 가지는 전구체는 필름의 유전상수를 감소시킬 수 있을 뿐만 아니라 필름의 전류 누설(current leakage)을 감소시킬 수 있는 산소가 결합된 실리콘 카바이드 필름을 제공한다. 적절한 실록산 전구체의 예는 고리모양 화합물을 포함하며, 그 예로, 1,3,5,7-테트라메틸시클로테트라실록산 (TMCTS) 또는 옥타메틸시클로테트라실록산 (OMCTS)이 있으며, 그리고 1,1,3,3-테트라메틸디실록산(TMDSO)과 같은 지방족 화합물을 포함한다.
실라잔 화합물 내에서와 같이 결합된 질소를 갖는 화합물은 필름의 경도를 향상시킬 수 있을 뿐만 아니라 필름의 전류 누설을 감소시킬 수 있다. 적절한 실라잔 전구체의 예는 헥사메틸디실라잔 및 디비닐테트라메틸디실라잔과 같은 지방족 화합물뿐만 아니라 헥사메틸시클로트리실라잔과 같은 고리모양 화합물을 포함한다.
배리어층은 또한 증착된 재료의 유전상수를 감소시키기 위해 산소, 붕소 또는 인으로 추가적으로 도핑될 수도 있다. 프로세싱 가스 내의 도펀트 대 유기실리콘 화합물의 원자의 비는 약 1:5 내지 1:100 과 같이 약 1:5 또는 그 이상이다. 낮은 k 실리콘 카바이드층의 인 및/또는 붕소 도핑 과정은 증착 프로세스 중에 챔버 내로 인화 수소(PH3) 또는 보란(BH3), 또는 디보란(B2H6)과 같은 보란 유도체를 유입시킴으로써 수행될 수도 있다.
산소 도핑 과정은 산소를 포함하는 가스, 예를 들어, 산소(O2), 오존(O3), 일산화 질소(N2O), 일산화 탄소(CO), 이산화 탄소(CO2), 또는 이들의 조합물을 선택적으로 포함함으로써 발생될 수도 있다. 실록산 화합물은 증착된 재료의 산소 도핑과정을 위해 프로세싱 가스와 함께 또한 사용될 수도 있다. 질소 도핑 과정은 질소를 포함하는 가스, 예를 들면, 암모니아(NH3), 질소(N2), 실라잔 화합물, 또는 이들의 조합물을 선택적으로 포함함으로써 발생할 수도 있다.
일 실시예에서, 실리콘 카바이드 배리어층은 디페닐실란과 같은 유기실리콘 화합물을 약 10 밀리그램/분(mgm) 내지 약 1500 mgm의 유속으로 플라즈마 프로세싱 챔버에 제공하는 단계, 도펀트를 약 10 sccm 내지 약 2000 sccm 의 유속으로 선택적으로 제공하는 단계, 불활성 가스를 약 1 sccm 내지 약 10000 sccm 의 유속으로 제공하는 단계, 기판 온도를 약 0℃ 내지 500℃ 에서 유지하는 단계, 챔버 압력을 약 500 Torr 이하에서 유지시키고 RF 전력을 약 0.03 watts/㎠ 내지 약 1500 watts/㎠ 에서 유지시키는 단계에 의해 증착될 수도 있다.
RF 전력은 13 MHz 내지 14 MHz 와 같이 높은 주파수 및/또는 100 KHz 내지 1000 KHz 와 같이 낮은 주파수로 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 지속시간의 사이클로 제공될 수도 있는데, 이때 전력은 200 Hz 미만의 사이클에 대해서는 전술한 레벨에서 온(on)이고, 전체 온 사이클은 총 듀티 사이클의 약 10% 내지 약 30% 범위에 있다. 프로세싱 가스는 가스 분배기에 의해 챔버 내로 유입될 수 있으며, 가스 분배기는 기판 표면상으로부터 약 200 밀(mils) 내지 약 1200 밀 범위에 위치될 수도 있다. 상기 가스 분배기는 증착 프로세스 중에 약 300 밀 내지 약 800 밀 범위에 위치될 수도 있다.
본원에 기재된 상기 프로세스를 수행하기 위한 반응기는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스사로부터 상업적으로 구입할 수 있는 화학 기상 증착 챔버 DxZTM이다. 본원의 프로세스와 함께 사용될 수도 있는 CVD 반응기의 예는 왕(Wang)등에게 허여되고 본 발명의 양수인인 어플라이드 머티어리얼스사에 양도된 "열적(Thermal) CVD/PECVD 반응기 및 산화 실리콘의 열적 화학 기상 증착 용도 및 인-시추(in situ) 멀티스텝 평탄화 프로세스"라는 명칭의 미국 특허 제 5,000,113호에 기재되어 있다.
상기 프로세스 변수는, 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스로부터 제조되어 이용할 수 있는 증착 챔버 내에서 200 mm(millimeter)기판에대해 수행하였을 때, 실리콘 카바이드 층에 대한 약 100Å/min 내지 약 3000Å/min 와 같이, 약 50 Å/min 내지 약 20,000 Å/min 의 증착 속도를 제공한다.
바람직한 실리콘 카바이드 배리어층 증착 프로세스의 예는 디메틸페닐실란을 프로세싱 챔버 내로 약 500 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 1000 sccm으로 유입시키는 단계, 200 watts의 RF 전력을 인가함으로써 프로세싱 챔버내에 플라즈마를 발생시키는 단계, 기판 온도를 약 350℃로 유지시키는 단계, 실리콘 카바이드 배리어층을 증착하기 위해 챔버 압력을 약 6 Torr에서 유지시키는 단계를 포함한다. 가스 분배기와 기판 표면 사이의 간격은 450 밀이다. 실리콘 카바이드 층 배리어는 이러한 프로세스에 의해 약 1500 Å/min으로 증착될 수 있다. 상기 증착된 실리콘 카바이드 배리어층은 약 3.4의 유전상수를 나타낸다.
바람직한 실리콘 카바이드 배리어층 증착 프로세스의 다른 예는 디메틸페닐실란을 프로세싱 챔버 내로 약 100 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 2000 sccm으로 유입시키는 단계, 100, 200, 또는 300 watts의 RF 전력을 인가함으로써 프로세싱 챔버내에 플라즈마를 발생시키는 단계, 기판온도를 약 350℃로 유지시키는 단계, 및 실리콘 카바이드 배리어층을 증착하기 위해 챔버 압력을 약 6 Torr에서 유지시키는 단계를 포함한다. 가스 분배기와 기판 표면 사이의 간격은 650 밀이다. 상기 증착된 실리콘 카바이드 배리어층은 약 3.42 내지 약 3.96의 유전상수를 나타낸다.
증착 후에, 증착된 유전체 재료는, 즉 증착된 실리콘 카바이드 배리어층은, 필요한 경우에 수분 함량을 감소시키고 유전체 재료의 고체성(solidity) 및 경도를 증가시키기 위해, 바람직하게는 약 1분 내지 약 60분, 바람직하게는 약 30분 동안 약 100℃ 내지 약 450℃의 온도에서 어닐링될 수도 있다. 어닐링은 바람직하게 유전체층의 수축 또는 변형을 막기위해 다음 층의 증착 전에 수행된다. 아르곤 및 헬륨과 같은 불활성 가스들이 어닐링 분위기에 첨가될 수도 있다.
상기 증착된 실리콘 카바이드 배리어층은 후속적인 재료의 증착 전에 오염물을 제거하기 위해 그렇지 않으면 실리콘 카바이드층의 노출된 표면을 세정하기 위해서 플라즈마로 처리될 수도 있다. 플라즈마 처리는 실리콘 및 탄소를 포함하는 재료를 증착하는데 사용된 같은 챔버 내에서 실시될 수도 있다. 플라즈마 처리는 미처리된 실리콘 카바이드 재료보다 높은 밀도 재료의 보호층을 형성시킴에 의해 필름 안정성을 향상시킨다고 믿어지고 있다. 플라즈마 처리는 또한 다음 층의 필름 부착성을 향상시킨다고 믿어지고 있다. 보다 높은 밀도의 실리콘 카바이드 재료는 미처리된 실리콘 카바이드 재료보다, 산소에 노출됐을 때 산화물을 형성하는 것과 같은 화학 반응에 더욱 저항력이 있다고 믿어진다.
플라즈마 처리는 일반적으로 헬륨, 아르곤, 네온, 크세논, 크립톤, 또는 이들의 조합물을 포함하는 불활성 가스, 및/또는 수소, 암모니아, 및 이들의 조합물을 포함하는 환원 가스를 프로세싱 챔버에 제공하는 단계를 포함하며, 이때 불활성 가스중에서는 헬륨이 바람직하다. 불활성 가스 또는 환원 가스는 약 500 sccm 내지 약 3000 sccm의 유속으로 프로세싱 챔버 내로 도입되며, 프로세싱 챔버 내에서 플라즈마를 발생시킨다.
플라즈마는 약 0.03 W/㎠ 내지 약 3.2 W/㎠의 전력 밀도 범위를 이용하여 발생 될 수도 있으며, 그러한 전력 밀도는 200 mm 기판의 경우에 약 10 W 내지 약 1000 W 사이의 전력수준이다. 바람직하게는, 200 mm 기판상에서는 실리콘 카바이드 재료에 약 100 watts의 전력수준을 이용한다. RF 전력은 13 MHz 내지 14 MHz 와 같은 높은 주파수 및/또는 100 KHz 내지 1000 KHz 와 같은 낮은 주파수로 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 지속기간의 사이클로 제공될 수도 있는데, 이때 전력은 200 Hz 미만의 사이클에 대해서는 전술한 레벨에서 온이고, 전체 온 사이클은 총 듀티 사이클의 약 10% 내지 약 30% 범위에 있다.
프로세싱 챔버는 약 1 Torr 내지 약 12 Torr, 예를 들면 약 3 Torr의 챔버 압력에서 바람직하게 유지된다. 플라즈마 처리중에 기판은 약 200℃ 내지 450℃ 의 온도에서 바람직하게 유지된다. 실리콘 카바이드 증착 프로세스의 온도와 대략적으로 같은 기판 온도, 예를 들면 약 290℃가, 플라즈마 처리 중에 이용될 수도 있다. 플라즈마 처리는 약 2초 내지 100초 범위에서 바람직하게는 약 15초 내지 약 60초 범위에서 수행될 수도 있다. 프로세싱 가스는 가스 분배기에 의해 챔버 내로 도입될 수도 있으며, 상기 가스분배기는 기판 표면으로부터 약 200 밀 내지 1200 밀 범위에서 위치될 수도 있다. 상기 가스 분배기는 플라즈마 처리 중에 약 300 밀 내지 약 800 밀 범위에 위치될 수도 있다.
그러나, 다양한 챔버에서 그리고 300 mm 기판과 같이 상이한 크기의 기판에대해 플라즈마 프로세스를 수행하기 위해 각각의 변수를 수정할 수도 있음을 주목해야 한다. 실리콘 및 탄소 함유 필름용 플라즈마 처리의 예는 본 발명의 청구 범위 및 설명과 모순되지 않는 범위내에서 본원에 참조 되고 1999년 6월 18일에 출원된 "부착성을 향상시키고 탄소 함유 층의 산화를 최소화시키는 플라즈마 처리"라는 명칭의 미국 특허 출원 제 09/336,525호에 설명된다.
상기 배리어층은 바람직하게는 캡층 주변 및 선택적으로, 실리콘, 산소, 및 탄소를 포함하고 유전상수가 3 미만과 같은 4 미만인 유전체층 주변에 증착된다. 본원에 기재된 상기 배리어층 재료와 함께 이용하기 위한 주변 또는 근처의 유전체층은 수소 원자를 제외한 약 1 원자 퍼센트, 바람직하게는 수소 원자를 제외한 약 5 내지 약 30 원자 퍼센트의 탄소함유량을 갖는다. 본원에서 사용된 것과 같이, "근처"의 유전체층은 중간의 캡층에 의해 배리어층으로부터 분리되는 유전체층을 나타낸다. 주변 또는 근처의 유전체층은 플라즈마 화학 기상 증착 기술(plasma enhanced chemical vapor deposition technique)로 유기실란화합물을 산화시킴으로써 증착될 수도 있다. 예를들어, 적절한 주변 또는 근처 유전체 재료는 약 0.16 W/㎠ 내지 약 0.48 W/㎠의 높은 주파수 RF 전력밀도를 포함하는 상태하에서 형성된 플라즈마와 함께, 플라즈마 화학 기상 증착 기술로 트리메틸실란과 산소를 반응시킴으로써 증착될 수도 있다.
약 3 미만의 유전상수를 갖는 실리콘, 산소, 및 탄소를 함유하는 주변 또는 근처 유전체층을 위한 방법 빛 용도의 예는 본원에 기재된 청구 및 설명과 모순되지 않는 범위내에서 본원에 참조 되고, 2000년 5월25일에 허여된, 미국 특허 제 6,054,379호, 2001년 9월 11일에 허여된, 미국 특허 제 6,287,990호, 2001년 10월 16일에 허여된 미국 특허 제 6,303,523호에 기재되어 있다.
주변 캡층은 실리콘 카바이드 캡층을 형성하기 위해서 무산소 유기실리콘 화합물을 포함하는 프로세싱 가스를 플라즈마 내에서 반응시킴으로써 본원에 기재된 배리어층 주변에 증착된다. 일 실시예에서 상기 무산소 유기실리콘 화합물은 SiHx(CH3)y(C2H5)z식을 갖고, 여기서 x는 1 내지 3, y는 0 내지 3, 및 z는 0 내지 3, 그리고 x+y+z는 4이다. 무산소 유기실리콘 화합물은 메틸실란, 디메틸실란, 트리메틸실란, 또는 테트라메틸실란과 같은 알킬실란이 될 수도 있다. 바람직하게, 상기 무산소 유기실리콘 화합물은 트리메틸실란이다. 상기 실리콘 카바이드 캡층은 또한 질소를 함유할 수도 있다.
다른 실시예에서, 무산소 유기실리콘 화합물은 페닐을 함유하는 유기실리콘 화합물일 수도 있다. 페닐을 포함하는 유기실리콘 화합물은 캡층이 증착되는 실리콘 카바이드 배리어층을 증착하기 위해 사용되는 유기실리콘 화합물이 될 수도 있다. 그러나, 배리어층은 증착된 배리어층 내에서 페닐기가 실리콘 원자에 부착된체로 유지하는것을 허용하는 프로세싱 조건을 이용하여 증착된다. 실리콘 카바이드 캡층은 증착된 캡층 내에서 실질적으로 페닐기가 실리콘 원자에 부착되지 않게 하는 프로세싱 조건을 이용하여 증착된다.
일 실시예에서, 실리콘 카바이드 캡층은 트리메틸실란과 같은, 무산소 유기실리콘 화합물을 약 50 sccm 내지 약 800 sccm 범위의 유속으로 플라즈마 프로세싱 챔버에 공급하는 단계, 헬륨, 아르곤, 질소, 또는 암모니아와 같은 무산소 캐리어 가스를 약 50 sccm 내지 약 2000 sccm 범위의 유속으로 공급하는 단계, 기판 온도를 약 250℃ 내지 약 450℃ 범위에서 유지하는 단계, 챔버 압력을 약 3 Torr 내지 약 15 Torr로 유지하고, RF 전력을 약 0.02 watts/㎠ 내지 5 watts/㎠, 또는 약 200 watts/㎠ 내지 800 watts/㎠ 범위에서 유지시키는 단계에 의해 증착될 수도 있다.
상기 RF 전력은 13 MHz 내지 14 MHz 범위의 높은 주파수 및/또는 100 KHz 내지 1000 KHz 범위의 낮은 주파수로 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 지속시간의 사이클로 제공될 수도 있는데, 이때 전력은 200 Hz 이하의 사이클에 대해서는 전술한 레벨에서 온이고, 전체 온 사이클은 총 듀티 사이클의 약 10% 내지 약 30% 범위에 있다. 프로세싱 가스는 가스 분배기에 의해 챔버 내로 유입될 수 있으며, 가스 분배기는 기판 표면상으로부터 약 200 밀 내지 1200 밀 범위에 위치될 수도 있다. 상기 가스 분배기는 증착 프로세스 중에 약 300 밀 내지 약 800 밀 범위에 위치될 수도 있다.
상기 캡층은 배리어층이 증착되는 챔버와 같은 챔버 내에서 증착될 수도 있다. 일 실시예에서, 캡층은 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스로 부터 이용할 수 있는, Producer® Chamber 내에서 증착될 수도 있다. Producer® Chamber의 예는 본원에 참조되는 미국 특허 제 5,855,681호에 기재되어 있다.
전술된 프로세스 변수는 증착 챔버 내에서 200 mm 기판상에서 수행될 때 캡층에 대해 약 100 Å/min 내지 1000 Å/min 범위의 증착 속도를 제공한다.
바람직한 실리콘 카바이드 캡층 증착 프로세스의 예는 트리메틸실란을 프로세싱 챔버 내로 약 320 sccm으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 800 sccm으로 유입시키는 단계, 400 watts의 RF 전력을 인가함으로써 프로세싱 챔버내에 플라즈마를 발생시키는 단계, 기판온도를 약 350℃로 유지시키는 단계, 실리콘 카바이드 캡층을 증착하기 위해 챔버 압력을 약 12 Torr에서 유지시키는 단계를 포함한다. 가스 분배기와 기판 표면 사이의 간격은 400 밀이다. 실리콘 카바이드 캡층은 이 프로세스에 의해 약 370 Å/min으로 증착될 수도 있고 약 4.2의 유전상수를 갖는다.
상기 증착된 실리콘 카바이드 캡층은, 증착된 실리콘 카바이드 배리어층과 관련하여 기재한 것처럼, 플라즈마 처리될 수도 있다.
실리콘 카바이드층을 낮은 K 유전체층 주변에 증착하는 실시예는, 본 발명을 설명하기 위해 제공된 것이며, 본발명의 범위를 도시된 특정 실시예로 제한하고자하는 것이 아니다.
이중다마신 구조물용 배리어층의 증착
본원에 기재된 실리콘 카바이드 재료를 이용하여 배리어층으로서 형성된 다마신 구조물(50)의 한 예는 도 1에 도시된다. 실리콘 카바이드 배리어층(10)은 일반적으로 기판과 그 후에 증착된 재료 사이의 층간 확산을 제거하기 위해 본원에서 기재된 프로세스에 따라 전구체를 이용하여 기판 표면 위에 증착된다. 기판 표면은 유전체 재료(5) 내에 형성된 금속 피쳐(feaure)(7)를 포함할 수도 있다.
제 1 실리콘 카바이드 캡층(11)은 일반적으로 실리콘 카바이드 캡층을 증착하기 위한 본원에 기재된 전구체를 이용하여 실리콘 카바이드 배리어층 (10)에 증착된다. 본원에서 기재된 것과 같은 실리콘, 산소, 및 탄소를 포함하는 제 1 유전체층(12)은 실리콘 카바이드 캡층(11)상에 증착된다. 그후, 실리콘 카바이드 재료 또는 산화 유기실란층으로 이루어진 에칭 정지부(또는 제 2 배리어층)(14)가 제 1 유전체층(12) 상에 증착된다. 에칭 정지부(14)는 산화 유기실란층 또는 본원에 기재된 상기 유기실리콘 전구체로부터 증착된 실리콘 카바이드 재료를 포함할 수도 있다. 대안적으로, 에칭 정지부(14)는 질소함유 실리콘 카바이드 재료일 수도 있다. 그후, 에칭 정지부(14)는 인터커넥트 또는 콘택/비아(16)의 개구를 형성하기 위해 패턴 에칭 된다.
그후, 제 2 유전체층(18)은 패턴화된 에칭 정지부 상에 증착된다. 그후, 포토레지스트가 콘택/비아(16)을 형성하기 위해 공지된 종래의 수단에 의해 증착되고 패턴화 된다. 그후, 에칭 정지부 아래쪽에 콘택/비아(16)를 형성하기위해 그리고패턴화된 에칭 정지부에 의해 노출된 비보호 유전체를 에칭하여 콘택/비아(16)를 형성하기 위해 단일 에칭 프로세스가 수행된다. 구리와 같은 하나 이상의 전도체 재료(20)가 증착되어 형성된 콘택/비아(16)를 채운다.
본원에 기재된 프로세스에 의해 증착된 실리콘 카바이드 배리어층 및 실리콘 카바이드 캡층을 포함하는 본발명에 따라서 제조된 바람직한 이중 다마신 구조물은 본 발명의 단계들에 따른 기판의 횡단면들을 도시한 도 2a 내지 도 2h 에 순차적으로 도시된다.
도 2a에 도시된 바와 같이, 실리콘 카바이드 배리어층(110)은 실리콘 카바이드 배리어층의 증착을 위한 본원에 기재된 유기실리콘 전구체로부터 기판 표면 위에 증착된다. 실리콘 카바이드 배리어층(110)은 산소, 붕소, 인 또는 이들의 조합물로 도핑 될 수도 있다. 실리콘 카바이드 배리어층(110)은 디페닐실란을 프로세싱 챔버 내로 약 500 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 500 sccm으로 유입시키는 단계, 100 watts의 RF 전력을 인가함으로써 프로세싱 챔버에 플라즈마를 발생시키는 단계, 기판 온도를 약 290℃로 유지시키는 단계, 실리콘 카바이드 층을 증착하기 위해 챔버 압력을 약 3 Torr에서 유지시키는 단계를 포함한다. 실리콘 카바이드 재료는 상기 프로세스에 의해 약 1500 Å/min로 증착된다. 상기 증착된 실리콘 카바이드 층은 약 3.4의 유전상수를 나타낸다.
실리콘 카바이드의 캡층(111)은 배리어층(110) 상에 증착된다. 실리콘 카바이드 캡층은, 증착 프로세스 중에 최소화되었거나 제거되었던 질소 소스를 이용하여, 질소 도핑된 실리콘 카바이드 프로세스에 의해 인-시추 방식으로 증착될 수도 있다. 바람직하게, 무산소 유기실리콘 화합물을 포함하고 플라즈마를 형성하기 위해 반응되는 가스 혼합물로부터 실리콘 카바이드 캡층을 증착하기 위한 본원에 기재된 프로세스에 의해 캡층(111)이 증착된다.
실리콘 카바이드 배리어층(110) 및 캡층(111)은 헬륨 (He), 아르곤 (Ar), 네온 (Ne), 및 이들의 조합물을 포함하는 불활성 가스, 및/또는 수소, 암모니아, 및 이들의 조합물을 포함하는 환원가스로 플라즈마 처리될 수도 있다. 플라즈마 처리는 배리어층 및 캡층의 증착과 함께 인-시추 방식으로 수행될 수도 있다. 그러한 플라즈마 처리는 실리콘 카바이드 재료의 노출된 표면으로부터 오염물을 세정하고 층들을 안정화시킴으로써, 형성된 층들의 부착성 뿐만 아니라 대기 상태에서 수분 및/또는 산소와 덜 반응하게 만드는 것으로 믿어지고 있다.
플라즈마 프로세스는 200 밀리미터 기판의 경우에 약 5초 내지 약 60초 동안 약 200 watts 내지 약 800 watts의 전력 수준에서 수소와 같은 환원 가스 또는 헬륨으로 이루어진 프로세싱 가스를 포함한다. 반응성 세정 프로세스 중에, 디메틸페닐실란의 경우에, 프로세싱 챔버는 약 8.7 Torr 또는 그 미만의 압력에서 유지되며 층의 증착 온도와 유사한 온도 예를 들면 약 350 ℃의 기판온도에서 유지된다.
층간 유전체 재료의 제 1 유전체층(112)은, 제조되는 구조물의 크기에 따라, 트리메틸실란과 같은 유기실란 또는 유기실록산을 산화시킴으로써 캡층(111)상에 약 5,000 내지 약 15,000 Å의 두께로 증착된다. 층간 유전체 재료로 사용될 수도 있는 낮은 유전상수 재료의 예는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스사로부터 제조되어 이용가능한 블랙 다이아몬드(등록상표)(Black Diamond™) 필름이다. 대안적으로, 제 1 유전체층은 팔라린을 포함하는 낮은 k 폴리머 재료 또는 도핑되지 않은 실리콘 유리(USG) 또는 플루오린으로 도핑된 실리콘 유리(FSG)와 같은 낮은 k 스핀-온 유리와 같은 다른 낮은 k 유전체 재료를 또한 포함할 수도 있다.
그후, 제 1 유전체층(112)은 200 밀리미터 기판의 경우에 약 5초 내지 약 60초 동안 약 200 watts 내지 약 800 watts의 전력 수준에서 수소와 같은 환원 가스 또는 헬륨을 포함하는 플라즈마 프로세스에 의해 처리될 수도 있다. 반응성 세정 프로세스 중에, 프로세싱 챔버는 제 1 유전체층(112)의 증착 압력 및 온도와 유사한 압력 및 기판온도에서 유지된다.
도 2b 에 도시된 것처럼, 실리콘 카바이드 재료가 될 수도 있는 낮은 k 에칭 정지부는 그후, 약 200 내지 약 1000 Å의 두께로 제 1 유전체층 상에 증착된다. 낮은 k 에칭 정지부(114)는 실리콘 카바이드 배리어층(110)과 동일한 프로세스에 의해 그리고 동일한 전구체로부터 증착될 수도 있다. 낮은 k 에칭 정지부(114)는 실리콘 카바이드 배리어층(110)에 대해 본원에서 설명한 것처럼 플라즈마 처리될 수도 있다. 이어서, 낮은 k 에칭 정지부 (114)는 패턴 에칭되어 콘택/비아의 개구(116)를 형성하고 도 2c에 도시된 것처럼 콘택/비아가 형성되는 영역 내의 제 1 유전체층을 노출 시킨다. 바람직하게는, 낮은 k 에칭 정지부(114)는 플루오린, 탄소, 및 산소 이온을 이용하는 에칭 프로세스 및 종래의 포토리소그래피를 이용하여 패턴 에칭된다. 약 30 Å 내지 약 100 Å과 같은 약 100 Å 내지 약 500 Å 두께의 무질소 실리콘 카바이드, 또는 실리콘 옥사이드 캡층(115)은 또 다른 재료를 증착하기 전에 에칭 정지부(114) 상에 증착될 수도 있다. 무질소 실리콘 카바이드캡층(115)은 무산소 유기실리콘 화합물을 포함하는 가스 혼합물로부터 캡층을 증착하기위한 본원에 기재된 프로세스에 의해 증착될 수도 있다.
낮은 k 에칭 정지부(114) 및 캡층(115)이 존재하는 경우, 그 낮은 에칭 정지부 및 캡층이 에칭되어 콘택/비아를 패턴화하고 포토레지스트가 제거된 후에, 실리콘 옥시 카바이드의 제 2 유전체층(118)이 도 2d에 도시된 바와 같이 약 5,000 내지 약 15,000 Å범위의 두께로 증착된다. 제 2 유전체층(118)은 200 밀리미터 기판의 경우에 약 15초 내지 약 60초 동안 약 600 watts 내지 약 800 watts의 전력 수준에서 수소와 같은 환원 가스 또는 헬륨으로 플라즈마 처리될 수도 있다. 반응성 세정 프로세스 중에, 프로세싱 챔버는 약 20 Torr 또는 그 미만의 압력에서 유지되고 기판 온도는 약 450℃ 또는 그 미만에서 유지된다. 플라즈마 처리는 후속적으로 증착되는 재료에 대한 층(118) 표면의 반응성을 감소시킨다고 믿어진다.
대안적인 실시예에서, 약 100 Å 내지 약 500 Å 두께의 무질소 실리콘 카바이드 또는 실리콘 옥사이드 캡층(도시 않음)이 포토레지스트 재료와 같은 추가적인 재료를 증착하기 전에 제 2 유전체층(118)에 증착될 수도 있다. 또 다른 대안적인 실시예에서, 포토레지스트 재료와 같은 추가적인 재료를 증착하기 전에, 실리콘 카바이드 캡층(도시 않음)이 실리콘 카바이드 배리어층(110)에서와 동일한 전구체 및 동일한 프로세스에 의해 제 2 유전체층(118)상에 증착될 수도 있다.
이어서, 도 2e에 도시된 바와 같은 인터커넥트 라인들(120)을 형성하기 위해 포토레지스트 재료(122)는 제 2 유전체층(118) (또는 캡층)상에 증착되고 바람직하게 종래의 포토리소그래피 프로세스를 이용하여 패턴화된다. 포토레지스트 재료(122)는 통상적으로 공지된 재료, 바람직하게 메사츄세츠, 말보로 소재의 쉬플리 컴퍼니 인코포레이티드(Shipley Company Inc.)사로부터 제조되어 이용가능한 UV-5와 같은 고활성 에너지 포토레지스트를 포함한다. 이어서 도 2f에 도시된 바와 같은 메탈라이제이션 구조물(즉, 인터커넥트 및 콘택/비아)을 형성하기 위해 반응성 이온 에칭 또는 다른 이방성 에칭 기술을 이용하여 인터커넥트 및 콘택/비아가 에칭된다. 에칭 정지부(114), 캡층(115), 또는 제 2 유전체층(118)을 패턴화하는데 이용되는 포토레지스트 또는 다른 재료는 산소 스트립 또는 다른 적절한 프로세스를 이용하여 제거된다.
이어서, 메탈라이제이션 구조물이 그후, 알루미늄, 구리, 텅스텐, 또는 이들의 조합물과 같은 전도체 재료로 형성된다. 현재의 경향은 구리의 낮은 저항(알루미늄의 3.1 μΩ-㎝와 비교할 때 1.7 μΩ-㎝)으로 인해 보다 작은 피쳐를 형성하기 위해 구리를 사용한다. 바람직하게, 도 2g에 도시된 바와 같이, 주변의 실리콘 및/또는 유전체 재료 내로 구리가 이동하는것을 방지하기 위해 탄탈 나이트라이드와 같은 적절한 배리어층(124)이 먼저 메탈라이제이션 패턴에 일치되게(conformally) 증착된다. 그 후, 화학 기상 증착, 물리 기상 증착, 전기도금, 또는 이들의 조합물을 이용하여 구리(126)를 증착하여 전도체 구조물을 형성하게 된다. 구리 또는 다른 금속으로 구조물이 채워지면, 표면은 도 2h에 도시된 바와 같이 화학 기계적 폴리싱을 이용하여 평탄화된다.
본원에서 설명된 유기실리콘 화합물은 기판 표면상에 배리어층으로 증착되고 분석된다. 일 실시예에서, 실리콘 카바이드 배리어 필름이 디페닐실란 화합물로부터 증착되고 종래의 실리콘 카바이드 전구체, 즉 트리메틸실란으로부터의 실리콘 카바이드 배리어 필름과 비교된다.
디페닐실란 및 트리메틸실란 전구체 모두는 프로세싱 챔버 내로 디페닐실란 또는 트리메틸실란을 약 500 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 500 sccm으로 유입시키는 단계, 100 watts의 RF 전력을 인가함으로써 프로세싱 챔버에 플라즈마를 발생시키는 단계, 기판 온도를 약 290℃로 유지시키는 단계, 챔버 압력을 약 3 Torr에서 유지시켜 실리콘 카바이드층을 증착하는 단계에 의해 증착된다. 기판 표면으로부터의 가열기의 간격은 450 밀이다.
증착된 필름은 유전상수 및 배리어층 확산에 대해 조사되고 분석된다. 디페닐실란 실리콘 카바이드 필름은 약 3.4의 측정된 유전상수를 갖고 트리메틸실란 실리콘 카바이드 필름은 약 4.3의 측정된 유전상수를 갖는다.
배리어층 특성은 바이어스 온도 테스트에 의해 테스트되었고, 이는 전술된 실리콘 카바이드 필름을 이용하여 다마신 소자를 형성함으로써 그리고 소자의 누설 전류를 측정하면서 증착된 필름에 약 275 ℃의 기판의 온도를 가함으로써 수행된다. 누설 전류는 배리어층 특성이 저하됨에 따라 증가한다. 누설 전류가 약 10-3 amps/㎠ 에 도달할때 배리어층은 파괴되었다고 간주 된다. 이러한 프로세싱 조건 하에서 소자의 50% 가 파괴되는 시간을 측정하여 증착된 필름에 대한 배리어 효율을 표시한다. 필름들을 비교하면, 디페닐실란 실리콘 카바이드는 약 1 mega volts/㎝ (MV/㎝)에서 약 1e-09 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 1e-8 amps/㎠의 누설 전류를 갖고 약 7.9 시간 후에 50%의 실패율을 갖는 반면, 트리메틸실란 실리콘 카바이드 필름은 약 1 MV/㎝에서 약 1e-09 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 1e-6 amps/㎠의 누설 전류를 갖고 약 4.4 시간 후에 50%의 실패율을 갖는다.
다른 예에서, 디페닐메틸실란을 프로세싱 챔버 내로 약 500 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 500 sccm으로 유입시키는 단계, 100 watts의 RF 전력을 인가함으로써 프로세싱 챔버내에 플라즈마를 발생시키는 단계, 디페닐실란이 증착된 필름에 대해 기판 온도를 약 290℃로 유지시키는 단계, 실리콘 카바이드 층을 증착하기 위해 챔버 압력을 약 3 Torr에서 유지시키는 단계에 의해 실리콘 카바이드 배리어층을 증착하기 위해 디페닐메틸실란이 이용된다. 기판 표면으로부터 가열기 간격은 450 밀이다.
증착된 필름은 유전상수 및 배리어층 확산에 대해 조사되고 분석된다. 트리메틸실란이 증착된 실리콘 카바이드 필름이 약 4.3의 측정된 유전상수를 갖는데 비해 디페닐메틸실란 실리콘 카바이드 필름은 약 3.6의 측정된 유전상수를 갖는다. 필름들을 비교하면, 디페닐메틸실란 실리콘 카바이드는 약 1 MV/㎝에서 약 3e-9 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 4e-8 amps/㎠의 누설 전류를 갖고 약 10 시간 후에 50%의 실패율을 갖는 반면, 트리메틸실란 실리콘 카바이드 필름은 약 1 MV/㎝에서 약 1e-09 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 1e-6 amps/㎠의 누설 전류를 갖고 약 4.4 시간 후에 50%의 실패율을 갖는다.
다른 예에서, 디메틸페닐실란을 프로세싱 챔버 내로 약 500 mg/min으로 유입시키는 단계, 헬륨을 프로세싱 챔버 내로 약 1000 sccm으로 유입시키는 단계, 200 watts의 RF 전력을 인가함으로써 프로세싱 챔버내에 플라즈마를 발생시키는 단계, 디페닐실란이 증착된 필름에 대해 기판 온도를 약 350℃로 유지시키는 단계, 실리콘 카바이드 층을 증착하기 위해 챔버 압력을 약 6 Torr에서 유지시키는 단계에 의해 실리콘 카바이드 배리어층을 증착하기 위해 디메틸페닐실란이 이용된다. 기판 표면으로부터의 가열기의 간격은 450 밀이다.
증착된 필름은 유전상수 및 배리어층 확산에 대해 조사되고 분석된다. 트리메틸실란이 증착된 실리콘 카바이드 필름이 약 4.3의 측정된 유전상수를 갖는데 비해 디메틸페닐실란 실리콘 카바이드 필름은 약 3.5의 측정된 유전상수를 갖는다. 필름들을 비교하면, 디메틸페닐실란 실리콘 카바이드는 약 1 MV/㎝에서 약 1e-9 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 2e-8 amps/㎠의 누설 전류를 갖고 약 11 시간 후에 50%의 실패율을 갖는 반면, 트리메틸실란 실리콘 카바이드 필름은 약 1 MV/㎝에서 약 1e-09 amps/㎠의 누설 전류를 갖고 약 2 MV/㎝에서 약 1e-6 amps/㎠의 누설 전류를 갖고 약 4.4 시간 후에 50%의 실패율을 갖는다.
상기 데이타는 이용가능한 배리어층 프로세스와 비교할 때 디페닐실란 또는 알킬이 치환된 디페닐실란 화합물에 대해 배리어층 특성 및 유전상수의 예상외의 상당한 개선을 나타낸다.
또한, 본원에 기재된 배리어층의 특성과 본원에서 기재된 배리어층 및 캡층의 조합물의 특성을 비교하기 위해 데이타를 수집하였다. 배리어층은 플라즈마를 이용하여 Producer® Chamber 내에서 증착되었으며, 이때 디메틸페닐실란의 유속은 약 100 mg/min 내지 약 300 mg/min 이고, 헬륨의 유속은 약 500 sccm 내지 약 2000 sccm 이며, 간격은 약 350 밀 내지 약 600 밀 이고, 및 RF 전력은 약 200 watts/㎠ 내지 약 500 watts/㎠ 이었다. 배리어층은 3.4의 유전상수를 갖고 1 MV/㎝에서 2e-9 amps/㎠의 누설 전류를 갖는다. 실리콘 카바이드 캡층은 320 sccm의 트리메틸실란 유속, 800sccm의 헬륨 유속, 400 밀의 간격, 12 Torr의 압력, 및 400 watts의 RF 전력에서 플라즈마를 이용하여 Producer® Chamber 내에서 증착됐다. 실리콘 카바이드 캡층은 4.2의 유전상수 k를 갖고 1 MV/㎝에서 약 3.5e-9 amps/㎠의 누설 전류를 갖는다. 배리어층 및 캡층의 스택(stack), 즉 이들의 조합물은 3.43의 유전상수 k를 갖고 1 MV/㎝에서 약 2e-9 amps/㎠의 누설 전류를 갖는다. 그러므로, 배리어층과 캡층의 스택은 하나의 배리어층 보다 상당히 높은 k를 갖지는 않는다.
배리어층, 캡층, 및 본원에서 기재된 배리어층 및 캡층의 결합된 스택은 층의 산화를 측정하기 위해 산소 (O2) 플라즈마로 처리됐다. 그 결과를 표 1에 기재하였다.
Figure 112011092839300-pat00002
두세트의 값은 Producer® Chamber의 두 프로세싱 영역중 각각의 영역내에서 증착된 층들로부터 수집된 데이타로서, 증착된 층의 각각의 타입에 대해 기재하였다. 표 1에 도시된 바와 같이, 산소 플라즈마 처리 후에 산화된 층의 두께는 캡층을 갖는 배리어층 보다 캡층을 갖지않는 배리어층에서 상당히 크다. 그러므로, 본원에 기재된 캡층은 본원에 기재된 배리어층의 산화량, 즉 깊이를 감소시킨다고 믿어진다.
전술된 내용은 발명의 바람직한 실시예에 관한 것이며, 본 발명의 기본 범위내에서도 본 발명의 또 다른 실시예를 안출할 수 있을것이며, 본 발명의 범위는 다음 청구범위에 의해 결정된다.

Claims (15)

  1. 기판 프로세싱 방법으로서,
    이중 다마신 구조물을 위해, 금속 피쳐 및 유전체 재료를 포함하는 기판 표면 상에 배리어층을 형성하는 단계를 포함하고, 상기 형성하는 단계는:
    디메틸페닐실란 및 질소 함유 화합물을 포함하는 제 1 프로세싱 가스 혼합물을 프로세싱 챔버 내로 유입시킴으로써, 그리고 실리콘 카바이드 배리어층을 증착하기 위해 상기 제 1 프로세싱 가스 혼합물을 반응시킴으로써, 실리콘 카바이드 배리어층을 기판 표면 상에 증착하는 단계로서, 상기 실리콘 카바이드 배리어 층이 4 미만의 유전 상수를 갖는, 실리콘 카바이드 배리어층 증착 단계; 및
    트리메틸실란을 포함하는 제 2 프로세싱 가스 혼합물을 프로세싱 챔버 내로 유입시킴으로써, 그리고 상기 프로세싱 챔버 내에서 실리콘 카바이드 캡층을 증착하기 위해 상기 제 2 프로세싱 가스 혼합물을 반응시킴으로써, 상기 실리콘 카바이드 배리어층 상에 직접적으로(directly) 실리콘 카바이드 캡층을 증착하는 단계를 포함하는,
    기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 카바이드 배리어층이 15 원자 퍼센트 미만의 산소를 포함하는,
    기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 실리콘 카바이드 캡층은 0.02 W/㎠ 내지 5 W/㎠ 의 고주파 RF 전력밀도를 포함하는 플라즈마 상태하에서 증착되는,
    기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 제 2 프로세싱 가스 혼합물의 트리메틸실란이 50 sccm 내지 800 sccm 범위의 유량으로 챔버 내로 유입되는,
    기판 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 제 2 프로세싱 가스 혼합물은 아르곤, 헬륨, 질소, 및 암모니아로 이루어진 그룹으로부터 선택된 무산소 가스를 더 포함하는,
    기판 프로세싱 방법.
  6. 제 5 항에 있어서,
    상기 제 2 프로세싱 가스 혼합물의 상기 무산소 가스는 50 sccm 내지 2000 sccm 범위의 유량으로 챔버 내로 유입되는,
    기판 프로세싱 방법.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 제 1 프로세싱 가스 혼합물은 질소함유화합물, 붕소함유화합물, 인함유화합물, 및 이들 조합물로 이루어진 그룹으로부터 선택된 도펀트 성분을 더 포함하는,
    기판 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 질소함유화합물은 질소가스, 암모니아, 실라잔, 및 이들 조합물로 이루어진 그룹으로부터 선택되는,
    기판 프로세싱 방법.
  10. 제 1 항에 있어서,
    상기 제 1 프로세싱 가스 혼합물은 아르곤, 헬륨, 네온, 크세논, 또는 크립톤, 및 이들 조합물로 이루어진 그룹으로부터 선택된 불활성 가스를 더 포함하는,
    기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 카바이드 캡층 상에 직접적으로 유전체층을 증착하는 단계를 더 포함하며, 상기 유전체층은 4 미만의 유전상수를 갖는,
    기판 프로세싱 방법.
  12. 제 11 항에 있어서,
    상기 유전체층은 수소 원자를 제외하고 5 내지 30 원자 퍼센트의 탄소 함유량을 갖는,
    기판 프로세싱 방법.
  13. 제 12 항에 있어서,
    상기 유전체층은 플라즈마 강화 화학 기상 증착 기술에 따라 유기실란 또는 유기 실록산을 산화시킴으로써 증착되는,
    기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 유전체층은 플라즈마 강화 화학 기상 증착 기술에 따라 트리메틸실란 및 산소를 반응시킴으로써 증착되는,
    기판 프로세싱 방법.
  15. 제 14 항에 있어서,
    상기 유전체층은 0.16 W/㎠ 내지 0.48 W/㎠의 고주파 RF 전력밀도를 포함하는 플라즈마 상태하에서 증착되는,
    기판 프로세싱 방법.
KR1020117027943A 2002-10-07 2003-10-07 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름 KR101214995B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/266,551 US7749563B2 (en) 2002-10-07 2002-10-07 Two-layer film for next generation damascene barrier application with good oxidation resistance
US10/266,551 2002-10-07
PCT/US2003/031559 WO2004033752A2 (en) 2002-10-07 2003-10-07 Two-layer film for next generation damascene barrier application with good oxidation resistance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005935A Division KR101122458B1 (ko) 2002-10-07 2003-10-07 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름

Publications (2)

Publication Number Publication Date
KR20110134521A KR20110134521A (ko) 2011-12-14
KR101214995B1 true KR101214995B1 (ko) 2012-12-26

Family

ID=32042704

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057005935A KR101122458B1 (ko) 2002-10-07 2003-10-07 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름
KR1020117027943A KR101214995B1 (ko) 2002-10-07 2003-10-07 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020057005935A KR101122458B1 (ko) 2002-10-07 2003-10-07 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름

Country Status (7)

Country Link
US (1) US7749563B2 (ko)
EP (1) EP1558784A2 (ko)
JP (1) JP5031987B2 (ko)
KR (2) KR101122458B1 (ko)
CN (1) CN100510168C (ko)
AU (1) AU2003279839A1 (ko)
WO (1) WO2004033752A2 (ko)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US6949472B1 (en) * 2004-05-03 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for high kinetic energy plasma barrier deposition
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
JP4408816B2 (ja) * 2005-01-07 2010-02-03 富士通株式会社 半導体装置の製造方法
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
EP2116368A4 (en) * 2007-02-05 2012-04-11 Konica Minolta Holdings Inc TRANSLUCENT GASPERRFILM AND MANUFACTURING METHOD THEREFOR
US20100003483A1 (en) * 2007-02-05 2010-01-07 Kazuhiro Fukuda Transparent gas barrier film
JPWO2008096617A1 (ja) * 2007-02-06 2010-05-20 コニカミノルタホールディングス株式会社 透明ガスバリア性フィルム及び透明ガスバリア性フィルムの製造方法
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
JP2009016672A (ja) 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
JP2010003894A (ja) * 2008-06-20 2010-01-07 Nec Electronics Corp 半導体装置の製造方法及び半導体装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
CN102110639B (zh) * 2009-12-23 2013-12-04 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
FR2970110B1 (fr) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 Procede de fabrication d'une couche de dielectrique polycristalline
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6138439B2 (ja) * 2012-09-05 2017-05-31 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017088916A (ja) * 2015-11-04 2017-05-25 株式会社神戸製鋼所 シリコン原料を用いる成膜装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP2017085176A (ja) * 2017-02-10 2017-05-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
WO2021010004A1 (ja) * 2019-07-18 2021-01-21 東京エレクトロン株式会社 絶縁膜の形成方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) * 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (ja) * 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
EP0449117A3 (en) * 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4126759A1 (de) 1991-08-13 1993-02-18 Siemens Ag Verfahren zur erzeugung siliciumhaltiger organischer schichten
US5238866A (en) * 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
KR970003646B1 (ko) * 1992-05-15 1997-03-20 신에쯔 세끼에이 가부시끼가이샤 종형열처리장치 및 보온체와 그 제조방법
US5298597A (en) * 1992-09-18 1994-03-29 Industrial Technology Research Institute Aqueous preparation of polyamide with catalyst mixture
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) * 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) * 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) * 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) * 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
DE19637334A1 (de) * 1996-09-13 1998-03-19 Bayer Ag Stabilisierte blockierte Isocyanate
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5789316A (en) * 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6555476B1 (en) * 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6169039B1 (en) * 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
ATE228539T1 (de) * 1998-12-22 2002-12-15 Firmenich & Cie Poröse polymethylsilsesquioxane mit adsorbierenden eigenschaften
IT1309709B1 (it) 1999-02-19 2002-01-30 Autocar S P A Carrello scorrevole per telone per autocarro
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6592890B1 (en) * 1999-10-20 2003-07-15 Oxibio, Inc. Conveyance of anti-infective activity to wound dressings
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6576980B1 (en) 1999-11-30 2003-06-10 Agere Systems, Inc. Surface treatment anneal of hydrogenated silicon-oxy-carbide dielectric layer
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
JP4659329B2 (ja) * 2000-06-26 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6548599B2 (en) * 2001-03-14 2003-04-15 The Goodyear Tire & Rubber Company Rubber compositions containing silicon coupled oligomers
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6532150B2 (en) * 2001-05-31 2003-03-11 American Megatrends, Inc. Disk drive carrier apparatus and associated method
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US20030040195A1 (en) 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers

Also Published As

Publication number Publication date
KR20110134521A (ko) 2011-12-14
WO2004033752A3 (en) 2004-12-09
AU2003279839A8 (en) 2004-05-04
AU2003279839A1 (en) 2004-05-04
KR20050062596A (ko) 2005-06-23
EP1558784A2 (en) 2005-08-03
JP2006502586A (ja) 2006-01-19
CN1714168A (zh) 2005-12-28
US20040067308A1 (en) 2004-04-08
US7749563B2 (en) 2010-07-06
CN100510168C (zh) 2009-07-08
JP5031987B2 (ja) 2012-09-26
KR101122458B1 (ko) 2012-02-29
WO2004033752A2 (en) 2004-04-22

Similar Documents

Publication Publication Date Title
KR101214995B1 (ko) 차세대 다마신 배리어 용도를 위한 양호한 내산화성의 두-층 필름
US7319068B2 (en) Method of depositing low k barrier layers
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
KR101236474B1 (ko) 층간 부착 개선 방법
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
US7459404B2 (en) Adhesion improvement for low k dielectrics
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 6