US20030113995A1 - Method for depositing a low k dielectric film (k<3.5) for hard mask application - Google Patents

Method for depositing a low k dielectric film (k<3.5) for hard mask application Download PDF

Info

Publication number
US20030113995A1
US20030113995A1 US10/096,503 US9650302A US2003113995A1 US 20030113995 A1 US20030113995 A1 US 20030113995A1 US 9650302 A US9650302 A US 9650302A US 2003113995 A1 US2003113995 A1 US 2003113995A1
Authority
US
United States
Prior art keywords
dielectric layer
low
hard mask
silicon oxycarbide
hardness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/096,503
Other versions
US6699784B2 (en
Inventor
Li-Qun Xia
Ping Xu
Louis Yang
Tzu-Fang Huang
Wen Zhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/096,503 priority Critical patent/US6699784B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YANG, LOUIS, ZHU, WEN H., HUANG, TZU-FANG, XU, PING, XIA, LI-QUN
Publication of US20030113995A1 publication Critical patent/US20030113995A1/en
Application granted granted Critical
Publication of US6699784B2 publication Critical patent/US6699784B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene

Definitions

  • the present invention generally relates to the fabrication of integrated circuits. More particularly, the invention relates to a process for depositing a hard mask on a dielectric layer and structures which include the hard mask and the dielectric layer.
  • conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constant k ⁇ about 3.5) to also reduce the capacitive coupling between adjacent metal lines.
  • low k material is spin-on glass, such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG), which can be deposited as a gap fill layer in a semiconductor manufacturing process.
  • FSG fluorine-doped silicon glass
  • Another such low k material is silicon carbide which can used as a dielectric layer in fabricating damascene features.
  • Conductive materials having a low resistivity include copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • Methods for forming vertical and horizontal interconnects include damascene and dual damascene methods.
  • one or more dielectric materials such as low k dielectric materials
  • Conductive materials such as copper containing materials, and optionally, other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the vertical interconnects or the horizontal interconnects. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.
  • both the vertical interconnects and the horizontal interconnects are formed before conductive materials are inlaid.
  • One method used to form horizontal interconnects includes the use of a hard mask.
  • a hard mask is deposited on a substrate layer, such as a dielectric layer, and patterned to define the openings of horizontal interconnects.
  • hard masks remain as part of the structure after the underlying dielectric layer is etched to form the cavities which are horizontal interconnects.
  • hard masks should be resistant to the etchant or the process used to etch the underlying dielectric layer.
  • hard masks have a low dielectric constant, as they remain in a structure and contribute to the structure's overall dielectric constant.
  • hard masks are also preferably thermally stable and physically strong, so that they will not be damaged during substrate processing steps, such as annealing at high temperatures and chemical mechanical polishing.
  • aspects of the invention generally provide a method for depositing a low k silicon oxycarbide hard mask over a low k dielectric layer.
  • the invention provides a method of forming an interconnect structure on a substrate surface, comprising depositing a low k dielectric layer comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal (gPa) or less, or a silicon carbide, depositing a silicon oxycarbide hard mask having a hardness of greater than 1 gPa over the low k dielectric layer, patterning the hard mask with a horizontal interconnect pattern into the low k dielectric layer to form cavities corresponding to the horizontal interconnect pattern.
  • gPa giga Pascal
  • the invention provides a method of forming an interconnect structure on a substrate surface, comprising depositing a dielectric layer, depositing an etch stop over the dielectric layer, depositing a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal (gPa) or less, or a silicon carbide, over the etch stop, and depositing a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane.
  • a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether),
  • a substrate comprises a low k dielectric layer, comprising a material selected from the group consisting of polyimides, polytetrafluroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 gPa or less, and silicon carbides, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and having a porosity of less than about 2%.
  • a substrate comprises a dielectric layer, an etch stop, a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 gPa or less, or a silicon carbide, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane.
  • a substrate comprises a dielectric layer patterned with a horizontal interconnect, an etch stop over the dielectric layer that is not part of the horizontal interconnect, a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 gPa or less, or a silicon carbide, over the etch stop and patterned with a horizontal interconnect, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane, over the portion of the low k dielectric layer that is not part of the horizontal interconnect.
  • a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesqui
  • FIGS. 1 A- 1 E are cross sectional views showing one embodiment of an interconnect deposition sequence
  • FIGS. 2 A- 2 H are cross sectional view showing one embodiment of dual damascene structure.
  • aspects of the invention described herein refer to a method for depositing a low k silicon oxycarbide hard mask (k ⁇ 3.5) on a low k dielectric layer (k ⁇ 3.5) of a substrate. Aspects of the invention described herein also refer to substrates including a silicon oxycarbide hard mask on a low k dielectric layer.
  • the low k dielectric layer comprises material selected from the group consisting of polyimides, polytetrafluoroethylenes, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides, and silicon carbides.
  • the low k dielectric layer may be deposited on a substrate by reacting a processing gas in a plasma to form a dielectric layer having a dielectric constant less than about 4.
  • a dopant-containing gas may also be present during the reaction.
  • the processing gas may also include nitrogen (N 2 ) or an inert gas, such as argon (Ar) or helium (He), or combinations thereof.
  • the silicon oxycarbides may comprise various silicon, carbon, oxygen, and hydrogen containing materials.
  • the silicon oxycarbides may comprise silicon oxycarbides, such as Black DiamondTM film, available from Applied Materials, Inc., Santa Clara, Calif.
  • a method for depositing silicon oxycarbides is described in U.S. Pat. No. 6,287,990 B1, entitled, “CVD Plasma Assisted Low Dielectric Constant Films,” assigned to Applied Materials, Inc., the assignee of the present invention, and incorporated by reference herein.
  • the porosity of the dielectric layer comprising a silicon oxycarbide is greater than about 10% to achieve a dielectric constant (k) of below about 2.8.
  • the hardness of the dielectric layer is 1 gPa or less. The hardness of the dielectric layer is limited by the porosity that contributes to the low k of the layer. Silicon oxycarbide low k dielectric layers with the preferred hardnesses and/or porosities may be formed by controlling the amount of carbon in the silicon oxycarbide low k dielectric layers.
  • the following processing conditions may be used in a deposition chamber to form a low k dielectric layer: a pressure of about 5.75 Torr, a temperature of about 400° C., an RF power of about 800 watts, a heater spacing of about 50 mils, about 500 milligrams per minute (mgm) of octamethylcyclotetrasiloxane, about 600 standard cubic centimeters per minute (sccm) of trimethylsilane, about 1000 sccm of helium, about 1000 scmm of oxygen, and about 2000 sccm of ethylene.
  • a dielectric layer created by such a process has hardness of about 0.8 gPa and a porosity of about 18%.
  • the k of the dielectric layer is about 2.5.
  • the silicon oxycarbide hardmask which is deposited on the low k dielectric layer described above is formed by reacting a processing gas comprising a siloxane in a plasma.
  • the silicon oxycarbide hard mask comprises silicon, oxygen, carbon, and hydrogen, and has a dielectric constant of less than about 3.5, preferably less than about 3.
  • a low dielectric constant is a desirable feature for a hard mask because at least part of a hard mask typically remains in the substrate in which it is used.
  • the silicon oxycarbide hard masks described herein are particularly useful for patterning horizontal interconnect cavities into soft dielectric layers, i.e., having a hardness of less than about 0.5 giga Pascal, such as SILK® dielectric coatings available from Dow Chemical Company. Furthermore, it is contemplated that the use of the combination of these hard masks with the low k dielectric layers described above will result in precise patterning of the low k dielectric layers because of the different etching properties of the hard masks and the low k dielectric layers.
  • CF chemistry is used as an etchant on a substrate including a silicon oxycarbide hard mask and a SILK® dielectric layer
  • the silicon carbide layer will be etched and the silicon oxycarbide hard mask will not be etched.
  • the silicon oxycarbide hard mask has a hardness of greater than 1 gPa, preferably greater than about 1.5 gPa.
  • the silicon oxycarbide hard mask has a porosity of less than about 2% to prevent oxidative diffusion and moisture diffusion into the porous underlying dielectric layer.
  • the hardness of the silicon oxycarbide hard mask allows the hard mask to serve as a polishing stop during chemical mechanical polishing (CMP). CMP does not remove the hard mask, and thus, the hard mask protects underlying layers from overpolishing.
  • the silicon oxycarbide hard mask is formed by reacting a processing gas comprising a linear siloxane in a plasma. It is believed that the use of linear siloxanes rather than other types of siloxanes will result in the formation of a stronger hard mask. A strong hard mask is not as easily damaged during substrate processing steps such as chemical mechanical polishing. It is also believed that linear siloxanes are more stable and less likely to change structure.
  • the ring structures of cyclic siloxanes, such as tetramethylcyclotetrasiloxane (TMCTS) can open, and the siloxanes may then self-polymerize.
  • linear siloxanes examples include 1,1,3,3-tetramethyldisiloxane (TMDSO) and hexamethyldisiloxane.
  • TMDSO 1,1,3,3-tetramethyldisiloxane
  • hexamethyldisiloxane examples include 1,1,3,3-tetramethyldisiloxane (TMDSO) and hexamethyldisiloxane.
  • TMDSO 1,1,3,3-tetramethyldisiloxane
  • hexamethyldisiloxane hexamethyldisiloxane.
  • the ratio of silicon atoms to oxygen atoms in the processing gas can be selected by the choice of siloxane and used to tune the properties of the film by varying the relative amount of the atoms in the deposited material.
  • the silicon oxycarbide hard mask is formed by reacting a processing gas comprising a linear siloxane in a plasma that does not contain oxygen gas.
  • a processing gas comprising a linear siloxane
  • the absence of oxygen in the plasma prevents oxidative damage to the underlying dielectric layer, which is particularly important when a carbon containing dielectric layer, such as a SILK® dielectric coating, is used.
  • a preferred silicon oxycarbide hard mask is deposited in one embodiment by supplying a linear siloxane to a plasma processing chamber at a flow rate between about 10 and about 1000 standard cubic centimeters per minute (sccm).
  • An inert gas such as helium, argon, or combinations thereof, is also supplied to the chamber at a flow rate between about 50 sccm and about 5000 sccm.
  • the chamber pressure is maintained between about 100 milliTorr and about 15 Torr.
  • the substrate surface temperature is maintained between about 100° C. and about 450° C. during the deposition process.
  • a doped silicon oxycarbide layer can be deposited by introducing oxygen and/or a nitrogen source, or other dopant, into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm.
  • the linear siloxane, inert gas, and optional dopant are introduced to the processing chamber via a gas distribution plate spaced between about 200 millimeters (mm) and about 600 millimeters from the substrate on which the silicon carbide layer is being deposited upon.
  • Power from a single 13.56 MHz RF power source is supplied to the chamber 10 to form the plasma at a power density between about 0.3 watts/cm 2 and about 3.2 watts/cm 2 , or a power level between about 100 watts and about 1000 watts for a 200 mm substrate.
  • a power density between about 0.9 watts/cm 2 and about 2.3 watts/cm 2 , or a power level between about 300 watts and about 700 watts for a 200 mm substrate, is preferably supplied to the processing chamber to generate the plasma. Additionally, the ratio of the silicon source to the dopant in the gas mixture should have a range between about 1:1 and about 1:100.
  • the above process parameters provide a deposition rate for the silicon oxycarbide layer in a range between about 100 ⁇ /min and about 3000 ⁇ /min when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • CVD reactor An example of a CVD reactor that may be used with the processes herein is the ProducerTM system, which is described in U.S. Pat. No. 5,855,681, entitled, “Ultra High Throughput Wafer Vacuum Processing System,” assigned to Applied Materials, Inc., the assignee of the present invention, and incorporated by reference herein.
  • the deposited silicon oxycarbide may be annealed at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the silicon oxycarbide, if desired.
  • Inert gases such as argon and helium
  • reducing gases such as hydrogen, a combination of water and inert gas, or a combination of water and reducing gas may be added to the annealing atmosphere.
  • the deposited silicon oxycarbide layer may be plasma treated to modify the surface bonding structure or the exposed surface of the silicon oxycarbide layer may be otherwise conditioned prior to subsequent deposition of materials thereon.
  • the plasma treatment may be performed in the same chamber used to deposit the silicon oxycarbide.
  • the plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing gas including hydrogen, ammonia, and combinations thereof, to a processing chamber.
  • the inert gas or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, and generating a plasma in the processing chamber.
  • the plasma may be generated using a power density ranging between about 0.03 watts/cm 2 and about 3.2 watts/cm 2 , which is a RF power level of between about 10 watts and about 1000 watts for a 200 mm substrate.
  • the power level is about 100 watts for a silicon carbide material on a 200 mm substrate.
  • the RF power can be provided at a high frequency such as between 13 MHz and 14 MHz.
  • the RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.
  • the processing chamber is preferably maintained at a chamber pressure of between about 2 Torr and about 12 Torr, for example about 2.5 Torr.
  • the substrate is preferably maintained at a temperature between about 250° C. and about 450° C. during the plasma treatment.
  • a substrate temperature of about the same temperature of the silicon oxycarbide deposition process, for example about 290° C., may be used during the plasma treatment.
  • the plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used.
  • the processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 800 mils from the substrate surface.
  • the showerhead may be positioned between about 300 mils and about 400 mils during the plasma treatment.
  • FIG. 1A An example of an interconnect structure that is formed using the silicon oxycarbide material described herein as a hard mask is shown in FIG. 1A.
  • a silicon oxycarbide hardmask layer 104 having a hardness of greater than 1 gPa is generally deposited using a siloxane according to the processes described herein on a low k dielectric layer 102 comprising material selected from the group consisting of polyimides, polytetrafluoroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 giga Pascal (gPa) or less, and silicon carbides.
  • gPa giga Pascal
  • FIG. 1B shows the structure of FIG. 1A after the silicon oxycarbide layer hardmask 104 is patterned with a horizontal interconnect pattern.
  • the silicon oxycarbide layer hardmask 104 may be patterned by the use of a photoresist material (not shown) deposited on the silicon oxycarbide layer hardmask 104 and patterned preferably using conventional photolithography processes to define a horizontal interconnect opening 105 .
  • the photoresist material comprises a material conventionally known in the art, preferably a high activation energy photoresist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass.
  • the low k dielectric layer 102 is then etched using reactive ion etching or other anisotropic etching techniques to define the horizontal interconnect 106 , as shown in FIG. 1C. Any photoresist or other material used to pattern the silicon oxycarbide hardmask 104 is removed using an oxygen strip or other suitable process.
  • the horizontal interconnect 106 is then filled with a conductive material 108 such as copper, aluminum, tungsten, or combinations thereof.
  • a conductive material 108 is copper.
  • a barrier layer (not shown) is deposited on the substrate before the horizontal interconnect 106 is filled with a conductive material 108 .
  • a barrier layer helps prevent the diffusion of materials, such as copper into the silicon oxycarbide hard mask 104 and the low k dielectric layer 102 .
  • the conductive metal 108 is deposited by either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure, such as a metal wiring, in the horizontal interconnect 106 .
  • the surface of the structure is planarized using chemical mechanical polishing to yield a planar surface 110 , as shown in FIG. 1E.
  • the chemical mechanical polishing stops at and does not remove the hard mask 104 .
  • FIGS. 2 A- 2 H A preferred dual damascene structure fabricated in accordance with the invention including a silicon oxycarbide hard mask deposited by the processes described herein is shown in FIGS. 2 A- 2 H. It is recognized that the resulting structure, shown in FIGS. 2G and 2H, may be made by other processes than the dual damascene process described herein. For example, methods described in U.S. Pat. No. 6,140,226, entitled, “Dual Damascene Processing For Semiconductor Chip Interconnects,” which is incorporated by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein, may be used.
  • a dielectric layer 202 is deposited.
  • the dielectric layer 202 is a low k dielectric layer.
  • An etch stop 204 is deposited on the dielectric layer 202 , as shown in FIG. 2B.
  • the etch stop is patterned, as shown in FIG. 2C, such as by using a photoresist and photolithography, to define a vertical interconnect such as via opening 206 and to expose the dielectric layer 202 in the areas where vertical interconnects are to be formed.
  • a low k dielectric layer 208 comprising material selected from the group consisting of polyimides, polytetrafluoroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 giga Pascal (gPa) or less, and silicon carbides is deposited on the etch stop 204 and the exposed dielectric layer 202 , as shown in FIG. 2D.
  • a silicon oxycarbide hard mask 210 having a hardness of greater than 1 gPa is deposited using a siloxane according to the processes described herein.
  • One method of patterning the hard mask is shown in FIGS.
  • a layer of photoresist 212 may be deposited on the silicon oxycarbide hard mask and patterned, such as by photolithography, to define a horizontal interconnect opening 214 , as shown in FIG. 2F.
  • the silicon oxycarbide hard mask 210 , the low k dielectric layer 208 , and the dielectric layer 202 are etched to define via 216 and horizontal interconnect 218 , as shown in FIG. 2G. Any photoresist 212 or other material on the silicon oxycarbide hard mask 210 is removed using an oxygen strip or other suitable process.
  • the via 216 and horizontal interconnect 218 may then be filled with a conductive metal 220 , such as copper, aluminum, tungsten, or combinations thereof.
  • a barrier layer (not shown) may be deposited on the structure before the via 216 and the horizontal interconnect 218 are filled with a conductive metal.
  • the surface of the structure is planarized, such as by chemical mechanical polishing, as shown in FIG. 2H.
  • a silicon oxycarbide hard mask was deposited on a low k dielectric layer, such as SILK® dielectric coatings available from Dow Chemical Company or Black DiamondTM films available from Applied Materials, Inc. of Santa Clara, Calif., by introducing 1,1,3,3-tetramethyldisiloxane into a processing chamber at about 400 mg/min, introducing helium at about 250 sccm into the processing chamber, generating a plasma in the processing chamber by applying 700 watts of RF single frequency energy, maintaining the substrate temperature at about 350° C., and maintaining the chamber pressure at about 8 Torr. The heater spacing was about 500 mils from the substrate surface. Under these conditions, the silicon oxycarbide hard mask was deposited at about 1,825 ⁇ /min.
  • SILK® dielectric coatings available from Dow Chemical Company or Black DiamondTM films available from Applied Materials, Inc. of Santa Clara, Calif.
  • the deposited silicon oxycarbide hard mask was examined, and the measured dielectric constant was about 3.3.
  • the hardness of the silicon oxycarbide hard mask was about 1.8 gPa.
  • the leakage current of the silicon oxycarbide hard mask was about 1.1 ⁇ 10 ⁇ 9 amps/cm 2 at 1 mega volt/cm.
  • the compressive stress of the silicon oxycarbide hard mask was about 2 ⁇ 10 8 dyne/cm 2 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for depositing a silicon oxycarbide hard mask on a low k dielectric layer is provided. Substrates containing a silicon oxycarbide hard mask on a low k dielectric layer are also disclosed. The silicon oxycarbide hard mask may be formed by a processing gas comprising a siloxane.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application serial No. 60/340,615, filed Dec. 14, 2001, entitled “A Method for Depositing Dielectric Materials in Damascene Applications,” which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to the fabrication of integrated circuits. More particularly, the invention relates to a process for depositing a hard mask on a dielectric layer and structures which include the hard mask and the dielectric layer. [0003]
  • 2. Description of the Related Art [0004]
  • Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.35 μm and even 0.18 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries. [0005]
  • To further reduce the size of devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and to use insulators having low dielectric constants (dielectric constant k< about 3.5) to also reduce the capacitive coupling between adjacent metal lines. One such low k material is spin-on glass, such as un-doped silicon glass (USG) or fluorine-doped silicon glass (FSG), which can be deposited as a gap fill layer in a semiconductor manufacturing process. Another such low k material is silicon carbide which can used as a dielectric layer in fabricating damascene features. [0006]
  • Conductive materials having a low resistivity include copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state. [0007]
  • One difficulty in using copper in semiconductor devices is that copper is difficult to etch and pattern precisely. Etching copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper containing materials and low k dielectric materials are being developed. [0008]
  • Methods for forming vertical and horizontal interconnects include damascene and dual damascene methods. In the damascene method, one or more dielectric materials, such as low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, e.g. vias, or horizontal interconnects, e.g., lines. Conductive materials, such as copper containing materials, and optionally, other materials, such as barrier layer materials used to prevent diffusion of copper containing materials into the surrounding low k dielectric, are then inlaid into the vertical interconnects or the horizontal interconnects. Any excess copper containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed. In the dual damascene method, both the vertical interconnects and the horizontal interconnects are formed before conductive materials are inlaid. [0009]
  • One method used to form horizontal interconnects includes the use of a hard mask. A hard mask is deposited on a substrate layer, such as a dielectric layer, and patterned to define the openings of horizontal interconnects. Unlike soft masks, hard masks remain as part of the structure after the underlying dielectric layer is etched to form the cavities which are horizontal interconnects. Thus, hard masks should be resistant to the etchant or the process used to etch the underlying dielectric layer. Preferably, hard masks have a low dielectric constant, as they remain in a structure and contribute to the structure's overall dielectric constant. Furthermore, hard masks are also preferably thermally stable and physically strong, so that they will not be damaged during substrate processing steps, such as annealing at high temperatures and chemical mechanical polishing. [0010]
  • There remains a need for an improved process for depositing hard masks which are strong, have a low dielectric constant, and are resistant to etchants used to etch the dielectric layer upon which they are deposited. [0011]
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide a method for depositing a low k silicon oxycarbide hard mask over a low k dielectric layer. In one embodiment, the invention provides a method of forming an interconnect structure on a substrate surface, comprising depositing a low k dielectric layer comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal (gPa) or less, or a silicon carbide, depositing a silicon oxycarbide hard mask having a hardness of greater than 1 gPa over the low k dielectric layer, patterning the hard mask with a horizontal interconnect pattern into the low k dielectric layer to form cavities corresponding to the horizontal interconnect pattern. In another embodiment, the invention provides a method of forming an interconnect structure on a substrate surface, comprising depositing a dielectric layer, depositing an etch stop over the dielectric layer, depositing a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal (gPa) or less, or a silicon carbide, over the etch stop, and depositing a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane. [0012]
  • In other aspects of the invention, substrates comprising a low k dielectric layer and a silicon oxycarbide hard mask are provided. In one or more embodiments, a substrate comprises a low k dielectric layer, comprising a material selected from the group consisting of polyimides, polytetrafluroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 gPa or less, and silicon carbides, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and having a porosity of less than about 2%. In one embodiment, a substrate comprises a dielectric layer, an etch stop, a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 gPa or less, or a silicon carbide, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane. In another embodiment, a substrate comprises a dielectric layer patterned with a horizontal interconnect, an etch stop over the dielectric layer that is not part of the horizontal interconnect, a low k dielectric layer comprising a polyimide, a polytetrafluroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 gPa or less, or a silicon carbide, over the etch stop and patterned with a horizontal interconnect, and a silicon oxycarbide hard mask having a hardness of greater than 1 gPa and formed by a processing gas comprising a siloxane, over the portion of the low k dielectric layer that is not part of the horizontal interconnect.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the aspects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0014]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0015]
  • FIGS. [0016] 1A-1E are cross sectional views showing one embodiment of an interconnect deposition sequence; and
  • FIGS. [0017] 2A-2H are cross sectional view showing one embodiment of dual damascene structure.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Aspects of the invention described herein refer to a method for depositing a low k silicon oxycarbide hard mask (k<3.5) on a low k dielectric layer (k<3.5) of a substrate. Aspects of the invention described herein also refer to substrates including a silicon oxycarbide hard mask on a low k dielectric layer. [0018]
  • The low k dielectric layer comprises material selected from the group consisting of polyimides, polytetrafluoroethylenes, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides, and silicon carbides. The low k dielectric layer may be deposited on a substrate by reacting a processing gas in a plasma to form a dielectric layer having a dielectric constant less than about 4. A dopant-containing gas may also be present during the reaction. The processing gas may also include nitrogen (N[0019] 2) or an inert gas, such as argon (Ar) or helium (He), or combinations thereof.
  • In a low k dielectric layer which comprises silicon oxycarbides, the silicon oxycarbides may comprise various silicon, carbon, oxygen, and hydrogen containing materials. For example, the silicon oxycarbides may comprise silicon oxycarbides, such as Black Diamond™ film, available from Applied Materials, Inc., Santa Clara, Calif. A method for depositing silicon oxycarbides is described in U.S. Pat. No. 6,287,990 B1, entitled, “CVD Plasma Assisted Low Dielectric Constant Films,” assigned to Applied Materials, Inc., the assignee of the present invention, and incorporated by reference herein. [0020]
  • Preferably, the porosity of the dielectric layer comprising a silicon oxycarbide is greater than about 10% to achieve a dielectric constant (k) of below about 2.8. In an embodiment using a low k dielectric layer comprising a silicon oxycarbide, the hardness of the dielectric layer is 1 gPa or less. The hardness of the dielectric layer is limited by the porosity that contributes to the low k of the layer. Silicon oxycarbide low k dielectric layers with the preferred hardnesses and/or porosities may be formed by controlling the amount of carbon in the silicon oxycarbide low k dielectric layers. For example, the following processing conditions may be used in a deposition chamber to form a low k dielectric layer: a pressure of about 5.75 Torr, a temperature of about 400° C., an RF power of about 800 watts, a heater spacing of about 50 mils, about 500 milligrams per minute (mgm) of octamethylcyclotetrasiloxane, about 600 standard cubic centimeters per minute (sccm) of trimethylsilane, about 1000 sccm of helium, about 1000 scmm of oxygen, and about 2000 sccm of ethylene. A dielectric layer created by such a process has hardness of about 0.8 gPa and a porosity of about 18%. The k of the dielectric layer is about 2.5. [0021]
  • The silicon oxycarbide hardmask which is deposited on the low k dielectric layer described above is formed by reacting a processing gas comprising a siloxane in a plasma. The silicon oxycarbide hard mask comprises silicon, oxygen, carbon, and hydrogen, and has a dielectric constant of less than about 3.5, preferably less than about 3. A low dielectric constant is a desirable feature for a hard mask because at least part of a hard mask typically remains in the substrate in which it is used. [0022]
  • The silicon oxycarbide hard masks described herein are particularly useful for patterning horizontal interconnect cavities into soft dielectric layers, i.e., having a hardness of less than about 0.5 giga Pascal, such as SILK® dielectric coatings available from Dow Chemical Company. Furthermore, it is contemplated that the use of the combination of these hard masks with the low k dielectric layers described above will result in precise patterning of the low k dielectric layers because of the different etching properties of the hard masks and the low k dielectric layers. For example, if CF chemistry is used as an etchant on a substrate including a silicon oxycarbide hard mask and a SILK® dielectric layer, the silicon carbide layer will be etched and the silicon oxycarbide hard mask will not be etched. [0023]
  • The silicon oxycarbide hard mask has a hardness of greater than 1 gPa, preferably greater than about 1.5 gPa. Preferably, the silicon oxycarbide hard mask has a porosity of less than about 2% to prevent oxidative diffusion and moisture diffusion into the porous underlying dielectric layer. The hardness of the silicon oxycarbide hard mask allows the hard mask to serve as a polishing stop during chemical mechanical polishing (CMP). CMP does not remove the hard mask, and thus, the hard mask protects underlying layers from overpolishing. [0024]
  • Preferably, the silicon oxycarbide hard mask is formed by reacting a processing gas comprising a linear siloxane in a plasma. It is believed that the use of linear siloxanes rather than other types of siloxanes will result in the formation of a stronger hard mask. A strong hard mask is not as easily damaged during substrate processing steps such as chemical mechanical polishing. It is also believed that linear siloxanes are more stable and less likely to change structure. The ring structures of cyclic siloxanes, such as tetramethylcyclotetrasiloxane (TMCTS) can open, and the siloxanes may then self-polymerize. Examples of linear siloxanes that may be used include 1,1,3,3-tetramethyldisiloxane (TMDSO) and hexamethyldisiloxane. The ratio of silicon atoms to oxygen atoms in the processing gas can be selected by the choice of siloxane and used to tune the properties of the film by varying the relative amount of the atoms in the deposited material. [0025]
  • Preferably, the silicon oxycarbide hard mask is formed by reacting a processing gas comprising a linear siloxane in a plasma that does not contain oxygen gas. The absence of oxygen in the plasma prevents oxidative damage to the underlying dielectric layer, which is particularly important when a carbon containing dielectric layer, such as a SILK® dielectric coating, is used. [0026]
  • A preferred silicon oxycarbide hard mask is deposited in one embodiment by supplying a linear siloxane to a plasma processing chamber at a flow rate between about 10 and about 1000 standard cubic centimeters per minute (sccm). An inert gas, such as helium, argon, or combinations thereof, is also supplied to the chamber at a flow rate between about 50 sccm and about 5000 sccm. The chamber pressure is maintained between about 100 milliTorr and about 15 Torr. The substrate surface temperature is maintained between about 100° C. and about 450° C. during the deposition process. Alternatively, a doped silicon oxycarbide layer can be deposited by introducing oxygen and/or a nitrogen source, or other dopant, into the processing chamber at a flow rate between about 50 sccm and about 10,000 sccm. [0027]
  • The linear siloxane, inert gas, and optional dopant, are introduced to the processing chamber via a gas distribution plate spaced between about 200 millimeters (mm) and about 600 millimeters from the substrate on which the silicon carbide layer is being deposited upon. Power from a single 13.56 MHz RF power source is supplied to the chamber [0028] 10 to form the plasma at a power density between about 0.3 watts/cm2 and about 3.2 watts/cm2, or a power level between about 100 watts and about 1000 watts for a 200 mm substrate. A power density between about 0.9 watts/cm2 and about 2.3 watts/cm2, or a power level between about 300 watts and about 700 watts for a 200 mm substrate, is preferably supplied to the processing chamber to generate the plasma. Additionally, the ratio of the silicon source to the dopant in the gas mixture should have a range between about 1:1 and about 1:100. The above process parameters provide a deposition rate for the silicon oxycarbide layer in a range between about 100 Å/min and about 3000 Å/min when implemented on a 200 mm (millimeter) substrate in a deposition chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • An example of a CVD reactor that may be used with the processes herein is the Producer™ system, which is described in U.S. Pat. No. 5,855,681, entitled, “Ultra High Throughput Wafer Vacuum Processing System,” assigned to Applied Materials, Inc., the assignee of the present invention, and incorporated by reference herein. [0029]
  • Following deposition, the deposited silicon oxycarbide may be annealed at a temperature between about 100° C. and about 400° C. for between about 1 minute and about 60 minutes, preferably at about 30 minutes, to reduce the moisture content and increase the solidity and hardness of the silicon oxycarbide, if desired. Inert gases, such as argon and helium, reducing gases, such as hydrogen, a combination of water and inert gas, or a combination of water and reducing gas may be added to the annealing atmosphere. [0030]
  • The deposited silicon oxycarbide layer may be plasma treated to modify the surface bonding structure or the exposed surface of the silicon oxycarbide layer may be otherwise conditioned prior to subsequent deposition of materials thereon. The plasma treatment may be performed in the same chamber used to deposit the silicon oxycarbide. [0031]
  • The plasma treatment generally includes providing an inert gas including helium, argon, neon, xenon, krypton, or combinations thereof, of which helium is preferred, and/or a reducing gas including hydrogen, ammonia, and combinations thereof, to a processing chamber. The inert gas or reducing gas is introduced into the processing chamber at a flow rate between about 500 sccm and about 3000 sccm, and generating a plasma in the processing chamber. The plasma may be generated using a power density ranging between about 0.03 watts/cm[0032] 2 and about 3.2 watts/cm2, which is a RF power level of between about 10 watts and about 1000 watts for a 200 mm substrate. Preferably, the power level is about 100 watts for a silicon carbide material on a 200 mm substrate. The RF power can be provided at a high frequency such as between 13 MHz and 14 MHz. The RF power can be provided continuously or in short duration cycles wherein the power is on at the stated levels for cycles less than about 200 Hz and the on cycles total between about 10% and about 30% of the total duty cycle.
  • The processing chamber is preferably maintained at a chamber pressure of between about 2 Torr and about 12 Torr, for example about 2.5 Torr. The substrate is preferably maintained at a temperature between about 250° C. and about 450° C. during the plasma treatment. A substrate temperature of about the same temperature of the silicon oxycarbide deposition process, for example about 290° C., may be used during the plasma treatment. The plasma treatment may be performed between about 10 seconds and about 100 seconds, with a plasma treatment between about 40 seconds and about 60 seconds preferably used. The processing gas may be introduced into the chamber by a gas distributor, the gas distributor may be positioned between about 200 mils and about 800 mils from the substrate surface. The showerhead may be positioned between about 300 mils and about 400 mils during the plasma treatment. [0033]
  • However, it should be noted that the respective parameters may be modified to perform the plasma processes in various chambers and for different substrate sizes, such as 300 mm substrates. An example of a plasma treatment for a silicon and carbon containing film is further disclosed in U.S. patent application Ser. No. 09/336,525, entitled, “Plasma Treatment to Enhance Adhesion and to Minimize Oxidation of Carbon-Containing Layers,” filed on Jun. 18, 1999, which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein. [0034]
  • Deposition of a Hard Mask for an Interconnect Structure [0035]
  • An example of an interconnect structure that is formed using the silicon oxycarbide material described herein as a hard mask is shown in FIG. 1A. A silicon [0036] oxycarbide hardmask layer 104 having a hardness of greater than 1 gPa is generally deposited using a siloxane according to the processes described herein on a low k dielectric layer 102 comprising material selected from the group consisting of polyimides, polytetrafluoroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 giga Pascal (gPa) or less, and silicon carbides.
  • FIG. 1B shows the structure of FIG. 1A after the silicon [0037] oxycarbide layer hardmask 104 is patterned with a horizontal interconnect pattern. The silicon oxycarbide layer hardmask 104 may be patterned by the use of a photoresist material (not shown) deposited on the silicon oxycarbide layer hardmask 104 and patterned preferably using conventional photolithography processes to define a horizontal interconnect opening 105. The photoresist material comprises a material conventionally known in the art, preferably a high activation energy photoresist, such as UV-5, commercially available from Shipley Company Inc., of Marlborough, Mass. The low k dielectric layer 102 is then etched using reactive ion etching or other anisotropic etching techniques to define the horizontal interconnect 106, as shown in FIG. 1C. Any photoresist or other material used to pattern the silicon oxycarbide hardmask 104 is removed using an oxygen strip or other suitable process.
  • In one embodiment, the [0038] horizontal interconnect 106 is then filled with a conductive material 108 such as copper, aluminum, tungsten, or combinations thereof. Preferably, the conductive material 108 is copper. In one preferred embodiment, a barrier layer (not shown) is deposited on the substrate before the horizontal interconnect 106 is filled with a conductive material 108. A barrier layer helps prevent the diffusion of materials, such as copper into the silicon oxycarbide hard mask 104 and the low k dielectric layer 102.
  • The [0039] conductive metal 108 is deposited by either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure, such as a metal wiring, in the horizontal interconnect 106. Once the horizontal interconnect 106 has been filled with metal, the surface of the structure is planarized using chemical mechanical polishing to yield a planar surface 110, as shown in FIG. 1E. As shown in FIG. 1E, the chemical mechanical polishing stops at and does not remove the hard mask 104.
  • A preferred dual damascene structure fabricated in accordance with the invention including a silicon oxycarbide hard mask deposited by the processes described herein is shown in FIGS. [0040] 2A-2H. It is recognized that the resulting structure, shown in FIGS. 2G and 2H, may be made by other processes than the dual damascene process described herein. For example, methods described in U.S. Pat. No. 6,140,226, entitled, “Dual Damascene Processing For Semiconductor Chip Interconnects,” which is incorporated by reference to the extent not inconsistent with the disclosure and claimed aspects of the invention described herein, may be used.
  • As shown in FIG. 2A, a [0041] dielectric layer 202 is deposited. Preferably, the dielectric layer 202 is a low k dielectric layer. An etch stop 204 is deposited on the dielectric layer 202, as shown in FIG. 2B. The etch stop is patterned, as shown in FIG. 2C, such as by using a photoresist and photolithography, to define a vertical interconnect such as via opening 206 and to expose the dielectric layer 202 in the areas where vertical interconnects are to be formed. A low k dielectric layer 208 comprising material selected from the group consisting of polyimides, polytetrafluoroethylene, parylenes, polysilsesquioxanes, fluorinated poly(aryl ethers), fluorinated amorphous carbon, silicon oxycarbides having a hardness of 1 giga Pascal (gPa) or less, and silicon carbides is deposited on the etch stop 204 and the exposed dielectric layer 202, as shown in FIG. 2D. As shown in FIG. 2E, a silicon oxycarbide hard mask 210 having a hardness of greater than 1 gPa is deposited using a siloxane according to the processes described herein. One method of patterning the hard mask is shown in FIGS. 2F and 2G. A layer of photoresist 212 may be deposited on the silicon oxycarbide hard mask and patterned, such as by photolithography, to define a horizontal interconnect opening 214, as shown in FIG. 2F. The silicon oxycarbide hard mask 210, the low k dielectric layer 208, and the dielectric layer 202 are etched to define via 216 and horizontal interconnect 218, as shown in FIG. 2G. Any photoresist 212 or other material on the silicon oxycarbide hard mask 210 is removed using an oxygen strip or other suitable process.
  • The via [0042] 216 and horizontal interconnect 218 may then be filled with a conductive metal 220, such as copper, aluminum, tungsten, or combinations thereof. Optionally, a barrier layer (not shown) may be deposited on the structure before the via 216 and the horizontal interconnect 218 are filled with a conductive metal. Preferably, after the deposition of the metal 220, the surface of the structure is planarized, such as by chemical mechanical polishing, as shown in FIG. 2H.
  • EXAMPLE
  • A silicon oxycarbide hard mask was deposited on a low k dielectric layer, such as SILK® dielectric coatings available from Dow Chemical Company or Black Diamond™ films available from Applied Materials, Inc. of Santa Clara, Calif., by introducing 1,1,3,3-tetramethyldisiloxane into a processing chamber at about 400 mg/min, introducing helium at about 250 sccm into the processing chamber, generating a plasma in the processing chamber by applying 700 watts of RF single frequency energy, maintaining the substrate temperature at about 350° C., and maintaining the chamber pressure at about 8 Torr. The heater spacing was about 500 mils from the substrate surface. Under these conditions, the silicon oxycarbide hard mask was deposited at about 1,825 Å/min. [0043]
  • The deposited silicon oxycarbide hard mask was examined, and the measured dielectric constant was about 3.3. The hardness of the silicon oxycarbide hard mask was about 1.8 gPa. The leakage current of the silicon oxycarbide hard mask was about 1.1×10[0044] −9 amps/cm2 at 1 mega volt/cm. The compressive stress of the silicon oxycarbide hard mask was about 2×108 dyne/cm2.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0045]

Claims (20)

What is claimed is:
1. A method of forming an interconnect structure on a substrate surface, comprising:
depositing a low k dielectric layer (k<3.5) comprising a polyimide, a polytetrafluoroethylene, a parlyene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal or less, or a silicon carbide;
depositing a low k silicon oxycarbide hard mask (k<3.5) having a hardness of greater than 1 giga Pascal over the low k dielectric layer;
patterning the hard mask with a horizontal interconnect pattern; and
transferring the horizontal interconnect pattern into the low k dielectric layer to form cavities corresponding to the horizontal interconnect pattern.
2. The method of claim 1, further comprising filling the cavities with metal.
3. The method of claim 2, wherein the metal is copper.
4. The method of claim 1, further comprising;
depositing on the substrate a second dielectric layer before depositing the low k dielectric layer.
5. The method of claim 4, further comprising
depositing an etch stop on the second dielectric layer before depositing the low k dielectric layer.
6. The method of claim 5, further comprising patterning the etch stop with a via pattern.
7. The method of claim 6, further comprising transferring the via pattern into the second dielectric layer to form via cavities corresponding to the via pattern.
8. The method of claim 1, wherein the silicon oxycarbide hard mask is formed by a processing gas comprising a siloxane.
9. The method of claim 8, wherein the siloxane is a linear siloxane.
10. The method of claim 8, wherein the siloxane is 1,1,3,3-tetramethyldisiloxane.
11. The method of claim 1, further comprising depositing a layer of photoresist on the hard mask and wherein patterning the hard mask comprises patterning the photoresist with a horizontal interconnect pattern and patterning the hard mask using the horizontal interconnect patterned layer of photoresist as a mask.
12. The method of claim 1, wherein the silicon oxycarbide hard mask has a hardness of greater than about 1.5 giga Pascal.
13. A substrate, comprising:
a low k dielectric layer (k<3.5), comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal or less, or a silicon carbide; and
a low k silicon oxycarbide hard mask (k<3.5) having a hardness of greater than 1 giga Pascal and having a porosity of less than about 2%.
14. The substrate of claim 13, wherein the silicon oxycarbide hard mask is formed by a processing gas comprising a siloxane.
15. The substrate of claim 13, further comprising an etch stop under the low k dielectric layer.
16. The substrate of claim 15, further comprising a second dielectric layer under the etch stop.
17. The substrate of claim 13, wherein the silicon oxycarbide hard mask has a hardness of greater than about 1.5 giga Pascal.
18. A substrate comprising a dielectric layer, an etch stop, a low k dielectric layer (k<3.5) comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal or less, or a silicon carbide, and a low k silicon oxycarbide hard mask (k<3.5) having a hardness of greater than 1 giga Pascal and formed by a processing gas comprising a siloxane.
19. A substrate comprising a dielectric layer patterned with a horizontal interconnect, an etch stop over the dielectric layer that is not part of the horizontal interconnect, a low k dielectric layer (k<3.5) comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal or less, or a silicon carbide, over the etch stop and patterned with a horizontal interconnect, and a low k silicon oxycarbide hard mask (k<3.5) having a hardness of greater than 1 giga Pascal and formed by a processing gas comprising a siloxane, over the portion of the low k dielectric layer that is not part of the horizontal interconnect.
20. A method of forming an interconnect structure on a substrate surface, comprising:
depositing a dielectric layer;
depositing an etch stop over the dielectric layer;
depositing a low k dielectric layer (k<3.5) comprising a polyimide, a polytetrafluoroethylene, a parylene, a polysilsesquioxane, a fluorinated poly(aryl ether), a fluorinated amorphous carbon, a silicon oxycarbide having a hardness of 1 giga Pascal or less, or a silicon carbide, over the etch stop; and
depositing a low k silicon oxycarbide hard mask (k<3.5) having a hardness of greater than 1 giga Pascal and formed by a processing gas comprising a siloxane.
US10/096,503 2001-12-14 2002-03-12 Method for depositing a low k dielectric film (K>3.5) for hard mask application Expired - Fee Related US6699784B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/096,503 US6699784B2 (en) 2001-12-14 2002-03-12 Method for depositing a low k dielectric film (K>3.5) for hard mask application

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34061501P 2001-12-14 2001-12-14
US10/096,503 US6699784B2 (en) 2001-12-14 2002-03-12 Method for depositing a low k dielectric film (K>3.5) for hard mask application

Publications (2)

Publication Number Publication Date
US20030113995A1 true US20030113995A1 (en) 2003-06-19
US6699784B2 US6699784B2 (en) 2004-03-02

Family

ID=26791760

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/096,503 Expired - Fee Related US6699784B2 (en) 2001-12-14 2002-03-12 Method for depositing a low k dielectric film (K>3.5) for hard mask application

Country Status (1)

Country Link
US (1) US6699784B2 (en)

Cited By (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040061236A1 (en) * 2002-09-30 2004-04-01 Sanyo Electric Co., Ltd. Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US6974772B1 (en) * 2004-08-19 2005-12-13 Intel Corporation Integrated low-k hard mask
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
CN100388477C (en) * 2004-11-04 2008-05-14 国际商业机器公司 Interconnection structure and its forming method
US20080164599A1 (en) * 2007-01-08 2008-07-10 Markus Brunnbauer Semiconductor module
US20100117173A1 (en) * 2008-11-11 2010-05-13 Ki-Jun Yun Image sensor and method for manufacturing the same
CN103377886A (en) * 2012-04-13 2013-10-30 中芯国际集成电路制造(上海)有限公司 Hard mask layer structure, manufacturing method thereof and manufacturing method of semiconductor device
CN103972208A (en) * 2013-01-31 2014-08-06 台湾积体电路制造股份有限公司 Capping layer for improved deposition selectivity
WO2017132908A1 (en) * 2016-02-03 2017-08-10 Applied Materials, Inc. A shadow mask with tapered openings formed by double electroforming using positive/negative photoresists
CN112469846A (en) * 2018-07-24 2021-03-09 朗姆研究公司 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
CN113126441A (en) * 2021-03-29 2021-07-16 上海华力集成电路制造有限公司 Optimization method for improving photoetching defects caused by water adsorption of photoetching front-layer film
US11114294B2 (en) * 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US20220149180A1 (en) * 2019-12-20 2022-05-12 Taiwan Semiconductore Manufaturing Co., Ltd Silicon carbide oxide hard mask for reducing dishing effects
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12051602B2 (en) 2020-05-04 2024-07-30 Asm Ip Holding B.V. Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US12068154B2 (en) 2020-04-13 2024-08-20 Asm Ip Holding B.V. Method of forming a nitrogen-containing carbon film and system for performing the method
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US12106944B2 (en) 2020-06-02 2024-10-01 Asm Ip Holding B.V. Rotating substrate support
US12107005B2 (en) 2020-10-06 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material
US12112940B2 (en) 2019-07-19 2024-10-08 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US12125700B2 (en) 2021-01-13 2024-10-22 Asm Ip Holding B.V. Method of forming high aspect ratio features

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2431849C (en) * 2000-12-15 2013-07-30 Broadstrom Telecommunications, Inc. Multi-carrier communications with group-based subcarrier allocation
US6947748B2 (en) 2000-12-15 2005-09-20 Adaptix, Inc. OFDMA with adaptive subcarrier-cluster configuration and selective loading
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
JP3967253B2 (en) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 Porous insulating film forming method and porous insulating film forming apparatus
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US20050009367A1 (en) * 2003-07-09 2005-01-13 Taiwan Semiconductor Manufacturing Co. Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
KR100511890B1 (en) * 2003-11-10 2005-09-05 매그나칩 반도체 유한회사 method for fabricating semiconductor device
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7573851B2 (en) 2004-12-07 2009-08-11 Adaptix, Inc. Method and system for switching antenna and channel assignments in broadband wireless networks
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US20100140754A1 (en) * 2006-08-15 2010-06-10 Jsr Corporation Film-forming material, silicon-containing insulating film and method for forming the same
KR20090119903A (en) * 2007-02-14 2009-11-20 제이에스알 가부시끼가이샤 Material for forming silicon-containing film, and silicon-containing insulating film and method for forming the same
WO2009008424A1 (en) * 2007-07-10 2009-01-15 Jsr Corporation Method for producing silicon compound

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JP2734915B2 (en) 1992-11-18 1998-04-02 株式会社デンソー Dry etching method for semiconductor
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5741626A (en) 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US6555476B1 (en) 1997-12-23 2003-04-29 Texas Instruments Incorporated Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
DE19904311A1 (en) 1998-02-06 1999-08-12 Nat Semiconductor Corp Carbon-doped silicon oxide thin film to produce an insulating thin film for a semiconductor device
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
JP3305251B2 (en) 1998-02-26 2002-07-22 松下電器産業株式会社 Method of forming wiring structure
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
JP3353743B2 (en) 1999-05-18 2002-12-03 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6436824B1 (en) 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6429121B1 (en) 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US20020187629A1 (en) 2001-06-06 2002-12-12 I-Hsiung Huang Method for dual damascene process without using gap-filling materials
US6562725B2 (en) 2001-07-05 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers
US6489238B1 (en) 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US20030085408A1 (en) 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
US6495448B1 (en) 2002-06-07 2002-12-17 Silicon Integrated Systems Corp. Dual damascene process

Cited By (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20040234688A1 (en) * 2002-04-16 2004-11-25 Vinita Singh Use of cyclic siloxanes for hardness improvement
US20040061236A1 (en) * 2002-09-30 2004-04-01 Sanyo Electric Co., Ltd. Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
CN101006576B (en) * 2004-08-19 2010-08-18 英特尔公司 Integrated low-K hard mask
US6974772B1 (en) * 2004-08-19 2005-12-13 Intel Corporation Integrated low-k hard mask
WO2006023255A1 (en) * 2004-08-19 2006-03-02 Intel Corporation Integrated low-k hard mask
US7199473B2 (en) * 2004-08-19 2007-04-03 Intel Corporation Integrated low-k hard mask
GB2430803A (en) * 2004-08-19 2007-04-04 Intel Corp Low-k hard mask
US20060038296A1 (en) * 2004-08-19 2006-02-23 King Sean W Integrated low-k hard mask
KR101111025B1 (en) * 2004-08-19 2012-02-17 인텔 코포레이션 Integrated low-k hard mask
GB2430803B (en) * 2004-08-19 2009-11-25 Intel Corp Integrated low-k hard mask
KR100888881B1 (en) * 2004-08-19 2009-03-17 인텔 코포레이션 Integrated low-k hard mask
CN100388477C (en) * 2004-11-04 2008-05-14 国际商业机器公司 Interconnection structure and its forming method
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
US20100320573A1 (en) * 2006-03-13 2010-12-23 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
US20080164599A1 (en) * 2007-01-08 2008-07-10 Markus Brunnbauer Semiconductor module
US7952188B2 (en) 2007-01-08 2011-05-31 Infineon Technologies Ag Semiconductor module with a dielectric layer including a fluorocarbon compound on a chip
US8173480B2 (en) * 2008-11-11 2012-05-08 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US20100117173A1 (en) * 2008-11-11 2010-05-13 Ki-Jun Yun Image sensor and method for manufacturing the same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
CN103377886A (en) * 2012-04-13 2013-10-30 中芯国际集成电路制造(上海)有限公司 Hard mask layer structure, manufacturing method thereof and manufacturing method of semiconductor device
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11264328B2 (en) 2013-01-31 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layer for improved deposition selectivity
US10163794B2 (en) 2013-01-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US9396990B2 (en) 2013-01-31 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
CN103972208A (en) * 2013-01-31 2014-08-06 台湾积体电路制造股份有限公司 Capping layer for improved deposition selectivity
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017132908A1 (en) * 2016-02-03 2017-08-10 Applied Materials, Inc. A shadow mask with tapered openings formed by double electroforming using positive/negative photoresists
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US12106965B2 (en) 2017-02-15 2024-10-01 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US12119228B2 (en) 2018-01-19 2024-10-15 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN112469846A (en) * 2018-07-24 2021-03-09 朗姆研究公司 Conformal deposition of silicon carbide films using heterogeneous precursor interactions
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) * 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
TWI819196B (en) * 2019-03-08 2023-10-21 荷蘭商Asm Ip私人控股有限公司 STRUCTURE INCLUDING SiOC LAYER AND METHOD OF FORMING SAME
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US12107000B2 (en) 2019-07-10 2024-10-01 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US12112940B2 (en) 2019-07-19 2024-10-08 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12119220B2 (en) 2019-12-19 2024-10-15 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US20220149180A1 (en) * 2019-12-20 2022-05-12 Taiwan Semiconductore Manufaturing Co., Ltd Silicon carbide oxide hard mask for reducing dishing effects
US11935942B2 (en) * 2019-12-20 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon carbide oxide hard mask for reducing dishing effects
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US12068154B2 (en) 2020-04-13 2024-08-20 Asm Ip Holding B.V. Method of forming a nitrogen-containing carbon film and system for performing the method
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US12051602B2 (en) 2020-05-04 2024-07-30 Asm Ip Holding B.V. Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US12106944B2 (en) 2020-06-02 2024-10-01 Asm Ip Holding B.V. Rotating substrate support
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12055863B2 (en) 2020-07-17 2024-08-06 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12107005B2 (en) 2020-10-06 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12125700B2 (en) 2021-01-13 2024-10-22 Asm Ip Holding B.V. Method of forming high aspect ratio features
CN113126441A (en) * 2021-03-29 2021-07-16 上海华力集成电路制造有限公司 Optimization method for improving photoetching defects caused by water adsorption of photoetching front-layer film
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12129545B2 (en) 2021-12-17 2024-10-29 Asm Ip Holding B.V. Precursor capsule, a vessel and a method
US12131885B2 (en) 2021-12-17 2024-10-29 Asm Ip Holding B.V. Plasma treatment device having matching box
US12130084B2 (en) 2022-11-14 2024-10-29 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US12129548B2 (en) 2023-04-05 2024-10-29 Asm Ip Holding B.V. Method of forming structures using a neutral beam

Also Published As

Publication number Publication date
US6699784B2 (en) 2004-03-02

Similar Documents

Publication Publication Date Title
US6699784B2 (en) Method for depositing a low k dielectric film (K&gt;3.5) for hard mask application
US7563728B2 (en) Methods of modifying interlayer adhesion
US7125813B2 (en) Method of depositing low K barrier layers
KR101122458B1 (en) Two-layer film for next generation damascene barrier application with good oxidation resistance
US7148156B2 (en) Removable amorphous carbon CMP stop
US7030041B2 (en) Adhesion improvement for low k dielectrics
KR100960755B1 (en) A method of depositing dielectric materials in damascene applications
US20030148223A1 (en) Method of depositing low dielectric constant silicon carbide layers
KR20050020612A (en) Method of forming low-k films
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIA, LI-QUN;XU, PING;YANG, LOUIS;AND OTHERS;REEL/FRAME:012693/0614;SIGNING DATES FROM 20020225 TO 20020307

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160302