TWI531019B - 基板處理裝置、基板處理方法及儲存媒體 - Google Patents
基板處理裝置、基板處理方法及儲存媒體 Download PDFInfo
- Publication number
- TWI531019B TWI531019B TW099137710A TW99137710A TWI531019B TW I531019 B TWI531019 B TW I531019B TW 099137710 A TW099137710 A TW 099137710A TW 99137710 A TW99137710 A TW 99137710A TW I531019 B TWI531019 B TW I531019B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- pressure
- exhaust
- substrate
- separation
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims description 89
- 238000000034 method Methods 0.000 title claims description 64
- 230000008569 process Effects 0.000 title claims description 58
- 238000003860 storage Methods 0.000 title claims description 27
- 239000007789 gas Substances 0.000 claims description 397
- 238000012545 processing Methods 0.000 claims description 143
- 238000000926 separation method Methods 0.000 claims description 141
- 239000012495 reaction gas Substances 0.000 claims description 123
- 238000006243 chemical reaction Methods 0.000 claims description 23
- 230000001105 regulatory effect Effects 0.000 claims description 21
- 230000015572 biosynthetic process Effects 0.000 claims description 14
- 230000007246 mechanism Effects 0.000 claims description 8
- 239000007795 chemical reaction product Substances 0.000 claims description 7
- 238000003672 processing method Methods 0.000 claims description 7
- 238000010030 laminating Methods 0.000 claims description 4
- 238000007599 discharging Methods 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 description 72
- 239000010408 film Substances 0.000 description 52
- 238000005530 etching Methods 0.000 description 16
- 230000002093 peripheral effect Effects 0.000 description 16
- 238000005406 washing Methods 0.000 description 16
- 239000000203 mixture Substances 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 238000011144 upstream manufacturing Methods 0.000 description 8
- 230000000694 effects Effects 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- 239000002245 particle Substances 0.000 description 7
- 230000006870 function Effects 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 238000001179 sorption measurement Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000009530 blood pressure measurement Methods 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000005201 scrubbing Methods 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 2
- 239000004973 liquid crystal related substance Substances 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000002994 raw material Substances 0.000 description 2
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- VXUYXOFXAQZZMF-UHFFFAOYSA-N titanium(IV) isopropoxide Chemical compound CC(C)O[Ti](OC(C)C)(OC(C)C)OC(C)C VXUYXOFXAQZZMF-UHFFFAOYSA-N 0.000 description 2
- IXADHCVQNVXURI-UHFFFAOYSA-N 1,1-dichlorodecane Chemical compound CCCCCCCCCC(Cl)Cl IXADHCVQNVXURI-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- SEQDDYPDSLOBDC-UHFFFAOYSA-N Temazepam Chemical compound N=1C(O)C(=O)N(C)C2=CC=C(Cl)C=C2C=1C1=CC=CC=C1 SEQDDYPDSLOBDC-UHFFFAOYSA-N 0.000 description 1
- 229910004356 Ti Raw Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- KVIKMJYUMZPZFU-UHFFFAOYSA-N propan-2-ol;titanium Chemical compound [Ti].CC(C)O.CC(C)O KVIKMJYUMZPZFU-UHFFFAOYSA-N 0.000 description 1
- LZOZLBFZGFLFBV-UHFFFAOYSA-N sulfene Chemical compound C=S(=O)=O LZOZLBFZGFLFBV-UHFFFAOYSA-N 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45557—Pulsed pressure or control pressure
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68764—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8376—Combined
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Description
本發明係關於在真空環境氣氛對基板以處理氣體進行處理之基板處理裝置、基板處理方法以及儲存媒體。
於半導體製造程序,對半導體晶圓(以下稱為「晶圓」)等基板進行成膜處理、蝕刻處理等真空處理之際,有時一邊自複數排氣管線將真空容器內之環境氣氛分別排氣一邊進行處理。於此情形下,係例如對個別排氣管線分別連接真空泵等,並於真空容器與個別真空泵之間,插設蝶型閥等壓力調整閥,以調整各排氣管線個別之排氣流量。
以上述方式於真空容器設置複數排氣管線來進行基板處理之裝置之一例,已知有沿著真空容器之圓周方向來設置晶圓載置台,並於載置台上方側設置複數處理氣體供給部,使得載置台側或是處理氣體供給部側在圓周方向旋轉來進行真空處理即所謂的微批次(minibatch)類型之成膜裝置。此種裝置當在進行將第1反應氣體以及第2反應氣體交互供給於晶圓而逐步積層原子層或是分子層之例如被稱為ALD(Atomic Layer Deposition)、MLD(Molecular Layer Deposition)等之作法的情況下為適宜之成膜作法。以此種裝置而言,已知有例如專利文獻1~4所記載之裝置,該等裝置係以反應氣體不會在晶圓上混合的方式區隔著處理區域。此外,當於此裝置設置複數排氣管線,從該等排氣管線將複數處理氣體分別排氣之情況下,由於處理氣體不會相互混合故可抑制粒子之產生,且可使得真空容器內之氣流安定化,故被認為可於面內以及面間以高均一性進行處理。
另一方面,如上述般將個別處理氣體自複數排氣管線個別地排氣之際,在硬體構成上,要對於通流在個別排氣管線之氣流量進行直接測定非常困難。是以,若為了調整個別排氣管線之排氣流量,而例如於每個排氣管線設置壓力計,調整個別排氣管線之壓力調整閥的開度以使得該等壓力計所得測定值大致為相同壓力值,則有時處理氣體彼此會相混。亦即,當例如複數真空泵當中一者因故障等而無法作動時或是排氣能力低時,若如上述般以真空環境氣氛進行處理之情況下,個別壓力計之測定值會成為大致相同之值。此外,當於排氣管線內沉積例如沉積物造成排氣流量經時性變化,個別排氣管線之排氣能力之平衡瓦解之情況,壓力計也無法掌握此種狀態。
從而,即便測定個別排氣管線之壓力值,仍無法確認處理氣體於真空容器內實際上係以如何方式通流著。是以,一旦處理氣體相混或是真空容器內之氣流紊亂,將會產生粒子、或是面內以及面間之處理均一性變差。此外,當複數處理氣體當中例如1種類之處理氣體的流量較其他處理氣體之流量高出極多之情況,則處理氣體彼此相混之顧慮會進一步提高。
此外,對一個真空容器連接複數排氣管線之例可舉出例如對於1邊為數m程度之大型LCD(Liquid Crystal Display)基板、FPD(Flat Panel Display)基板進行例如蝕刻處理等之情況。於此情況,在真空容器內,係例如自沿著LCD基板4邊於複數部位形成之排氣口進行處理氣體之排氣,但與上述例同樣地當自個別排氣口無法以均等之排氣流量進行排氣之情況,恐無法於整個面內進行均一處理。
於上述各專利文獻中,並未針對解決此種課題之技術作相關記載。
專利文獻1 美國專利公報7,153,542號:圖6(a)、(b)
專利文獻2 日本專利3144664號公報:圖1、圖2、請求項1
專利文獻3 美國專利公報6,869,641號:圖1
專利文獻4 日本特開2007-247066號
本發明係鑑於上述情事所完成者,其目的在於提供一種自複數排氣通路對真空容器內之環境氣氛個別作真空排氣來對基板進行真空處理之際,可對前述複數排氣通路之個別排氣流量進行調整之基板處理裝置、基板處理方法以及儲存實施此方法之程式的儲存媒體。
本發明之第1態樣之基板處理裝置,係於真空容器內之載置台上載置基板,對該基板自處理氣體供給部供給處理氣體以於真空環境氣氛下進行處理者,具備:用以對該真空容器內進行真空排氣之第1排氣通路與第2排氣通路;插設於該第1排氣通路之第1壓力調整閥;插設於該第2排氣通路之第2壓力調整閥;傳導調整部,設於該第2排氣通路之該第2壓力調整閥的1次側,用以調整該第2排氣通路之傳導;用以測定該真空容器內壓力之第1壓力測定器;用以測定該傳導調整部之1次側與2次側之壓差之壓差測定部;儲存部,用以儲存規定有該真空容器內之壓力值、該傳導調整部之調整值、該第2排氣通路之排氣流量以及該壓差之關係的數據;以及控制部,係自此儲存部所儲存著之數據讀取與該第2排氣通路之排氣流量設定值相對應之該真空容器內之壓力值、該傳導調整部之調整值以及該壓差,從而輸出控制訊號,以成為該壓力值的方式調整該第1壓力調整閥,並以成為該調整值的方式藉由該傳導調整部來調整該第2排氣通路之傳導,接著以成為該壓差的方式調整該第2壓力調整閥。
較佳為,前述傳導調整部為蝶型閥,傳導之調整值為蝶型閥之開度。
較佳為,前述處理係將相互反應之至少2種類反應氣體依序供給於基板表面且實行此供給循環,藉以積層多數之反應產物層而形成薄膜之成膜處理;進而具備:第1反應氣體供給部與第2反應氣體供給部,係於該真空容器之圓周方向相互離間設置,用以對該載置台上之基板分別供給第1反應氣體與第2反應氣體;分離區域,為了將被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域的環境氣氛加以分離,於該圓周方向上設置在此等處理區域之間,用以自分離氣體供給部來供給分離氣體;以及旋轉機構,係使得該第1反應氣體供給部、該第2反應氣體供給部以及該分離區域與該載置台相對性地於該圓周方向上旋轉,而使該基板經由該分離區域而依序位於該第1處理區域與該第2處理區域;該第1排氣通路之排氣口係用以將第1反應氣體與分離氣體加以排氣所設者,該第2排氣通路之排氣口係用以將第2反應氣體與分離氣體加以排氣所設者。
較佳為,前述儲存部內之數據係以下述方式所得者:
(a)對基板進行處理之前,關閉該第1壓力調整閥而開放該第2壓力調整閥,
(b)將流量調整用氣體供給於該真空容器內,並以該真空容器內之壓力成為既定壓力的方式藉由該傳導調整部來調整該第2排氣通路之傳導,求出此時之該傳導調整部之調整值與該壓差,
(c)此種嘗試係以流量調整用氣體之供給流量與真空容器內之壓力之組合作各種變更。
本發明之第2態樣係提供一種基板處理方法,包含:於真空容器內之載置台上載置基板,對該基板供給處理氣體之製程;自插設有第1壓力調整閥之第1排氣通路與插設有第2壓力調整閥之第2排氣通路對該真空容器內進行個別之真空排氣之製程;自儲存部讀取數據之製程;其次,以該真空容器內之壓力成為於該數據所儲存之壓力值的方式調整該第1壓力調整閥,並以於該第2排氣通路之該第2壓力調整閥之1次側所設之傳導調整部之調整值成為於該數據所儲存之調整值的方式藉由該傳導調整部來調整該第2排氣通路之傳導之製程;以及接著,以該傳導調整部之1次側與2次側之壓差成為於該數據所儲存之壓差的方式調整該第2壓力調整閥之製程;此外,該讀取製程係自該數據來讀取與該第2排氣通路之排氣流量設定值相對應之該真空容器內之壓力值、該傳導調整部之調整值以及該壓差之製程。
較佳為,前述處理係將相互反應之至少2種類反應氣體依序供給於基板表面且實行此供給循環以積層多數之反應產物層形成薄膜之成膜處理;該供給處理氣體之製程,係自於該真空容器圓周方向相互離間設置之第1反應氣體供給部與第2反應氣體供給部,對該載置台上之基板分別供給第1反應氣體與第2反應氣體之製程;於調整該傳導之製程前,為了將被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域的環境氣氛加以分離,而進行:於該圓周方向上對設置於此等處理區域之間的分離區域而自分離氣體供給部來供給分離氣體,並使該基板經由該分離區域而依序位於該第1處理區域與該第2處理區域的方式,來使得該第1反應氣體供給部、該第2反應氣體供給部以及該分離區域與該載置台相對性地於該圓周方向上旋轉之製程;該真空排氣之製程,係自用以排出第1反應氣體與分離氣體所設之該第1排氣通路之排氣口以及用以排出第2反應氣體與分離氣體所設之該第2排氣通路之排氣口,對該真空容器內進行個別之真空排氣之製程。
本發明之第3態樣係一種儲存媒體,其儲存有基板處理裝置所使用之程式,該基板處理裝置係於真空容器內之載置台上載置基板,該對基板供給處理氣體而於真空環境氣氛下進行處理者;該程式係以實施上述基板處理方法的步驟群所組成。
於本發明之實施形態,在將真空容器內利用第1排氣通路以及第2排氣通路進行排氣之際,係以設於第1排氣通路之第1壓力調整閥來將真空容器內之壓力調整成為設定值,並將設於第2排氣通路之傳導(conductance)調整部調整成為既定之調整值,進而將傳導調整部之1次側(上流側)與2次側(下流側)之壓差,利用於傳導調整部下流側所設之第2壓力調整閥來調整。此種調整係使用規定了真空容器內之壓力值、傳導調整部之調整值、第2排氣通路之排氣流量以及壓差關係之數據來進行,如此來進行於第1排氣通路側之壓力調整以及控制在第2排氣通路側之壓差,藉以分別進行排氣流量調整。由於可得知供給於真空容器內之氣流量,故依據本發明之實施形態,可調整第1排氣通路以及第2排氣通路之各排氣流量。
[第1實施形態]
本發明之第1實施形態之基板處理裝置(成膜裝置),如圖1(沿圖3之I-I’線之截面圖)~圖3所示般,具備:平面形狀呈大致圓形之扁平真空容器(腔室)1;以及,於此真空容器1內所設置之在真空容器1中心具有旋轉中心的載置台即旋轉工作台2。真空容器1係以頂板11可自容器本體12裝卸的方式所構成者。此頂板11可藉由真空容器1內之減壓而經由於容器本體12上面之周緣部以環狀設置之密封構件(例如O型環)13被拉向容器本體12側來維持氣密狀態,當自容器本體12分離時係利用未圖示之驅動機構往上方提起。
旋轉工作台2係以中心部固定於圓筒形狀之核心部21,此核心部21係固定於朝鉛直方向延伸之旋轉軸22上端。旋轉軸22係貫通真空容器1之底部14,其下端係安裝於使得旋轉軸22繞鉛直軸旋轉(此例中係繞順時鐘方向旋轉)之驅動部23。旋轉軸22以及驅動部23係收納於上面呈開口之筒狀盒體20內。於此盒體20上面所設之凸緣部分係氣密安裝於真空容器1底部14的下面,維持著盒體20內部環境氣氛與外部環境氣氛之氣密狀態。
於旋轉工作台2之表面部,如圖2以及圖3所示般沿著旋轉方向(圓周方向)設有用以載置複數片(例如5片)基板之半導體晶圓(以下稱為「晶圓」)W的圓形狀凹部24。再者,於圖3中為方便說明起見僅於1個凹部24描繪了晶圓W。圖4係沿著旋轉工作台2同心圓之真空容器1的概略截面圖。凹部24如圖4(a)所示般係設定為其直徑略大於晶圓W直徑(例如大4mm),且其深度係與晶圓W之厚度為同等大小。從而,若將晶圓W載置於凹部24,則晶圓W表面與旋轉工作台2表面(未載置晶圓W之區域)會相對齊。若於晶圓W表面與旋轉工作台2表面之間之高度差大,則該段差部分會造成氣流動之紊亂;使得晶圓W表面與旋轉工作台2表面之高度對齊一事,就使得膜厚之面內均一性一致之觀點而言為所喜好者。所謂晶圓W表面與旋轉工作台2表面之高度一致,係指相同高度或是兩面的差在5mm以內,依據加工精度等儘可能使得兩面之高度差接近零乃為所喜好者。於凹部24之底面形成有貫通孔(未圖示),可貫通用以支持晶圓W內面並將晶圓W昇降之例如後述3支昇降銷16(參見圖8)。
凹部24係用以將晶圓W定位避免因伴隨旋轉工作台2之旋轉所產生之離心力而飛出者,乃相當於基板載置區域之部位。惟基板載置區域(晶圓載置區域)不限於凹部,亦可為例如於旋轉工作台2表面,使得用以引導晶圓W周緣之引導構件沿著晶圓W之圓周方向排列複數個來構成。此外,當藉由靜電夾等夾具機構來將晶圓W吸附於旋轉工作台2側之情況,晶圓W因該吸附而被載置之區域成為基板載置區域。
如圖2以及圖3所示般,於真空容器1,在與旋轉工作台2之凹部24的通過區域呈分別對向之上方位置,係使得由第1反應氣體噴嘴31與第2反應氣體噴嘴32所構成之處理氣體供給部以及2根分離氣體噴嘴41、42在真空容器1之圓周方向(旋轉工作台2之旋轉方向)相互取出間隔自中心部作放射狀延伸。在此例中,自後述之搬運口15觀看在繞順時鐘方向依序排列有第2反應氣體噴嘴32、分離氣體噴嘴41、第1反應氣體噴嘴31、以及分離氣體噴嘴42。此等反應氣體噴嘴31、32、以及分離氣體噴嘴41、42係安裝於例如真空容器1之側周壁,其基端部之氣體導入埠31a、32a、41a、42a係貫通著側壁。
氣體噴嘴31、32、41、42在圖示之例係自真空容器1之周壁部來導入至真空容器1內,惟亦可從後述之環狀突出部5來導入。此種情況下,可設置朝突出部5外周面與頂板11外表面開口之L字型導管,於真空容器1內在L字型導管之一側開口連接氣體噴嘴31(,32,41,42),於真空容器1外部在L字型導管之另一側開口連接氣體導入埠31a(32a,41a,42a)。
如圖3所示般,反應氣體噴嘴31係藉由插設有閥36a以及流量調整部37a之氣體供給管31b而和儲存著第1反應氣體(處理氣體)之BTBAS(雙(四丁基胺基)矽烷)氣體的第1氣體供給源38a連接著。反應氣體噴嘴32係藉由插設有閥36b以及流量調整部37b之氣體供給管32b來和儲存有第2反應氣體(處理氣體)之O3(臭氧)氣體的第2氣體供給源38b連接著。此外,分離氣體噴嘴41係藉由插設有閥36c以及流量調整部37c之氣體供給管41b來和儲存有分離氣體(惰性氣體)之N2(氮)氣體的N2氣體供給源38c連接著,分離氣體噴嘴42係藉由插設有閥36d以及流量調整部37d之氣體供給管42b來和此N2氣體供給源38c連接著。
於反應氣體噴嘴31與閥36a之間之氣體供給管31b,係經由閥36e以及流量調整部37e而與前述之N2氣體供給源38c連接著,同樣地於反應氣體噴嘴32與閥36b之間之氣體供給管32b,係經由閥36f以及流量調整部37f而與N2氣體供給源38c連接著。由該等閥36a~36f以及流量調整部37a~37f來構成氣體供給系統39。
於反應氣體噴嘴31、32,作為朝下方側噴出反應氣體之處理氣體供給口,係使得例如口徑為0.5mm之噴出孔330朝正下方而於噴嘴長度方向取例如10mm之間隔排列著。又於分離氣體噴嘴41、42,用以朝下方側噴出分離氣體之例如口徑為0.5mm之噴出孔40係朝正下方而於長度方向取例如10mm程度之間隔穿設著。反應氣體噴嘴31相當於第1反應氣體供給部,反應氣體噴嘴32相當於第2反應氣體供給部,分離氣體噴嘴41、42相當於分離氣體供給部。此外,反應氣體噴嘴31之下方區域相當於用以將BTBAS氣體吸附於晶圓W之第1處理區域91,反應氣體噴嘴32之下方區域相當於用以將O3氣體吸附於晶圓W之第2處理區域92。
分離氣體噴嘴41、42係形成用以將第1處理區域91與第2處理區域92加以分離之分離區域D。於分離區域D,真空容器1之頂板11係如圖2~圖4所示般,具有頂部被切斷成為圓弧狀之扇型平面形狀,設有朝下方突出之凸狀部4。凸狀部4係以內圓弧與突出部5(後述)連結、外圓弧沿著真空容器1之容器本體12內周面的方式所配置者。分離氣體噴嘴41、42被收容於溝槽部43內(於此凸狀部4之圓的圓周方向中央朝圓之半徑方向延伸形成者)。亦即,自分離氣體噴嘴41(42)之中心軸到凸狀部4之扇型兩端(旋轉工作台2之旋轉方向上流側端以及下流側端)之距離係設定成相同長度。
此外,溝槽部43於本實施形態係將凸狀部4均分為二等分來形成,但於其他實施形態,亦可例如自溝槽部43觀看時,以凸狀部4在旋轉工作台2之旋轉方向上流側較旋轉方向下流側來得廣之方式形成溝槽部43。
從而,於分離氣體噴嘴41、42之旋轉方向兩側,存在著凸狀部4之下面即例如平坦之低天花板面44(第1天花板面),此天花板面44在旋轉方向兩側則存在著較天花板面44來得高之天花板面45(第2天花板面)。此凸狀部4之功用在於和旋轉工作台2之間形成狹隘的空間(分離空間)以避免第1反應氣體與第2反應氣體之侵入從而避免此等反應氣體之混合。
亦即,以分離氣體噴嘴41為例,係阻止O3氣體從旋轉工作台2之旋轉方向上流側侵入,又阻止BTBAS氣體從旋轉方向下流側侵入。所謂「阻止氣體侵入」,意指自分離氣體噴嘴41噴出之分離氣體(N2氣體)自第1天花板面44與旋轉工作台2表面之間擴散(於此例中乃指吹送到與第1天花板面44相鄰接之第2天花板面45的下方側空間),從而來自鄰接空間之氣體不復侵入。此外,所謂「氣體不復侵入」並非僅指完全不會自鄰接空間進入到凸狀部4之下方側空間之情況,尚意指雖有些許侵入,但可確保自兩側分別侵入之O3氣體以及BTBAS氣體不會在凸狀部4內相雜混之狀態的情況,只要可得到此種作用,便可發揮分離區域D之功用即第1處理區域91之環境氣氛與第2處理區域92之環境氣氛之分離作用。從而,狹隘空間之狹隘程度係設定為狹隘空間(凸狀部4之下方空間)與鄰接於空間之區域(於此例中係第2天花板面45之下方空間)之壓力差可確保「氣體不復侵入」作用的程度大小,其具體的尺寸會因凸狀部4之面積等而不同。此外,吸附於晶圓W之氣體當然可通過分離區域D內,氣體侵入之阻止係針對氣相中之氣體。
在此例中,係以直徑300mm之晶圓W為被處理基板,此時凸狀部4在自旋轉工作台2之旋轉中心離開140mm外周側之部位(與後述突出部5之交界部位),於圓周方向之長度(與旋轉工作台2呈同心圓之圓弧長度)為例如146mm,於晶圓W之載置區域(凹部24)最外側部位,圓周方向之長度為例如502mm。此外如圖4(a)所示般,以於外側部位自分離氣體噴嘴41(42)之兩側分別位於左右之凸狀部4的圓周方向長度L來看,長度L為246mm。
此外,如圖4(a)所示般,凸狀部4之下面(亦即天花板面44)相距於旋轉工作台2表面之高度h可為例如0.5mm到10mm,以約4mm為適宜。此種情況下,旋轉工作台2之旋轉數係設定為例如1rpm~500rpm。因而,為了確保分離區域D之分離機能,係依據旋轉工作台2之旋轉數的使用範圍等,將凸狀部4之大小、凸狀部4下面(第1天花板面44)與旋轉工作台2表面之高度h基於例如實驗等來設定。此外,在分離氣體方面並不侷限於氮(N2)氣體,可使用氬(Ar)氣體等惰性氣體等,惟不限於此種氣體亦可為氫(H2)氣體等,只要是不會對成膜處理造成影響之氣體即可,關於氣體之種類並無特別限定。此外,以後述排氣流量之調整上所使用之氣體而言,並不限於上述N2氣體等惰性氣體,只要是同樣不會對成膜處理造成影響之氣體即可。
於頂板11之下面設有突出部5,其與旋轉工作台2之核心部21之外周側部位呈對向且沿著核心部21外周設置。此突出部5係與凸狀部4在旋轉工作台2之旋轉中心側之部位連續形成,其下面係形成為與凸狀部4之下面(天花板面44)為相同高度。圖2以及圖3係以較天花板面45為低且較分離氣體噴嘴41、42為高之位置將頂板11作水平切斷而顯示者。此外突出部5與凸狀部4未必要一體構成,亦可為不同個體。
此外,並不限於在成為凸狀部4之1片扇型板的中央形成溝槽部43,於此溝槽部43內配置分離氣體噴嘴41(42)以構成分離區域D,亦可於分離氣體噴嘴41(42)之兩側將2片扇型板以螺固方式固定於頂板11下面而構成分離區域D。
於真空容器1之頂板11下面、亦即自旋轉工作台2之晶圓載置區域(凹部24)所看到之天花板面,如上述般有第1天花板面44以及較此天花板面44為高之第2天花板面45存在於圓周方向上。在圖1中,顯示了設有高天花板面45之區域的縱截面,於圖5中,顯示了設有低天花板面44之區域的縱截面。扇型凸狀部4的周緣部(真空容器1之外緣側部位)係如圖2以及圖5所示般以和旋轉工作台2外端面相對向的方式形成有彎曲成L字型之彎曲部46。扇型凸狀部4係設置於頂板11側,可自容器本體12卸除,故於彎曲部46外周面與容器本體12之間有些許間隙。此彎曲部46係和凸狀部4同樣基於防止反應氣體自兩側侵入而防止兩反應氣體混合之目的所設者,彎曲部46內周面與旋轉工作台2外端面之間隙、以及彎曲部46外周面與容器本體12之間隙係設定為與天花板面44相對於旋轉工作台2表面之高度h為同樣的尺寸。於此例中,從旋轉工作台2表面側區域可看到彎曲部46之內周面構成真空容器1之內周壁。
容器本體12之內周壁於分離區域D係如圖5所示般與彎曲部46外周面接近而形成為垂直面,而於分離區域D以外之部位,則如圖1所示般例如自與旋轉工作台2外端面呈對向之部位跨越底部14朝外方凹陷。以下,將此凹陷部分中與第1處理區域P1以及第2處理區域P2連通之區域分別稱為第1排氣區域E1以及第2排氣區域E2。於該等第1排氣區域E1以及第2排氣區域E2之底部係如圖1與圖3所示般分別形成有第1排氣口61以及第2排氣口62。
如上述般,排氣口61、62為使得分離區域D產生分離作用,俯視上係設於分離區域D之旋轉方向兩側。詳而言之,自旋轉工作台2之旋轉中心觀看,於第1處理區域91與相對於此第1處理區域91例如鄰接於旋轉方向下流側之分離區域D之間形成第1排氣口61,自旋轉工作台2之旋轉中心觀看,於第2處理區域92與相對於此第2處理區域92例如鄰接於旋轉方向下流側之分離區域D之間形成第2排氣口62,該等排氣口61、62係以分別(個別地)專用於進行各反應氣體(BTBAS氣體以及O3氣體)排氣的方式來配置。於此例中,其中之一排氣口61係設置於第1反應氣體噴嘴31與相對於此反應氣體噴嘴31鄰接於旋轉方向下流側之分離區域D的第1反應氣體噴嘴31側之邊緣延長線之間,而另一排氣口61係設置於第2反應氣體噴嘴32與相對於此反應氣體噴嘴32鄰接於旋轉方向下流側之分離區域D的第2反應氣體噴嘴32側之邊緣延長線之間。亦即,第1排氣口61係設置於通過圖3中以一點鏈線所示旋轉工作台2之中心與第1處理區域91之直線L1和通過旋轉工作台2中心與鄰接於第1處理區域91下流側之分離區域D上流側邊緣之直線L2之間,第2排氣口62係位於通過此圖3中以二點鏈線所示旋轉工作台2之中心與第2處理區域92之直線L3和通過旋轉工作台2之中心與鄰接於第2處理區域92下流側之分離區域D上流側的邊緣之直線L4之間。
於本實施形態中,設有2個排氣口61、62,惟於其他實施形態,亦可例如於包含分離氣體噴嘴42之分離區域D和相對於分離區域D鄰接於旋轉方向下流側之第2反應氣體噴嘴32之間設置追加之排氣口,即設置合計3個之排氣口。此外,亦可設置4個以上之排氣口。於此例中,排氣口61、62藉由設置於較旋轉工作台2來得低之位置,而從真空容器1內周壁與旋轉工作台2周緣之間之間隙進行排氣,惟不限於設置在真空容器1之底部14,亦可設置於真空容器1之側壁。排氣口61、62當設置於真空容器1側壁之情況下,亦可設置於較旋轉工作台2來得高之位置。如此般,藉由設置排氣口61、62,由於旋轉工作台2上之氣體朝旋轉工作台2外側流動,故相較於自與旋轉工作台2對向之天花板面進行排氣之情況,可抑制粒子之翻揚,以此觀點而言為有利者。
於自第1排氣口61延伸之第1排氣通路63a,如圖1所示般,係經由第1壓力調整閥之第1閥65a來與作為第1真空排氣部之例如真空泵64a連接著。此第1閥65a係例如藉由調整第1閥65a之開度,而可調整流通於第1排氣通路63a內之氣流量。於此第1閥65a與真空容器1之間之第1排氣通路63a,為了對於第1閥65a之1次側(上流側)的真空容器1內壓力進行測定,設有由壓力計等所構成之壓力測定器66a。
此外,於自第2排氣口62延伸之第2排氣通路63b,係經由與第1閥65a為同樣構成之第2閥65b而與作為第2真空排氣部之例如真空泵64b連接著。於此第2閥65b與真空容器1之間之第2排氣通路63b,係插設有作為傳導調整部之例如蝶型閥67。此蝶型閥67係藉由調整開度來調整第2排氣通路63b之傳導,藉此可調整蝶型閥67之排氣流量。是以,由於調整蝶型閥67之開度會於蝶型閥67之前後(真空容器1側之1次側以及第2閥65b側之2次側)產生壓力差,故為了測定此壓力差,於第2排氣通路63b設有作為壓差測定部之壓差計68。此外,位於蝶型閥67與真空容器1之間之第2排氣通路63b,為了測定蝶型閥67上流側(真空容器1內)之壓力,係設有由壓力計等所構成之壓力測定器66b。於此例中,壓力測定器66a、66b相當於第1壓力測定器。此外,於以下之例中,有時第1閥65a係以閥M1來說明,第2閥65b係以閥M2來說明。
於旋轉工作台2與真空容器1底部14之間的空間,如圖1以及圖6所示般,設有作為加熱部之加熱器單元7,經由旋轉工作台2將旋轉工作台2上之晶圓W加熱至由程序配方(process recipe)所決定之溫度。於旋轉工作台2周緣附近之下方側,為了將自旋轉工作台2之上方空間至排氣區域E的環境氣氛與設置著加熱器單元7之環境氣氛加以區隔,乃以將加熱器單元7沿全周圍繞的方式設有蓋構件71。此蓋構件71係形成為上緣朝外側彎曲之凸緣形狀,係減少其彎曲面與旋轉工作台2下面之間的間隙,來抑制外部氣體侵入蓋構件71內。
較配置著加熱器單元7之空間更接近旋轉中心之部位的底部14,係接近旋轉工作台2下面之中心部附近與核心部21而於其間成為狹窄空間,另外貫通底部14之旋轉軸22的貫通孔在其內周面與旋轉軸22之間的間隙也呈現狹窄,此等狹窄空間係與盒體20內連通著。此外於盒體20設有用以將洗滌氣體之N2氣體供給於此狹窄空間內進行洗滌之洗滌氣體供給管72。再者,於真空容器1底部14,在加熱器單元7之下方側位置沿圓周方向之複數部位設有用以洗滌加熱器單元7之配置空間的洗滌氣體供給管73。
如此般,藉由設置洗滌氣體供給管72、73,如圖7中以箭頭所表示之洗滌氣流動,自盒體20內到加熱器單元7之配置空間為止之空間係以N2氣體來洗滌,此洗滌氣體係自位於旋轉工作台2與蓋構件71之間的間隙經由排氣區域E而排放至排氣口61、62。藉此,可防止BTBAS氣體或是O3氣體自前述之第1處理區域91與第2處理區域92之一者經由旋轉工作台2下方回繞至另一者,故此洗滌氣體亦可發揮分離氣體之功用。
此外,於真空容器1之頂板11中心部連接著分離氣體供給管51,於頂板11與核心部21之間的空間52被供給作為分離氣體之N2氣體。供給於此空間52之分離氣體,係經由突出部5與旋轉工作台2間之狹窄間隙50而沿著旋轉工作台2之晶圓載置區域側表面朝周緣噴出。由於此突出部5所圍繞之空間係充滿分離氣體,故可防止反應氣體(BTBAS氣體以及O3氣體)在第1處理區域91與第2處理區域92之間經由旋轉工作台2中心部而混合。亦即,此成膜裝置,為了將第1處理區域91與第2處理區域92之環境氣氛予以分離可利用旋轉工作台2之旋轉中心部與真空容器1來區隔,而可具備中心部區域C(被供應有分離氣體且用以對旋轉工作台2表面噴出分離氣體之噴出口沿旋轉方向形成)。此外,此處所說之噴出口相當於突出部5與旋轉工作台2間之狹窄間隙50。
再者,於真空容器1之側壁,如圖2、圖3以及圖8所示般,形成有用以在外部搬運臂10與旋轉工作台2之間收授晶圓W之搬運口15,此搬運口15係由未圖示之閘閥來開閉。再者,由於旋轉工作台2之晶圓載置區域即凹部24在面臨此搬運口15之位置係和搬運臂10間進行晶圓W之收授,故於旋轉工作台2下方側,在對應於收授位置之部位設有貫通凹部24而將晶圓W自內面上提之收授用昇降銷16的昇降機構(未圖示)。
此外,此成膜裝置,如圖9所示般,具備由用以控制裝置全體動作之電腦所構成之控制部80,控制部80具備:CPU81、作為儲存部之儲存體82、處理程式83以及作動儲存體(work memory)84。此儲存體82收納有:第1儲存體82a(依據對晶圓W所進行之處理類別來儲存配方(處理條件))以及第2儲存體82b(儲存著後述之表86)。第1儲存體82a之配方係分別儲存著進行成膜處理時之真空容器1內處理壓力P、自各氣體噴嘴31、32分別供給之反應氣流量fa、fb以及自各排氣通路63a、63b分別排氣之排氣流量Fa、Fb等處理條件。此外,如後述般,於第2儲存體82b之數據之表86,係例如依處理壓力P之不同而儲存著第2排氣通路63b之排氣流量(排氣氣流量)Fb之設定值與蝶型閥67開度V之調整值以及由壓差計68所測定之壓差ΔP間的相關關係。此外,在圖9中,係將此表86簡化表示。
處理程式83具備:用以算出第1排氣通路63a與第2排氣通路63b個別排氣流量之排氣流量用程式83a、以及用以對晶圓W進行成膜處理之成膜處理用程式83b。有關設置排氣流量用程式83a之理由說明如下。
如上述般,當於共通真空容器1內供給相互反應之反應氣體(BTBAS氣體以及O3氣體)之情況,為了抑制反應氣體彼此在真空容器1內或是排氣通路63內相互掺混,必須將該等反應氣體分別自排氣口61、62個別排氣。為此,必須例如依照自各反應氣體噴嘴31、32供給於真空容器1內之各反應氣流量,來調整(分配)自個別排氣口61、62所排氣之個別排氣流量。例如,當自反應氣體噴嘴31供給於真空容器1內之其中一反應氣體的流量為fa,自反應氣體噴嘴32供給於真空容器1內之另一反應氣體的流量為fb之情況下,係自排氣口61排出流量fa之其中一反應氣體,而自排氣口62排出流量fb之另一反應氣體。更詳細地說,自排氣口61係有流量fa與從分離區域D等供給於真空容器1內之N2氣體總量中之既定流量的合計流量Fa的混合氣體被排出,自排氣口62係有流量fb與從分離區域D等供給於真空容器1內之N2氣體總量中之既定流量的合計流量Fb的混合氣體1被排出。
此時,在硬體構成上,要直接測定於個別排氣通路63a、63b內通流之排氣流量極為困難。此處,若要使用壓力測定器66a、66b來調整排氣流量,乃以壓力測定器66a、66b之測定值成為大致相同值的方式、或是以依據各反應氣體供給流量使得壓力測定器66a、66b之測定值成為既定比的方式來調整閥65a、65b之開度。另一方面,當如上述成膜裝置般在高真空下進行處理之情況,則即使例如其中一排氣通路63之傳導變得較通常時來得小之時、或是兩個真空泵64a、64b之排氣能力有差異之情況,該等壓力測定器66a、66b之測定值仍會成為大致相同值。是以,僅依賴壓力計(壓力測定器66a、66b)之作法,要依據各反應氣體之供給量來調整自各排氣通路63a、63b分別排氣之排氣流量實際上極為困難。
是以,於本發明之實施形態,係例如於進行成膜處理之前、或是於裝置之維修時,以上述程式83a事先算出排氣通路63a、63b之分別排氣流量(更詳而言之乃排氣通路63b之排氣流量)。具體而言,首先,關閉第1閥65a,開放(全開)第2閥65b。其次,以插設於N2氣體供給管線之未圖示流量計之測定值成為例如Fb的方式調整流量調整部37c、37d、37f,對真空容器1內供給流量Fb之流量調整用氣體之惰性氣體(例如N2氣體)。此外,一旦以真空容器1內之壓力(壓力測定器66b之測定值)成為例如一定之設定壓力(處理壓力)P的方式調整蝶型閥67之開度,則自第2排氣通路63b以流量Fb排出N2氣體。此時之蝶型閥67之開度(設定開度)定為V。此外,由於開放第2閥65b,藉由蝶型閥67之開度來調整真空容器1內之壓力,故相較於真空容器1內之壓力(第1壓力測定值),於蝶型閥67與第2閥65b(真空泵64b)之間之壓力(第2壓力測定值)會較低(真空度高),從而於蝶型閥67之前後(壓差計68之測定值)會產生壓差(設定壓力差)ΔP。
經由以上過程,可知當真空容器1內之壓力為處理壓力P之時,若蝶型閥67之開度為V、蝶型閥67前後之壓差(壓差計68之測定值)為ΔP之情況下,第2排氣通路63b之排氣流量成為Fb。是以,例如即使將流量F(F=Fa+Fb)之反應氣體以及N2氣體供給於真空容器1而自兩個排氣通路63a、63b分別排氣之情況,當真空容器1內之壓力成為P、蝶型閥67之開度成為V、且壓差計68之測定值成為ΔP之情況,由於第2排氣通路63b之排氣流量成為Fb,故第1排氣通路63a之排氣流量成為剩餘流量Fa(Fa=F-Fb)。從而,可調整排氣通路63a、63b之個別排氣流量。
是以,當對於真空容器1內以流量F供給反應氣體以及N2氣體而自兩個排氣通路63a、63b個別進行排氣之時,首先先將蝶型閥67之開度設定為V。其次,如圖10所示般,一邊調整第1閥65a之開度而將真空容器1之壓力(壓力測定器66a之測定值)保持在處理壓力P,一邊以壓差計68之測定值成為壓差ΔP的方式調整第2閥65b之開度。從而,流量Fb之氣體自第2排氣通路63b排出,剩餘之流量Fa之氣體自第1排氣通路63a排出。
排氣流量用程式83a,如上述般,為了調整排氣通路63a、63b之個別排氣流量,以製成前述表86的方式組成步驟群,關於此表86之具體製成方法將於後述。成膜處理用程式83b,當對晶圓W進行成膜處理時,係自第1儲存體82a讀取對應於處理種類之配方,並自第2儲存體82b選擇與此配方所記載之處理壓力P相對應之表86。接著,自此表86讀取與第2排氣通路63b之排氣流量Fb相對應之蝶型閥67之開度V以及壓差計68之壓差ΔP,依據以此方式讀取之參數值來對蝶型閥67、第1閥65a以及第2閥65b之致動器送出控制訊號,使得後述之各步驟進行以對晶圓W進行成膜處理。
上述處理程式83係自硬碟、光碟、光磁碟、儲存卡、軟碟等儲存媒體85安裝於控制部80內。
其次,針對第1實施形態之作用,參照圖12~圖14作說明。首先,針對以排氣流量用程式83a所進行之處理概略作說明的情況下,則此程式83a係例如於裝置之啟動時(進行成膜處理前)或是裝置之維修時,使用例如N2氣體等惰性氣體,對設定壓力P與自第2排氣通路63b所排出之氣流量Fb進行各種變更,測定對應於各條件之蝶型閥67之開度V以及壓差計68之壓差ΔP,儲存於作為表86之第2儲存體82b。於圖11係顯示例如設定壓力P為1.07kPa(8Torr)之情況下所測定之上述表86之一例。此外,於表86係記載蝶型閥67之開度V等,但於圖11中係省略了蝶型閥67之開度V之一部份。
具體而言,如圖12所示般,於製成表86之際,設定處理壓力P以及自第2排氣通路63b側排出之排氣流量Fb(步驟S11)。其次,將閥65a、65b以及蝶型閥67全開使得真空容器1內成為抽盡氣體之狀態後(步驟S12),關閉第1閥65a,以總氣流量成為流量Fb的方式自反應氣體噴嘴32、2支分離氣體噴嘴42、分離氣體供給管51以及洗滌氣體供給管72,73供給N2氣體。接著,以真空容器1內壓力成為處理壓力P的方式調整蝶型閥67之開度V(步驟S13)。此外,此時以壓差計68來讀取於蝶型閥67前後所產生之壓差ΔP,將此壓差ΔP與蝶型閥67之開度V對應於處理壓力P以及自第2排氣通路63b排氣之排氣流量Fb而儲存於表86(步驟S14)。如此般,藉由對處理壓力P以及流量Fb做各種改變以進行處理壓力P與流量Fb之設定、以及蝶型閥67之開度V與壓差ΔP之取得,而製成表86。
接著,對晶圓W進行成膜處理之情況下,如圖13所示般,首先自第1儲存體82a讀取配方(步驟S21),自表86讀取與此配方所記載之處理壓力P、從第2排氣通路63b所排出之排氣流量Fb相對應之蝶型閥67之開度V以及壓差ΔP(步驟S22)。此外,打開未圖示之閘閥,自外部利用搬運臂10而經由搬運口15將晶圓W收授於旋轉工作台2之凹部24內。此收授係當凹部24於面臨搬運口15之位置停止時,如圖8所示般,昇降銷16經由凹部24底面之貫通孔而自真空容器1底部側昇降來進行。此種晶圓W之收授係使得旋轉工作台2間歇性旋轉來進行,將個別晶圓W載置於旋轉工作台2之五個凹部24內。接著,將閥65a、65b以及蝶型閥67全開使得真空容器1內成為抽盡氣體之狀態(步驟S23),再使得旋轉工作台2以既定之旋轉數繞順時鐘方向旋轉,並藉由加熱器單元7將晶圓W(旋轉工作台2)加熱至例如300℃。
然後,將蝶型閥67之開度V設定於由表86所讀取之值(步驟S24)。此外,自第1反應氣體噴嘴31以流量fa(例如100sccm)供給BTBAS氣體,並自第2反應氣體噴嘴32以流量fb(例如10000sccm)供給O3氣體。此外,自分離氣體噴嘴41、42皆以20000sccm供給N2氣體(步驟S25),自分離氣體供給管51以及洗滌氣體供給管72、73亦以既定流量將N2氣體供給於真空容器1內。此外,如圖10所示般,以真空容器1內之壓力成為處理壓力P的方式調整第1閥65a之開度(朝開度變小之方向調整),並以壓差計68之測定值成為壓差ΔP的方式調整第2閥65b之開度(步驟S26)。具體而言,最初第2閥65b呈全開狀態而壓差計68之測定值較壓差ΔP來的大,故以開度變小(關閉)的方式調整第2閥65b之開度。此外,藉由調整此第2閥65b之開度,則真空容器1內之壓力變高,故以真空容器1內之壓力維持在處理壓力P的方式調整第1閥65a之開度(以開度變大的方式調整)。
如此般,以真空容器1內之壓力以及壓差計68之測定值分別成為處理壓力P以及壓差ΔP的方式將閥65a、65b之開度瞬時地加以例如交互調整,則圖14所示般,自第1排氣通路63a排出流量Fa(BTBAS氣體之流量fa以及N2氣體之總流量當中既定之分量)之氣體,自第2排氣通路63b排出流量Fb(O3氣體之流量fb以及N2氣體之剩餘量)之氣體,而抑制於真空容器1內以及排氣通路63a、63b內發生反應氣體彼此之混合。是以,乃得以抑制粒子之產生。此外,於此圖14中,針對兩個排氣通路63a、63b係簡化記載來描繪。
然後,藉由旋轉工作台2之旋轉,晶圓W經由分離區域D而交互通過第1處理區域91與第2處理區域92,故於晶圓W表面吸附BTBAS氣體,其次吸附O3氣體使得BTBAS分子氧化而形成1層或是複數層之氧化矽分子層,如此一來會依序積層氧化矽分子層而形成由既定之膜厚之矽氧化膜所構成之薄膜。此時,由於對排氣通路63a、63b之個別排氣流量進行了調整,故可抑制對晶圓W之氣流變動,並可於晶圓W面內以及面間使得氣流安定化。此外,藉由調整個別排氣通路63a、63b之排氣流量,則相較於未調整排氣流量之情況,由於晶圓W在各處理區域91、92以及分離區域D進出時藉由旋轉工作台2之旋轉,可使得晶圓W所感受到之壓力差變小,乃可抑制例如晶圓W進出於該等區域91、92、D時,晶圓W自凹部24飛出、位偏。
此時,於分離區域D供給N2氣體,此外於中心部區域C也供給分離氣體之N2氣體,故可避免BTBAS氣體與O3氣體混合而將各氣體排出。此外,於分離區域D,於彎曲部46與旋轉工作台2外端面之間之間隙如前述般變得狹窄,故即使BTBAS氣體與O3氣體經由旋轉工作台2之外側也不會混合。從而,第1處理區域91之環境氣氛與第2處理區域92之環境氣氛被完全分離,BTBAS氣體係排氣至排氣口61,而O3氣體係排氣至排氣口62。此結果,BTBAS氣體以及O3氣體即使於環境氣氛中也不會在晶圓W上產生掺混。
此外,由於旋轉工作台2下方側係以N2氣體來洗滌,故流入排氣區域E之氣體會潛沉到旋轉工作台2下方側,而完全不用擔心例如BTBAS氣體流入O3氣體之供給區域。一旦以此方式結束成膜處理,乃停止氣體之供給,將真空容器1內作真空排氣,之後停止旋轉工作台2之旋轉,以與搬入各晶圓W時為相反之動作來依序藉由搬運臂10搬出。
此處,若對處理參數之一例加以記載的話,則旋轉工作台2之旋轉速度,在使用300mm直徑之晶圓W的情況係例如1rpm~500rpm,真空容器1中心部之來自分離氣體供給管51之N2氣體流量係例如5000sccm。此外,對1片晶圓W之反應氣體供給循環數(亦即晶圓W分別通過處理區域91、92之次數)係隨目標膜厚而改變,多數次例如為600次。
依據上述實施形態,於真空容器1內之旋轉工作台2上載置晶圓W,對此晶圓W供給處理氣體而於真空環境氣氛下進行處理之際,可由插設著第1閥65a之第1排氣通路63a、插設著第2閥65b之第2排氣通路63b而對真空容器1內之環境氣氛分別進行真空排氣,以真空容器1內之壓力成為處理壓力P的方式調整第1閥65a之開度,且為了將第1排氣通路63a之排氣流量與第2排氣通路63b之排氣流量設定為對應於配方之設定值,乃將蝶型閥67之開度V設定為於表86所記載之值,其次以壓差計68之測定值成為表86所記載之壓差ΔP的方式調整第2閥65b之開度。是以,由於可調整排氣通路63a、63b之個別排氣流量,故可於分離區域D之兩側安定形成適切的氣流。從而,由於晶圓W表面之反應氣體(BTBAS氣體、O3氣體)之氣流穩定化,故BTBAS氣體之吸附狀態安定化且O3氣體所致吸附分子之氧化反應也安定化,結果可於晶圓W面內以及面間得到膜厚均一、膜質均質且良好之薄膜。此外,由於可防止於分離區域D兩側之排氣不均,故可防止BTBAS氣體與O3氣體穿越分離區域D而混合,藉此,可抑制於晶圓W表面以外之反應產物之生成,是以可抑制粒子之產生。
此外,由於在進行成膜處理前,算出與排氣通路63b之排氣流量Fb以及處理壓力P相對應之蝶型閥67之開度V以及壓差ΔP,故即便真空泵64a、64b之排氣能力出現個別差異之情況,仍可調整排氣流量。此外,於算出蝶型閥67之開度V以及壓差ΔP之際,由於並非使用BTBAS氣體、O3氣體而是使用N2氣體,故可防止對真空容器1內之構件等造成不良影響(附著物之附著、粒子之產生等)。
如此般,在本發明之實施形態,基於真空容器1內之處理壓力P,事先算出與供給於真空容器1之氣流量相對應之排氣側各設定值(蝶型閥67之開度V、壓差ΔP),依據此算出結果(表86)來調整於各排氣通路63通流之排氣流量,藉此,可掌握壓力計(壓力測定器66a、66b)所無法直接測定之排氣流量。換言之,可利用氣體供給量來調整排氣流量。是以,相較於習知藉助壓力計來調整排氣流量之方法,可正確調整排氣流量,從而可抑制前述般反應氣體彼此之混合,並可於面內以及面間進行均一之成膜處理。
於上述例中,關於製成表86之例係針對裝置啟動時做了說明,惟亦可於例如裝置之維修時定期地製成表86。於該情況下,即使例如於排氣通路63a、63b或真空泵64a、64b之內部附著反應產物,或是真空泵64a、64b之排氣能力發生經時性變化仍可算出排氣流量。
此外,如上述般,由於在旋轉工作台2之旋轉方向配置複數晶圓W,使得旋轉工作台2旋轉而依序通過第1處理區域91與第2處理區域92即進行所謂的ALD(或是MLD),故能以高生產量進行成膜處理。再者,由於在旋轉方向,於第1處理區域91與第2處理區域92之間設置具備低天花板面之分離區域D,並從由旋轉工作台2之旋轉中心部與真空容器1所區隔之中心部區域C朝旋轉工作台2周緣噴出分離氣體,使得反應氣體連同朝分離區域D兩側擴散之分離氣體以及自中心部區域C噴出之分離氣體經由位於旋轉工作台2周緣與真空容器內周壁間之間隙而排氣,故可防止兩反應氣體混合,此結果,可進行良好之成膜處理,且可完全避免或儘可能抑制於旋轉工作台2上產生反應產物,而可抑制粒子之產生。此外,本發明亦可適用於在旋轉工作台2載置1個晶圓W之情況。
上述第1反應氣體,除了上述例以外,尚可舉出DCS[二氯矽烷]、HCD[六氯二矽烷]、TMA[三甲基鋁]、3DMAS[三錫甲基胺基矽烷]、TEMAZ[四乙基甲基胺基鋯]、TEMAH[四乙基甲基胺基鉿]、Sr(THD)2[鍶雙四甲基庚二酮酸]、Ti(MPD)(THD)[鈦甲基戊二酮酸雙四甲基庚二酮酸]、單胺矽烷等。
[第2實施形態]
於上述第1實施形態中,係針對設有2條排氣通路63a、63b之成膜裝置作了說明,惟本發明之實施形態之成膜裝置,亦可設有複數條(例如3條)排氣通路63。針對此種成膜裝置,以第2實施形態參照圖15以及圖16作說明。此外,於此實施形態,針對於上述第1實施形態所說明之部位係賦予相同符號而省略說明。
此成膜裝置設有3支反應氣體噴嘴31、32、33。此外,於自反應氣體噴嘴31供給反應氣體之處理區域91與自反應氣體噴嘴32供給其他反應氣體之處理區域92之間配置著分離區域D,於此分離區域D設有分離氣體供給噴嘴41。此外,於處理區域91與自反應氣體噴嘴33供給又不同之反應氣體之處理區域93之間配置著其他分離區域D,於此分離區域D設有分離氣體供給噴嘴42。再者,於處理區域92與處理區域93之間又配置其他之分離區域D,於此分離區域D設有分離氣體供給噴嘴300。自搬運口15繞順時鐘方向依序配置著分離氣體供給噴嘴41、反應氣體噴嘴31、分離氣體噴嘴42、反應氣體噴嘴33、分離氣體噴嘴300、以及反應氣體噴嘴32。
反應氣體噴嘴31係與儲存有例如Sr(THD)2(鍶雙四甲基庚二酮酸)、Sr(Me5Cp)2(雙五甲基環己二烯鍶)等Sr原料之第1氣體供給源38a連接著。於反應氣體噴嘴32係與儲存著前述O3氣體之第2氣體供給源38b連接著。此外,反應氣體噴嘴33係與儲存有例如Ti(OiPr)2(THD)2(鈦雙異丙氧化物雙四甲基庚二酮酸)、Ti(OiPr)(鈦四異丙氧化物)等Ti原料之第3氣體供給源38d連接著。此反應氣體噴嘴33係與前述之反應氣體噴嘴32同樣,自N2供給源38c供給N2氣體。此外,於圖15中參照符號33b係氣體供給通路,參照符號36係閥,參照符號37係流量調整部,以及參照符號301係氣體導入埠。
於處理區域91與相對於處理區域91鄰接於旋轉工作台2之旋轉方向下流側的分離區域D之間形成有排氣口61。於處理區域92與相對於處理區域92鄰接於旋轉工作台2之旋轉方向下流側的分離區域D之間形成有排氣口62b。於處理區域93與相對於處理區域93鄰接於旋轉工作台2之旋轉方向下流側之分離區域D之間形成有排氣口62c。於自排氣口62b延伸之第2排氣通路63b,如圖16所示般,設有蝶型閥67b、壓差計68b以及第2閥65b、真空泵64b。於排氣口62c延伸之第3排氣通路63c係與壓力測定器66c、蝶型閥67c、壓差計68c、第3閥65c以及真空泵64c連接著。此外,於圖16係將成膜裝置簡化描繪著。
於此實施形態製成表86之情況,首先如圖17(a)所示般,關閉第1閥65a與第2閥65b以及第3閥65c之其中一者(此例中為第3閥65c)。其次,如前述般,對真空容器1內以流量Fb供給N2氣體,真空容器1內之壓力成為處理壓力P,另以第2排氣通路63b之排氣流量成為Fb的方式調整蝶型閥67b之開度V。然後,將此時之開度V與壓差計68b之測定值(壓差ΔP)儲存於表86。接著,如圖17(b)所示般,在關閉第1閥65a之狀態下,關閉第2閥65b並開放第3閥65c。然後,對真空容器1內以流量Fc供給N2氣體,並以真空容器1內之壓力成為處理壓力P、又第3排氣通路63c之排氣流量成為Fc的方式調整蝶型閥67c之開度V,將此時之開度V與壓差計68c之測定值(壓差ΔP)儲存於表86。此外,在圖17中,針對各閥65a、65b、65c,開放狀態係以白色表示著,關閉狀態係以黑色表示著。此外,上述N2氣體實際上亦從各噴嘴32、33以外之分離氣體噴嘴41、42、300等供給於真空容器1內,但在圖17中基於方便說明起見係描繪了自噴嘴32、33供給之情況。
之後,對晶圓W進行成膜處理時,係將配方連同與此配方對應之閥65b、65c之開度V以及壓差計68b、68c之壓差ΔP自表86讀取。其次,將蝶型閥67b、67c之開度V分別設定為自表86所讀取之值,自各反應氣體噴嘴31、32、33分別將前述反應氣體分別以流量fa、fb、fc供給於真空容器1內,另自噴嘴41、42、300以及供給管51、72、73也以既定流量供給N2氣體。然後,一邊利用第1閥65a將真空容器1內之壓力調整為處理壓力P,一邊以壓差計68b、68c之個別壓差ΔP成為自表86所讀取之值的方式分別調整第2閥65b之開度以及第3閥65c之開度,則如圖17(c)所示般,第2排氣通路63b之排氣流量會成為Fb(N2氣體總流量當中之既定流量以及流量fb),第3排氣通路63c之排氣流量會成為Fc(N2氣體總流量當中之既定流量以及流量fc)。從而,第1排氣通路63a之排氣流量成為對真空容器1內所供給之反應氣體以及N2氣體之合計流量F當中屏除流量Fb、Fc之流量Fa(亦即N2氣體之剩餘量以及流量fa)。是以,各反應氣體不會於真空容器1內以及各排氣通路63內相互掺混而被個別地排氣。此外,上述反應氣體之流量fa、fb、fc係分別設定為例如5000sccm、5000sccm、5000sccm。
如此般,藉由旋轉工作台2之旋轉,於晶圓W上會依含Sr之反應氣體之吸附、含Ti之反應氣體之吸附、該等反應氣體之氧化之順序反覆進行複數次,而積層出由含Sr與Ti之氧化膜(STO膜)所構成之薄膜。
於此第2實施形態亦能得到與前述第1實施形態同樣的效果。此外,當排氣通路63b、63c之個別排氣流量相同且真空泵64b、64c之排氣能力也相同的情況下,亦可針對排氣通路63b、63c之其中一者進行表86之製成,將此時所得之表86於另一排氣通路63b、63c當作進行成膜處理時之讀取表86來使用。再者,於此實施形態,係以對真空容器1內供給3種類之反應氣體為例作說明,但供給複數種類(例如4種類以上)反應氣體之情況亦可適用本發明。於此情況下,係與上述實施形態同樣,針對於個別處理區域連通排氣口之個別排氣通路分別製成表86。
此外於分離區域D之天花板面44,相對於分離氣體噴嘴41(42、300)在旋轉工作台2之旋轉方向上流側部位,愈位於外緣之部位在旋轉方向之寬度愈大為佳。其理由在於,藉由旋轉工作台2之旋轉,自上流側朝分離區域D之氣流愈靠近外緣速度愈快之故。以此觀點來看,如上述般將凸狀部4構成為扇型為上策。
此外,分別位於分離氣體噴嘴41(42、300)兩側之形成狹隘空間的第1天花板面44(於圖18(a)、(b)中係以分離氣體噴嘴41為代表表示),當使用例如300mm直徑之晶圓W的情況,於晶圓W之中心WO通過的部位,沿旋轉工作台2之旋轉方向的寬度L以50mm以上為佳。為了有效阻止反應氣體自凸狀部4兩側侵入凸狀部4下方(狹隘空間),當寬度L短之情況必須對應於此縮短第1天花板面44與旋轉工作台2之間的距離h。再者,若將第1天花板面44與旋轉工作台2之間的距離h設定為特定長度,則由於愈遠離旋轉工作台2之旋轉中心,旋轉工作台2之速度愈快,所以為了得到反應氣體之侵入阻止效果,所要求之寬度L離開旋轉中心愈遠長度愈長。考量此種觀點,若晶圓W之中心WO所通過之部位的寬度L小於50mm,由於必須將第1天花板面44與旋轉工作台2之距離h縮減到相當程度,所以為了防止於旋轉工作台2旋轉時,旋轉工作台2或是晶圓W與天花板面44之衝突,必須謀求極力抑制旋轉工作台2之振動。再者,由於旋轉工作台2之旋轉數愈高,反應氣體愈容易自凸狀部4上流側侵入凸狀部4下方側,故若將寬度L設定為50mm以下,勢必需降低旋轉工作台2之旋轉數,此從生產量之觀點來看並非好對策。從而,寬度L以50mm以上為佳,惟即便是50mm以下也不表示無法得到本發明之效果。亦即,寬度L為晶圓W直徑之1/10~1/1為佳、約1/6以上為更佳。
此外,在本發明中雖必須使得低天花板面44位於分離氣體供給部之旋轉方向兩側,惟不限定於凸狀部4配置在分離氣體噴嘴41、42、300兩側之上述構成,亦可採用分離氣體之通流室47沿旋轉工作台2之直徑方向延伸形成於凸狀部4內部,並於此通流室47之底部沿長度方向穿設多數氣體噴出孔40之構成。
如以上已說明般,分離區域D亦可採用將扇型凸狀部4在圓周方向分割為兩部份,於其間設置分離氣體噴嘴41(42、300)之構成;圖19係以前述第1實施形態之成膜裝置為例而顯示此種構成之俯視圖。於此種情況下,扇型凸狀部4與分離氣體噴嘴41(42、300)之距離以及扇型凸狀部4之大小等係考慮分離氣體之噴出流量、反應氣體之噴出流量等以分離區域D可發揮有效分離作用的方式來設定。
於上述實施形態中,各處理區域91、92、93之天花板面相較於分離區域D之天花板面相當於高區域,惟本發明,處理區域91、92、93之至少一者亦可使其所具備之天花板面係與分離區域D同樣在反應氣體供給部之旋轉方向兩側和旋轉工作台2對向設置,在該天花板面與旋轉工作台2之間形成用以阻止氣體侵入之空間且較分離區域D在旋轉方向兩側之天花板面(第2天花板面45)來得低(例如與分離區域D之第1天花板面44為相同高度)。
於本發明之實施形態中,為了於分離氣體噴嘴41(42、300)之兩側形成狹隘空間而設有低天花板面(第1天花板面)44,惟於反應氣體噴嘴31(32、33)之兩側亦設置同樣的低天花板面,使得此等天花板面形成連續性構成,亦即除了設置分離氣體噴嘴41(42、300)與反應氣體噴嘴31(32、33)之部位以外,於與旋轉工作台2對向之區域全面設置凸狀部4也可得到同樣的效果。此構成若以其他觀點來看,乃分離氣體噴嘴41(42、300)兩側之第1天花板面44擴展至反應氣體噴嘴31(32、33)之例。於此情況下,分離氣體擴散至分離氣體噴嘴41(42、300)之兩側,反應氣體擴散至反應氣體噴嘴31(32、33)之兩側,兩氣體則於凸狀部4之下方側(狹隘空間)匯集,該等氣體自排氣口61(62、63)排出。
於以上之實施形態,旋轉工作台2之旋轉軸22係位於真空容器1之中心部,而於旋轉工作台2中心部與真空容器1上面部之間之空間洗滌分離氣體,惟於其他實施形態亦可如圖20所示般構成。針對此種構成,若舉出前述第1實施形態之成膜裝置為例來說明,則於圖20之成膜裝置中,真空容器1中央區域之底部14係朝下方側突出形成驅動部之收容空間100,且於真空容器1中央區域之上面形成凹部100a,於真空容器1中心部在收容空間100底部與真空容器1之凹部100a上面之間插設支柱101,防止來自第1反應氣體噴嘴31之BTBAS氣體與來自第2反應氣體噴嘴32之O3氣體經由中心部掺混。
針對使得旋轉工作台2旋轉之機構,係圍繞支柱101設置旋轉套筒102而沿著此旋轉套筒102設置環狀旋轉工作台2。此外,於收容空間100設有利用馬達103所驅動之驅動齒輪部104,藉由此驅動齒輪部104,而經由於旋轉套筒102下部之外周所形成之齒輪部105使得旋轉套筒102旋轉。106、107以及108為軸承部。此外於收容空間100底部連接著洗滌氣體供給管74,並使用以對凹部100a側面與旋轉套筒102上端部之間之空間供給洗滌氣體之洗滌氣體供給管75連接於真空容器1上部。在圖20中,用以對在凹部100a側面與旋轉套筒102上端部之間之空間供給洗滌氣體之開口部係記載於左右2部位,惟為了避免BTBAS氣體與O3氣體經由旋轉套筒102之附近區域而掺混,較佳為設計開口部(洗滌氣體供給口)之排列數。
於圖20之實施形態中,若自旋轉工作台2側來看,則凹部100a側面與旋轉套筒102上端部之間的空間相當於分離氣體噴出孔,而此分離氣體噴出孔,係藉由旋轉套筒102以及支柱101構成位於真空容器1中心部之中心部區域。
此外,於上述各例中,係相對於氣體供給系統(噴嘴31、32、33、41、42、300)使得旋轉工作台2旋轉,惟亦可相對於旋轉工作台2使得氣體供給系統朝圓周方向旋轉。
[第3實施形態]
於上述各實施形態,係就於共通真空容器1內供給會相互反應之複數種類反應氣體進行成膜處理之例作了說明,惟本發明尚可適用於例如對真空容器內供給1種類處理氣體(例如蝕刻氣體)而進行基板處理(例如蝕刻處理)之情況。關於進行此蝕刻處理之基板處理裝置之例,以第3實施形態參照圖21以及圖22作說明。
於圖21中,參照符號201係真空容器,參照符號202係用以載置例如1邊為數m程度之方型玻璃等所構成之LCD(Liquid Crystal Display)基板、FPD(Flat Panel Display)基板等基板S作為下部電極的載置台。於真空容器201上方設有對於載置台202上之基板S供給作為處理氣體之蝕刻氣體(例如氯氣等鹵素系負性氣體)之處理氣體供給部即氣體淋灑頭203。氣體淋灑頭203係經由未圖示之閥、流量調整部而分別連接著用以對氣體淋灑頭203內部區域供給蝕刻氣體之處理氣體供給通路220以及用以供給流量調整用氣體(例如N2氣體)之N2氣體供給通路221。此外,圖21中,參照符號204係於氣體淋灑頭203下面所形成之成為處理氣體供給口之氣體噴出口,參照符號205係用以對真空容器201進行基板S搬入出之搬運口,參照符號206係用以對載置台202供給電漿產生用高頻之高頻電源,參照符號207係阻抗調整機構,參照符號208係絕緣體。
此外,如圖22所示,於載置台202周圍之真空容器201地板面,以沿著載置台202四邊的方式使得複數(例如8個)排氣口209以例如等間隔來形成。若將該等排氣口209之各個延伸排氣通路210當中一者稱為第1排氣通路211,將剩餘7個排氣通路210稱為第2排氣通路212,則於第1排氣通路211係連接著真空泵64a(插設有第1閥65a)。此外,於第2排氣通路212係連接著真空泵64b(插設有蝶型閥67、壓差計68以及第2閥65b)。於該等閥65以及真空泵64,係對應於第1實施形態賦予符號,而與第1實施形態具相同符號者係與第1實施形態之機器具有同樣之功用。
於此基板處理裝置同樣地在製成圖11之表86時,進行與第2實施形態所說明之手法為同樣之處理。具體而言,係使得第1排氣通路211之第1閥65a與第2排氣通路212當中之一排氣通路212以外的第2閥65b關閉。亦即,僅使得複數第2閥65b當中之一排氣通路212之第2閥65b開啟。然後,對真空容器201內以流量Fb供給N2氣體,以真空容器201內之壓力成為處理壓力P的方式調整一排氣通路212之蝶型閥67之開度V,此時之開度V與壓差ΔP係儲存於表86。此種操作係於第2排氣通路212個別當中,對於一排氣通路212以外之其他6個排氣通路212依序進行,對6個排氣通路212全部依序取得開度V與壓差ΔP之數據而製成表86。
此外,於此基板處理裝置在進行蝕刻處理之時,係讀取配方以及對應於配方之表86,經由搬運口205將基板S搬入真空容器201內而載置於載置台202,使得各閥65a、65b全開成為將真空容器201內抽盡氣體之狀態。其次,將各蝶型閥67之開度設定為表86所記載之值,自處理氣體供給通路220將前述蝕刻氣體供給於氣體淋灑頭203,則此蝕刻氣體會自氣體噴出孔204朝基板S流動。接著,以真空容器201內之壓力成為處理壓力P的方式調整第1閥65a之開度,並以各壓差計68之測定值成為分別之壓差ΔP的方式調整各第2閥65b之開度。此外,若自高頻電源206對載置台202以既定電力供給既定頻率之高頻,則蝕刻氣體會電漿化,而將在基板S上所形成之例如鋁所構成之薄膜逐步蝕刻。此時,如上述般,由於各排氣口209之排氣流量係基於表86來調整,故個別排氣通路210之排氣流量係調整成為分別既定之量(於此例中係調整為相同流量)。從而,對基板S之電漿供給以及蝕刻生成之副產物之排出可在整個基板S面內安定化,可進行均一之蝕刻處理。於此第3實施形態也可得到與上述各例同樣效果。
此處,於上述各實施形態中,由於以壓力測定器66a、66b、66c所測定之壓力大至相同,故於製成表86之時、以真空容器內之壓力成為處理壓力P的方式調整第1閥65a之開度之時所使用之真空容器內之壓力亦可使用該等壓力測定器66a、66b、66c之其中一者之壓力檢測值,亦可使用於真空容器1內另外設置之壓力測定器之壓力檢測值。再者,為了測定蝶型閥67前後之壓差ΔP而設置了壓差計68,惟亦可設置用以對蝶型閥67與此蝶型閥67下流側之第2閥65b之間的排氣通路63b之壓力進行測定之壓力計,以此壓力計之測定值與真空容器1內之壓力(壓力測定器66a、66b、66c其中一者之壓力檢測值或是於真空容器1內另外設置之未圖示壓力測定器之壓力檢測值)來算出壓差ΔP。此情況下,係由壓力計、第1壓力測定器以及藉由該等壓力計與第1壓力測定器所個別測定之壓力值來測定蝶型閥67前後之壓力差之運算部來構成壓差測定部。
此外,於製成表86之際,如圖11所示般,由於處理壓力P與利用壓差計68所測定之蝶型閥67在真空容器1側之壓力大致相等,故於表86亦可取代壓差ΔP而儲存在蝶型閥67與第2閥65b之間之壓力值,當基於表86與配方調整各排氣通路63之流量之時,以蝶型閥67與第2閥65之間之壓力值成為表86所儲存之壓力值的方式調整第2閥65b之開度。
此外,針對第2排氣通路63b(212)之排氣流量係製成了表86,惟「第1」以及「第2」之用語係為了區別各自之排氣通路63(210)所附加者,從而,只要將複數排氣通路63(210)當中之一排氣通路63(210)設定為第1排氣通路63a(211),將其他排氣通路63(210)設定為第2排氣通路63b(212),且設置蝶型閥67以及壓差計68,針對個別之第2排氣通路63b(212)製成表86即可。再者,於複數排氣通路63(210)中,真空泵64可共通使用。此外,如前述之圖21以及圖22般於真空容器201內固定設置載置台202之例當中同樣地,排氣通路210不限於例示之個數亦可為例如2個。
此外,於上述第3實施形態中,係就對基板S進行蝕刻處理之蝕刻裝置作了說明,惟本發明亦可適用於此種蝕刻處理與成膜處理以外之處理。例如,本發明尚可適用於下述熱處理裝置:亦即於真空容器內對載置台上之基板進行加熱,並一邊將惰性氣體供給於真空容器內一邊經由複數排氣通路將真空容器內作真空排氣而進行熱處理之熱處理裝置。於此情況下,亦是事先製成表,藉以將惰性氣體均等供給於基板面內,故藉由熱處理自基板生成之氣體等會自基板表面均等排出,可進行均一之熱處理。
本發明不限於具體揭示之實施例,在不脫離本發明之範圍所做各種變形例、改良例亦包含於本發明當中。
本申請案係基於2009年11月4日於日本特許廳提出申請之日本發明專利申請2009-253321號主張優先權,而將其所有內容援引於此。
1...真空容器
2...旋轉工作台
4...凸狀部
5...突出部
7...加熱器單元
10...搬運臂
11...頂板
12...容器本體
13...密封構件
14...底部
15...搬運口
16...昇降銷
20...盒體
21...核心部
22...旋轉軸
23...驅動部
24...凹部
31、32、41、42...氣體噴嘴
31a、32a、41a、42a...氣體導入埠
31b,32b,41b,42b...氣體供給管
36a~36h...閥
37a~37h...流量調整部
38a...第1氣體供給源
38b...第2氣體供給源
38c...N2氣體供給源
38d...第3氣體供給源
39...氣體供給系統
40,330...噴出孔
43...溝槽部
44...低天花板面
45...第2天花板面
46...彎曲部
50...間隙
51...分離氣體供給管
52...空間
61...第1排氣口
62...第2排氣口
63a...第1排氣通路
63b...第2排氣通路
64a,64b...真空泵
65a...第1閥
65b...第2閥
66a,66b...壓力測定器
67,67b,67c...蝶型閥
68,68b,68c...壓差計
71...蓋構件
72,73...洗滌氣體供給管
80...控制部
81...CPU
82...儲存體
82a...第1儲存體
82b...第2儲存體
83...處理程式
83a...排氣流量用程式
83b...成膜處理用程式
84...作動儲存體
85...儲存媒體
86...表
100...收容空間
100a...凹部
101...支柱
102...旋轉套筒
103...馬達
104...驅動齒輪部
105...齒輪部
106,107,108...軸承部
201...真空容器
202...載置台
203...氣體淋灑頭
204...氣體噴出口
205...搬運口
206...高頻電源
207...阻抗調整機構
208...絕緣體
209...排氣口
210...排氣通路
211...第1排氣通路
212...第2排氣通路
220...處理氣體供給通路
300...分離氣體供給噴嘴
301...氣體導入埠
C...中心部區域
E1...第1排氣區域
E2...第2排氣區域
E3...第3排氣區域
W...晶圓
圖1係本發明實施形態之基板處理裝置之縱截面圖。
圖2係顯示上述基板處理裝置之內部概略構成之立體圖。
圖3係顯示上述基板處理裝置之橫斷俯視圖。
圖4係顯示上述基板處理裝置之處理區域以及分離區域之縱截面圖。
圖5係顯示上述基板處理裝置之一部份之縱截面圖。
圖6係顯示上述基板處理裝置之一部份剖面立體圖。
圖7係顯示上述基板處理裝置之分離氣體或是洗滌氣流動模樣之說明圖。
圖8係顯示上述基板處理裝置之一部份剖面立體圖。
圖9係顯示上述基板處理裝置之控制部一例之概略圖。
圖10係顯示於上述基板處理裝置製作表時之真空容器內等壓力之示意圖。
圖11係顯示上述表一例之概略圖。
圖12係顯示製作上述表時之製程之流程圖。
圖13係顯示基於上述流程圖進行成膜處理時之流程圖。
圖14係顯示上述成膜處理之真空容器內之氣流之概略圖。
圖15係顯示上述其他實施形態之俯視圖。
圖16係顯示上述其他實施形態之示意圖。
圖17係顯示於上述其他實施形態製作表之順序之概略圖。
圖18係用以說明於分離區域所使用之凸狀部尺寸例之說明圖。
圖19係顯示分離區域其他例之縱截面圖。
圖20係顯示本發明其他實施形態之成膜裝置之橫斷俯視圖。
圖21係顯示本發明其他實施形態之基板處理裝置一例之側視圖。
圖22係顯示上述其他實施形態之俯視圖。
1...真空容器
2...旋轉工作台
5...突出部
7...加熱器單元
11...頂板
12...容器本體
13...密封構件
14...底部
15...搬運口
20...盒體
21...核心部
22...旋轉軸
23...驅動部
24...凹部
31...第1反應氣體噴嘴
32...第2反應氣體噴嘴
41...分離氣體噴嘴
45...第2天花板面
46...彎曲部
61...第1排氣口
62...第2排氣口
63a...第1排氣通路
63b...第2排氣通路
64a,64b...真空泵
65a...第1閥
65b...第2閥
66a,66b...壓力測定器
67...蝶型閥
68...壓差計
71...蓋構件
72,73...洗滌氣體供給管
80...控制部
91...第1處理區域
92...第2處理區域
C...中心部區域
D...分離區域
E1...第1排氣區域
E2...第2排氣區域
Claims (7)
- 一種基板處理裝置,係於真空容器內之載置台上載置基板,對該基板自處理氣體供給部供給處理氣體以於真空環境氣氛下進行處理者,具備有:第1排氣通路與第2排氣通路,係用以對該真空容器內進行真空排氣;第1壓力調整閥,係插設於該第1排氣通路;第2壓力調整閥,係插設於該第2排氣通路;傳導調整部,係設於該第2排氣通路之該第2壓力調整閥的1次側,而用以調整該第2排氣通路之傳導;第1壓力測定器,係用以測定該真空容器內壓力;壓差測定部,係用以測定該傳導調整部之1次側與2次側的壓差;儲存部,係用以儲存規定有該真空容器內之壓力值、該傳導調整部之調整值、該第2排氣通路之排氣流量以及該壓差之關係的數據;以及控制部,係自此儲存部所儲存著之數據讀取與該第2排氣通路之排氣流量設定值相對應之該真空容器內之壓力值、該傳導調整部之調整值以及該壓差,從而輸出控制訊號,以成為該壓力值的方式來調整該第1壓力調整閥,並以成為該調整值的方式藉由該傳導調整部來調整該第2排氣通路之傳導,接著以成為該壓差的方式來調整該第2壓力調整閥。
- 如申請專利範圍第1項之基板處理裝置,其中該傳導調整部為蝶型閥,傳導之調整值為蝶型閥之開度。
- 如申請專利範圍第1項之基板處理裝置,其中該處理係將相互反應之至少2種類反應氣體依序供給於基板表面且實行此供給循環,藉以積層多數之反應產物層而形成薄膜之成膜處理;進而具備有:第1反應氣體供給部與第2反應氣體供給部,係於該真空容器之圓周方向相互離間設置,用以對該載置台上之基板分別供給第1反應氣體與第2反應氣體;分離區域,係為了將被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域的環境氣氛加以分離,於該圓周方向上設置在此等處理區域之間,用以自分離氣體供給部來供給分離氣體;以及旋轉機構,係使得該第1反應氣體供給部、該第2反應氣體供給部以及該分離區域與該載置台相對性地於該圓周方向上旋轉,而使該基板經由該分離區域而依序位於該第1處理區域與該第2處理區域;該第1排氣通路之排氣口係用以將第1反應氣體與分離氣體加以排氣所設者,該第2排氣通路之排氣口係用以將第2反應氣體與分離氣體加以排氣所設者。
- 如申請專利範圍第1項之基板處理裝置,其中該儲存部內之數據係以下述方式所得者:(a)對基板進行處理之前,關閉該第1壓力調整閥而開放該第2壓力調整閥;(b)將流量調整用氣體供給於該真空容器內,並以該真空容器內之壓力成為既定壓力的方式而藉由該傳導調整部來調整該第2排氣通路之傳導,求出此時之該傳導調整部之調整值與該壓差;(c)此種嘗試係以流量調整用氣體之供給流量與真空容器內之壓力之組合來作各種變更。
- 一種基板處理方法,包含:於真空容器內之載置台上載置基板,對該基板供給處理氣體之製程;自插設有第1壓力調整閥之第1排氣通路與插設有第2壓力調整閥之第2排氣通路來對該真空容器內進行個別之真空排氣之製程;自儲存部讀取數據之製程;其次,以該真空容器內之壓力成為於該數據所儲存之壓力值的方式來調整該第1壓力調整閥,並以於該第2排氣通路之該第2壓力調整閥之1次側所設的傳導調整部之調整值成為於該數據所儲存之調整值的方式而藉由該傳導調整部來調整該第2排氣通路之傳導之製程;以及接著,以該傳導調整部之1次側與2次側之壓差成為於該數據所儲存之壓差的方式來調整該第2壓力調整閥之製程;此外,該讀取製程係自該數據來讀取與該第2排氣通路之排氣流量設定值相對應之該真空容器內之壓力值、該傳導調整部之調整值以及該壓差之製程。
- 如申請專利範圍第5項之基板處理方法,其中該處理係將相互反應之至少2種類反應氣體依序供給於基板表面且實行此供給循環以積層多數之反應產物層形成薄膜之成膜處理;該供給處理氣體之製程係自於該真空容器圓周方向相互離間設置之第1反應氣體供給部與第2反應氣體供給部,對該載置台上之基板分別供給第1反應氣體與第2反應氣體之製程;於調整該傳導之製程前,為了將被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域的環境氣氛加以分離,而進行:於該圓周方向上對設置於此等處理區域之間的分離區域而自分離氣體供給部來供給分離氣體,並使該基板經由該分離區域而依序位於該第1處理區域與該第2處理區域的方式,來使得該第1反應氣體供給部、該第2反應氣體供給部以及該分離區域與該載置台相對性地於該圓周方向上旋轉之製程;該真空排氣之製程,係自用以排出第1反應氣體與分離氣體所設之該第1排氣通路之排氣口以及用以排出第2反應氣體與分離氣體所設之該第2排氣通路之排氣口,來對該真空容器內進行個別之真空排氣之製程。
- 一種儲存媒體,其儲存有基板處理裝置所使用之程式,該基板處理裝置係於真空容器內之載置台上載置基板,對該基板供給處理氣體而於真空環境氣氛下進行處理者;該程式係以實施申請專利範圍第5項之基板處理方法的步驟群所組成。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2009253321A JP5257328B2 (ja) | 2009-11-04 | 2009-11-04 | 基板処理装置、基板処理方法及び記憶媒体 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201135861A TW201135861A (en) | 2011-10-16 |
TWI531019B true TWI531019B (zh) | 2016-04-21 |
Family
ID=43924118
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW099137710A TWI531019B (zh) | 2009-11-04 | 2010-11-03 | 基板處理裝置、基板處理方法及儲存媒體 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8746170B2 (zh) |
JP (1) | JP5257328B2 (zh) |
KR (1) | KR101291872B1 (zh) |
CN (1) | CN102054663B (zh) |
TW (1) | TWI531019B (zh) |
Families Citing this family (384)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9416448B2 (en) * | 2008-08-29 | 2016-08-16 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method |
JP5423205B2 (ja) * | 2008-08-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置 |
JP5107185B2 (ja) | 2008-09-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
JP5445044B2 (ja) * | 2008-11-14 | 2014-03-19 | 東京エレクトロン株式会社 | 成膜装置 |
US9297072B2 (en) | 2008-12-01 | 2016-03-29 | Tokyo Electron Limited | Film deposition apparatus |
JP5131240B2 (ja) * | 2009-04-09 | 2013-01-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5310512B2 (ja) * | 2009-12-02 | 2013-10-09 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5553588B2 (ja) * | 2009-12-10 | 2014-07-16 | 東京エレクトロン株式会社 | 成膜装置 |
JP4815538B2 (ja) | 2010-01-15 | 2011-11-16 | シーケーディ株式会社 | 真空制御システムおよび真空制御方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102828167B (zh) * | 2011-06-13 | 2015-02-25 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种排气方法、装置及基片处理设备 |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
JP5977002B2 (ja) * | 2011-08-25 | 2016-08-24 | 東京エレクトロン株式会社 | トレンチの埋め込み方法および半導体集積回路装置の製造方法 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130237063A1 (en) * | 2012-03-09 | 2013-09-12 | Seshasayee Varadarajan | Split pumping method, apparatus, and system |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20140124788A1 (en) * | 2012-11-06 | 2014-05-08 | Intermolecular, Inc. | Chemical Vapor Deposition System |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP6115244B2 (ja) * | 2013-03-28 | 2017-04-19 | 東京エレクトロン株式会社 | 成膜装置 |
US20140311581A1 (en) * | 2013-04-19 | 2014-10-23 | Applied Materials, Inc. | Pressure controller configuration for semiconductor processing applications |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP2015056632A (ja) * | 2013-09-13 | 2015-03-23 | 東京エレクトロン株式会社 | シリコン酸化膜の製造方法 |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
JP6268469B2 (ja) * | 2013-12-18 | 2018-01-31 | 株式会社Screenホールディングス | 基板処理装置、基板処理装置の制御方法、および記録媒体 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
JP6262115B2 (ja) | 2014-02-10 | 2018-01-17 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
KR101574948B1 (ko) * | 2014-04-10 | 2015-12-07 | 주식회사 테스 | 공정가스의 흐름조정장치 |
KR102145205B1 (ko) * | 2014-04-25 | 2020-08-19 | 삼성전자주식회사 | 반도체 소자 제조방법 및 증착 장치의 유지보수방법 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
TWI670394B (zh) * | 2014-09-10 | 2019-09-01 | 美商應用材料股份有限公司 | 空間原子層沈積中的氣體分離控制 |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
JP5775633B1 (ja) * | 2014-09-29 | 2015-09-09 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法および記録媒体 |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
JP6494495B2 (ja) * | 2015-06-30 | 2019-04-03 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
US10781533B2 (en) | 2015-07-31 | 2020-09-22 | Applied Materials, Inc. | Batch processing chamber |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017066252A1 (en) * | 2015-10-13 | 2017-04-20 | Hyperloop Technologies, Inc. | Adjustable variable atmospheric condition testing apparatus and method |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
CN108140578B (zh) * | 2015-10-23 | 2022-07-08 | 应用材料公司 | 通过表面毒化处理的由下而上的间隙填充 |
JP6618113B2 (ja) * | 2015-11-02 | 2019-12-11 | 株式会社Screenホールディングス | 基板処理装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10428425B2 (en) * | 2016-01-26 | 2019-10-01 | Tokyo Electron Limited | Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium |
JP6548586B2 (ja) | 2016-02-03 | 2019-07-24 | 東京エレクトロン株式会社 | 成膜方法 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
JP6678489B2 (ja) * | 2016-03-28 | 2020-04-08 | 東京エレクトロン株式会社 | 基板処理装置 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6608332B2 (ja) * | 2016-05-23 | 2019-11-20 | 東京エレクトロン株式会社 | 成膜装置 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
SG11201810824UA (en) * | 2016-06-03 | 2019-01-30 | Applied Materials Inc | Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber |
JP6638576B2 (ja) * | 2016-06-27 | 2020-01-29 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理方法並びに記憶媒体 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP6733516B2 (ja) | 2016-11-21 | 2020-08-05 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) * | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) * | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP6758218B2 (ja) * | 2017-02-20 | 2020-09-23 | 東京エレクトロン株式会社 | 圧力制御方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
JP2019036654A (ja) * | 2017-08-18 | 2019-03-07 | 株式会社Screenホールディングス | 減圧乾燥装置、基板処理装置および減圧乾燥方法 |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
JP6613276B2 (ja) * | 2017-09-22 | 2019-11-27 | 株式会社Kokusai Electric | 半導体装置の製造方法、プログラム、記録媒体および基板処理装置 |
CN107676498B (zh) * | 2017-09-25 | 2019-05-07 | 武汉华星光电技术有限公司 | 软烤设备的排气阀组件 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11738388B2 (en) | 2018-09-21 | 2023-08-29 | Honda Motor Co., Ltd. | Casting mold for die casting, and method for setting decompression path conductance thereof |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) * | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP7175210B2 (ja) * | 2019-02-04 | 2022-11-18 | 東京エレクトロン株式会社 | 排気装置、処理システム及び処理方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
WO2020194434A1 (ja) * | 2019-03-25 | 2020-10-01 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
JP7253972B2 (ja) * | 2019-05-10 | 2023-04-07 | 東京エレクトロン株式会社 | 基板処理装置 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
US11199267B2 (en) | 2019-08-16 | 2021-12-14 | Applied Materials, Inc. | Symmetric flow valve for higher flow conductance |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
US11841715B2 (en) * | 2020-10-22 | 2023-12-12 | Applied Materials, Inc. | Piezo position control flow ratio control |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11761080B2 (en) * | 2021-01-05 | 2023-09-19 | Applied Materials, Inc. | Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method |
EP4283661A1 (en) * | 2021-01-25 | 2023-11-29 | Kokusai Electric Corporation | Substrate treatment apparatus, production method for semiconductor device, pressure control device, and substrate treatment program |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN115506011B (zh) * | 2022-09-30 | 2024-04-26 | 中国电子科技集团公司第四十八研究所 | 一种改善立式lpcvd设备镀膜效果的方法 |
Family Cites Families (141)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4313783A (en) * | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
US4681773A (en) * | 1981-03-27 | 1987-07-21 | American Telephone And Telegraph Company At&T Bell Laboratories | Apparatus for simultaneous molecular beam deposition on a plurality of substrates |
US4466380A (en) * | 1983-01-10 | 1984-08-21 | Xerox Corporation | Plasma deposition apparatus for photoconductive drums |
US5769950A (en) * | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4879970A (en) * | 1987-04-21 | 1989-11-14 | M&T Chemicals Inc. | Coating hood for applying coating compound on containers |
JPH01232732A (ja) * | 1988-03-14 | 1989-09-18 | Seisan Gijutsu Shinko Kyokai | 半導体結晶製造方法 |
US5070813A (en) * | 1989-02-10 | 1991-12-10 | Tokyo Electron Limited | Coating apparatus |
JPH03144664A (ja) | 1989-10-31 | 1991-06-20 | Mita Ind Co Ltd | 自動原稿送り装置 |
US5095300A (en) * | 1990-03-28 | 1992-03-10 | Nec Electronics Inc. | Device for sensing side positioning of wafers |
JPH0812846B2 (ja) * | 1991-02-15 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置 |
JP2677913B2 (ja) * | 1991-05-13 | 1997-11-17 | 三菱電機株式会社 | 半導体製造装置のシール機構および半導体装置の製造方法 |
JPH0613361A (ja) * | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
JP3144664B2 (ja) | 1992-08-29 | 2001-03-12 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US5338362A (en) * | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5540821A (en) * | 1993-07-16 | 1996-07-30 | Applied Materials, Inc. | Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
JP3468859B2 (ja) * | 1994-08-16 | 2003-11-17 | 富士通株式会社 | 気相処理装置及び気相処理方法 |
JPH0969515A (ja) * | 1995-06-20 | 1997-03-11 | Sony Corp | 半導体製造装置用真空処理装置 |
CN1097316C (zh) * | 1995-08-04 | 2002-12-25 | 精工爱普生株式会社 | 薄膜晶体管的制造方法、有源矩阵基板的制造方法以及液晶显示装置 |
US5851293A (en) * | 1996-03-29 | 1998-12-22 | Atmi Ecosys Corporation | Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations |
US6120609A (en) * | 1996-10-25 | 2000-09-19 | Applied Materials, Inc. | Self-aligning lift mechanism |
US5909994A (en) * | 1996-11-18 | 1999-06-08 | Applied Materials, Inc. | Vertical dual loadlock chamber |
US6152070A (en) * | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5902088A (en) * | 1996-11-18 | 1999-05-11 | Applied Materials, Inc. | Single loadlock chamber with wafer cooling function |
US5911834A (en) * | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5807792A (en) * | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6267075B1 (en) * | 1998-07-09 | 2001-07-31 | Yield Engineering Systems, Inc. | Apparatus for cleaning items using gas plasma |
US6217937B1 (en) * | 1998-07-15 | 2001-04-17 | Cornell Research Foundation, Inc. | High throughput OMVPE apparatus |
US6143082A (en) * | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6203619B1 (en) * | 1998-10-26 | 2001-03-20 | Symetrix Corporation | Multiple station apparatus for liquid source fabrication of thin films |
US6383300B1 (en) * | 1998-11-27 | 2002-05-07 | Tokyo Electron Ltd. | Heat treatment apparatus and cleaning method of the same |
US6143080A (en) * | 1999-02-02 | 2000-11-07 | Silicon Valley Group Thermal Systems Llc | Wafer processing reactor having a gas flow control system and method |
US7515264B2 (en) * | 1999-06-15 | 2009-04-07 | Tokyo Electron Limited | Particle-measuring system and particle-measuring method |
US6812157B1 (en) * | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
US6576062B2 (en) * | 2000-01-06 | 2003-06-10 | Tokyo Electron Limited | Film forming apparatus and film forming method |
JP2001257164A (ja) * | 2000-03-10 | 2001-09-21 | Hitachi Kokusai Electric Inc | 基板処理装置、基板処理方法及び圧力制御方法 |
US6863019B2 (en) * | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
US6562141B2 (en) * | 2000-07-03 | 2003-05-13 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US6235656B1 (en) * | 2000-07-03 | 2001-05-22 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
KR100458982B1 (ko) * | 2000-08-09 | 2004-12-03 | 주성엔지니어링(주) | 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법 |
US6806211B2 (en) * | 2000-08-11 | 2004-10-19 | Tokyo Electron Limited | Device and method for processing substrate |
JP2002170823A (ja) * | 2000-09-19 | 2002-06-14 | Hitachi Kokusai Electric Inc | 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材 |
KR100345304B1 (ko) * | 2000-10-12 | 2002-07-25 | 한국전자통신연구원 | 수직형 초고진공 화학증착장치 |
US6413321B1 (en) * | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US20050189074A1 (en) * | 2002-11-08 | 2005-09-01 | Tokyo Electron Limited | Gas processing apparatus and method and computer storage medium storing program for controlling same |
US6591850B2 (en) * | 2001-06-29 | 2003-07-15 | Applied Materials, Inc. | Method and apparatus for fluid flow control |
TW578198B (en) * | 2001-08-24 | 2004-03-01 | Asml Us Inc | Atmospheric pressure wafer processing reactor having an internal pressure control system and method |
US6461436B1 (en) * | 2001-10-15 | 2002-10-08 | Micron Technology, Inc. | Apparatus and process of improving atomic layer deposition chamber performance |
JP2003158080A (ja) * | 2001-11-22 | 2003-05-30 | Mitsubishi Electric Corp | 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法 |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
JP2003183832A (ja) * | 2001-12-20 | 2003-07-03 | Sekisui Chem Co Ltd | 放電プラズマ処理方法 |
US20030164143A1 (en) * | 2002-01-10 | 2003-09-04 | Hitachi Kokusai Electric Inc. | Batch-type remote plasma processing apparatus |
KR100452318B1 (ko) * | 2002-01-17 | 2004-10-12 | 삼성전자주식회사 | 압력조절시스템 및 이를 이용하는 압력조절방법 |
CN1643179B (zh) * | 2002-01-17 | 2010-05-26 | 松德沃技术公司 | Ald装置和方法 |
JP3891848B2 (ja) * | 2002-01-17 | 2007-03-14 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
US6962644B2 (en) * | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
US6869641B2 (en) * | 2002-07-03 | 2005-03-22 | Unaxis Balzers Ltd. | Method and apparatus for ALD on a rotary susceptor |
US6843882B2 (en) * | 2002-07-15 | 2005-01-18 | Applied Materials, Inc. | Gas flow control in a wafer processing system having multiple chambers for performing same process |
US7153542B2 (en) * | 2002-08-06 | 2006-12-26 | Tegal Corporation | Assembly line processing method |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
KR100497748B1 (ko) * | 2002-09-17 | 2005-06-29 | 주식회사 무한 | 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 |
CN100389482C (zh) * | 2002-11-11 | 2008-05-21 | 株式会社日立国际电气 | 基板处理装置 |
WO2004079813A1 (ja) * | 2003-03-04 | 2004-09-16 | Hitachi Kokusai Electric Inc. | 基板処理装置およびデバイスの製造方法 |
US6972055B2 (en) * | 2003-03-28 | 2005-12-06 | Finens Corporation | Continuous flow deposition system |
US7647886B2 (en) * | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
JP2007511902A (ja) * | 2003-10-29 | 2007-05-10 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7273526B2 (en) * | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US20050241579A1 (en) * | 2004-04-30 | 2005-11-03 | Russell Kidd | Face shield to improve uniformity of blanket CVD processes |
JP4879509B2 (ja) * | 2004-05-21 | 2012-02-22 | 株式会社アルバック | 真空成膜装置 |
JP4480516B2 (ja) * | 2004-08-23 | 2010-06-16 | 株式会社アルバック | バリア膜の形成方法 |
WO2006093136A1 (ja) * | 2005-03-01 | 2006-09-08 | Hitachi Kokusai Electric Inc. | 基板処理装置および半導体デバイスの製造方法 |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US7777198B2 (en) * | 2005-05-09 | 2010-08-17 | Applied Materials, Inc. | Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation |
US20060251827A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | Tandem uv chamber for curing dielectric materials |
US20060249175A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | High efficiency UV curing system |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7740705B2 (en) * | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070218702A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US7566891B2 (en) * | 2006-03-17 | 2009-07-28 | Applied Materials, Inc. | Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors |
US7909595B2 (en) * | 2006-03-17 | 2011-03-22 | Applied Materials, Inc. | Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections |
US8176871B2 (en) * | 2006-03-28 | 2012-05-15 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
JP4828599B2 (ja) * | 2006-05-01 | 2011-11-30 | 株式会社日立国際電気 | 基板処理装置 |
WO2008016836A2 (en) * | 2006-07-29 | 2008-02-07 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
JP2008072030A (ja) * | 2006-09-15 | 2008-03-27 | Matsushita Electric Ind Co Ltd | プラズマ処理装置、プラズマ処理装置の異常検出方法、及びプラズマ処理方法 |
KR20080027009A (ko) * | 2006-09-22 | 2008-03-26 | 에이에스엠지니텍코리아 주식회사 | 원자층 증착 장치 및 그를 이용한 다층막 증착 방법 |
US8043432B2 (en) * | 2007-02-12 | 2011-10-25 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080241384A1 (en) * | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
JP5322254B2 (ja) * | 2007-06-29 | 2013-10-23 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理方法並びに記憶媒体 |
US8197636B2 (en) * | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
JP5568212B2 (ja) * | 2007-09-19 | 2014-08-06 | 株式会社日立国際電気 | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 |
JP2009088298A (ja) * | 2007-09-29 | 2009-04-23 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
WO2009057583A1 (ja) * | 2007-10-31 | 2009-05-07 | Tohoku University | プラズマ処理システム及びプラズマ処理方法 |
US8465592B2 (en) * | 2008-08-25 | 2013-06-18 | Tokyo Electron Limited | Film deposition apparatus |
US8465591B2 (en) * | 2008-06-27 | 2013-06-18 | Tokyo Electron Limited | Film deposition apparatus |
US20090324826A1 (en) * | 2008-06-27 | 2009-12-31 | Hitoshi Kato | Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium |
JP4661990B2 (ja) * | 2008-06-27 | 2011-03-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、基板処理装置及び記憶媒体 |
JP5310283B2 (ja) * | 2008-06-27 | 2013-10-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、基板処理装置及び記憶媒体 |
JP5262452B2 (ja) * | 2008-08-29 | 2013-08-14 | 東京エレクトロン株式会社 | 成膜装置及び基板処理装置 |
US9416448B2 (en) * | 2008-08-29 | 2016-08-16 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method |
US8808456B2 (en) * | 2008-08-29 | 2014-08-19 | Tokyo Electron Limited | Film deposition apparatus and substrate process apparatus |
JP5195175B2 (ja) * | 2008-08-29 | 2013-05-08 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5195676B2 (ja) * | 2008-08-29 | 2013-05-08 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP5253932B2 (ja) * | 2008-09-04 | 2013-07-31 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP2010087467A (ja) * | 2008-09-04 | 2010-04-15 | Tokyo Electron Ltd | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
JP5173685B2 (ja) * | 2008-09-04 | 2013-04-03 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体 |
JP5107185B2 (ja) * | 2008-09-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
JP5276387B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US8961691B2 (en) * | 2008-09-04 | 2015-02-24 | Tokyo Electron Limited | Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method |
JP5280964B2 (ja) * | 2008-09-04 | 2013-09-04 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP2010084230A (ja) * | 2008-09-04 | 2010-04-15 | Tokyo Electron Ltd | 成膜装置、基板処理装置及び回転テーブル |
JP5253933B2 (ja) * | 2008-09-04 | 2013-07-31 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP5276388B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置及び基板処理装置 |
US7964858B2 (en) * | 2008-10-21 | 2011-06-21 | Applied Materials, Inc. | Ultraviolet reflector with coolant gas holes and method |
JP5062144B2 (ja) * | 2008-11-10 | 2012-10-31 | 東京エレクトロン株式会社 | ガスインジェクター |
JP5031013B2 (ja) * | 2008-11-19 | 2012-09-19 | 東京エレクトロン株式会社 | 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体 |
JP2010153769A (ja) * | 2008-11-19 | 2010-07-08 | Tokyo Electron Ltd | 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 |
JP2010129666A (ja) * | 2008-11-26 | 2010-06-10 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
JP2010126797A (ja) * | 2008-11-28 | 2010-06-10 | Tokyo Electron Ltd | 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体 |
US9297072B2 (en) * | 2008-12-01 | 2016-03-29 | Tokyo Electron Limited | Film deposition apparatus |
JP5056735B2 (ja) * | 2008-12-02 | 2012-10-24 | 東京エレクトロン株式会社 | 成膜装置 |
JP5083193B2 (ja) * | 2008-12-12 | 2012-11-28 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US20100227059A1 (en) * | 2009-03-04 | 2010-09-09 | Tokyo Electron Limited | Film deposition apparatus, film deposition method, and computer readable storage medium |
JP5107285B2 (ja) * | 2009-03-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
JP5093162B2 (ja) * | 2009-03-12 | 2012-12-05 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5068780B2 (ja) * | 2009-03-04 | 2012-11-07 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
JP5141607B2 (ja) * | 2009-03-13 | 2013-02-13 | 東京エレクトロン株式会社 | 成膜装置 |
JP5181100B2 (ja) * | 2009-04-09 | 2013-04-10 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5131240B2 (ja) * | 2009-04-09 | 2013-01-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5310512B2 (ja) * | 2009-12-02 | 2013-10-09 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5553588B2 (ja) * | 2009-12-10 | 2014-07-16 | 東京エレクトロン株式会社 | 成膜装置 |
US8034723B2 (en) * | 2009-12-25 | 2011-10-11 | Tokyo Electron Limited | Film deposition apparatus and film deposition method |
JP5392069B2 (ja) * | 2009-12-25 | 2014-01-22 | 東京エレクトロン株式会社 | 成膜装置 |
JP5497423B2 (ja) * | 2009-12-25 | 2014-05-21 | 東京エレクトロン株式会社 | 成膜装置 |
JP5524139B2 (ja) * | 2010-09-28 | 2014-06-18 | 東京エレクトロン株式会社 | 基板位置検出装置、これを備える成膜装置、および基板位置検出方法 |
JP5572515B2 (ja) * | 2010-10-15 | 2014-08-13 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
-
2009
- 2009-11-04 JP JP2009253321A patent/JP5257328B2/ja active Active
-
2010
- 2010-11-01 US US12/916,667 patent/US8746170B2/en active Active
- 2010-11-03 KR KR1020100108491A patent/KR101291872B1/ko active IP Right Grant
- 2010-11-03 TW TW099137710A patent/TWI531019B/zh active
- 2010-11-04 CN CN201010534982.4A patent/CN102054663B/zh active Active
Also Published As
Publication number | Publication date |
---|---|
US20110100489A1 (en) | 2011-05-05 |
JP5257328B2 (ja) | 2013-08-07 |
CN102054663B (zh) | 2014-03-26 |
KR101291872B1 (ko) | 2013-07-31 |
CN102054663A (zh) | 2011-05-11 |
US8746170B2 (en) | 2014-06-10 |
KR20110049710A (ko) | 2011-05-12 |
JP2011100786A (ja) | 2011-05-19 |
TW201135861A (en) | 2011-10-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI531019B (zh) | 基板處理裝置、基板處理方法及儲存媒體 | |
JP5195676B2 (ja) | 成膜装置、基板処理装置、成膜方法及び記憶媒体 | |
KR101575359B1 (ko) | 성막 장치, 기판 처리 장치, 성막 방법 및 상기 성막 방법용 기억 매체 | |
JP5195174B2 (ja) | 成膜装置及び成膜方法 | |
KR101576302B1 (ko) | 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체 | |
TWI512133B (zh) | 成膜裝置、基板處理裝置、成膜方法及記錄媒體 | |
TWI506159B (zh) | 成膜裝置 | |
JP5527197B2 (ja) | 成膜装置 | |
JP5253932B2 (ja) | 成膜装置、基板処理装置、成膜方法及び記憶媒体 | |
TWI494459B (zh) | 成膜裝置、成膜方法及記憶媒體 | |
TWI486482B (zh) | 氣體噴射器及成膜裝置 | |
TWI494464B (zh) | 成膜裝置 | |
TWI418650B (zh) | 成膜裝置 | |
US20100068893A1 (en) | Film deposition apparatus, film deposition method, and computer readable storage medium | |
KR20100028490A (ko) | 성막 장치, 기판 처리 장치, 성막 방법 및 기억 매체 | |
KR20100027041A (ko) | 성막 장치, 성막 방법 및 기억 매체 | |
KR20100028497A (ko) | 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터 판독 가능한 기록 매체 | |
JP2010114391A (ja) | 成膜装置 | |
JP2010126797A (ja) | 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体 | |
JP5195176B2 (ja) | 成膜装置 | |
JP2011124384A (ja) | 成膜装置 | |
JP2012182499A (ja) | 成膜装置 | |
JP2010129983A (ja) | 成膜装置 | |
JP6758218B2 (ja) | 圧力制御方法 | |
TWI437654B (zh) | 成膜裝置、基板處理裝置及成膜方法 |