TW565903B - Shower head of a wafer treatment apparatus having a gap controller - Google Patents

Shower head of a wafer treatment apparatus having a gap controller Download PDF

Info

Publication number
TW565903B
TW565903B TW091115836A TW91115836A TW565903B TW 565903 B TW565903 B TW 565903B TW 091115836 A TW091115836 A TW 091115836A TW 91115836 A TW91115836 A TW 91115836A TW 565903 B TW565903 B TW 565903B
Authority
TW
Taiwan
Prior art keywords
baffle
shower head
scope
gap
patent application
Prior art date
Application number
TW091115836A
Other languages
English (en)
Inventor
Jong-Chul Park
Dong-Hyun Kim
O-Ik Kwon
Hye-Jin Jo
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW565903B publication Critical patent/TW565903B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Description

565903 A7 _________ 五、發明説明(1 ) 發明背景 發明簌it| 本發明有關於一種裝置,用於製造一種半導體元件,尤 其,本發明有關於一蓮蓬頭,其用電漿供給一種反應氣體 至晶圓處理裝置中之反應室。 h相關拮藝之斂沭 隨著半導體元件之積集密度增加,設計規則減少且晶圓 之直徑增加,較大之晶圓通常經歷用於製造半導體元件之 多重步驟,包含藉由從反應室上方供給反應用氣體以沈積 或蝕刻晶圓,以一預定之圖案,在晶圓上沈積材料層之沈 積製程或蝕刻材料層之蝕刻製程,尤其,在蝕刻製程中, 隨著晶圓尺寸增加,最佳化整個晶圓表面之蝕刻速率之均 勻性是很重要的。 在一典型蝕刻裝置中,蝕刻所需之反應氣體,藉由下游 的方法,被引入反應室中,氣體藉此由上電極供給,且經 打氣被打入下電極之周圍,為了在反應室中均勻地分配反 應氣體,包含數個擋板之蓮蓬頭,被設定於反應室之上方 部分,其中每一擋板具有複數個穿透孔,在一傳統蓮蓬頭 中’穿透孔之個別位置與擋板間間隙係固定的。 蓮蓬頭中擋板之功能,係控制上電極中氣流之分佈,亦 即,蝕刻裝置之氣體分佈板(GDP),通常擋板之氣體分佈功 月b係由撞板間間隙,與形成於每一擋板中穿透孔之開口比 例所決疋,然而,由於在傳統蓮蓮頭中,在每一擋板中穿 透孔之個別位置,與擋板間間隙為固定的,每一次在蝕刻 裝置中進行之製程改變時,整個晶圓表面上蝕刻速率之分 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) 565903 五、發明説明(2 ) 佈會改變,如此,傳統蓮蓬頭之組態牵涉到發展 時之限制,此外,新钱刻裝置之發展通常需 : 製程與可觀的花費。 夕的換挺 例如,在-種用於形成閘電極於晶圓上之蝕刻製 況中,在間極圖案製作之前,用於形成一钱刻光罩層之^ 刻製私中’不从知到整個晶圓表面上姓刻之均句性,此外 ,如果-包含多重步驟之#刻製程被執行,在晶圓上之姓 刻速率均句性,每一步驟都不同,然而,在傳統蓮蓬頭中 ,其中在每-擋板中穿透孔之個別位置,與擒板間間隙為 固定的,供給不同氣體量至晶圓上不同位置是不可能的, 因而增加了最佳化形成於整個晶圓表面上圖案之均勻性之 困難度,在半導體元件製程中钮刻製程中,與姓刻速率不 均勻有關之問題’負面地影響到元件之效能與良率。 發明概述 在解決上述問題之努力中,本發明之一具體實施例之特 色為,提供一種蓮蓬頭,能夠根據晶圓上之位置,控制反 f氣體之分佈量,以在一半導體元件之製程中,得到整個 晶圓表面姓刻速率之均勻性。 本發明一具體實施例之另一特色為,提供一種蓮蓮頭, 能夠藉由補償钱刻速率之衰減,如預期地根據晶圓上位置 ,控制所供應反應氣體量,蝕刻速率之衰減可能會在蝕刻 步驟中根據晶圓上位置而發生,如此則可最佳化最終蝕刻 速率之均勻性。 因此,為了提供以上特色,本發明提供一種蓮蓬頭, 本紙張尺度適财@ g家標準(CNS) M規格(21qx挪公爱) -6- 565903 A7 B7 五、發明説明(3 ) 用於在反應室中一製程區域上,控制反應氣體之分佈耋 ,在根據本發明第一觀點之蓮蓬頭中,一頂板具有一氟 體入口 ’用於將反應氣體從外部來源引入反應室中,〆 具有複數個穿透孔之第一擋板,配置於頂板與面板之問 ,使其可上下移動,第一擋板具有一上表面,其界定〆 第一間隙,用於形成反應氣體之第一徑向氣流通道,具 有複數個穿透孔之第二擋板,配置於第一擋板與面板之 間,如此其可上下移動,第二擋板具有一上表面,其界 定一第二間隙,用於形成反應氣體之第二徑向氣流通道 於第 與第一擔板之間,一間隙控制器被用於決定第〆 間隙與第二間隙之寬度。 較佳地’形成於第一擋板中之複數個穿透孔,包含形成 於第位置之複數個第一穿透孔,其接近第一擔板之中心 轴’且徑向上距離該第一擋板中心軸一第一位移;以及形 成於第二位置之複數個第二穿透孔,其接近第一擋板之邊 緣’且控向上距離該第二擋板中心軸一第二位移,該第二 位移大於該第一位移。 5亥間隙控制器最好決定第一擋板之位置,以減少第一間 隙之寬度,使流經複數個第一穿透孔之反應氣體量,大於 流經複數個第二穿透孔之反應氣體量。 4間隙控制器最好決定第一擋板之位置,以增加第一 間隙之寬度,使得流經複數個第二穿透孔之氣體流量增 加0 此外’該間隙控制器最好決定第二擋板之位置,以增加
565903 A7 ___________B7 五、發明説明(4 ) "---~-- 1隙之覓度,使流經在第二擋板中形成複數個穿透孔 之反應氣體量,在整個製程區域中是均勻的。 此外,、該間隙控制器最好決定第二擔板之位置,以減少 1隙之見度,使流經在第二擋板中形成複數個穿透孔 之反應氣體量,根據製程區域中位置而改變。 在根據本發明一觀點之蓮蓮頭中,氣體控制器可包含配 置於第一擔板頂部,用於決定第一間隙之第一間隔環;以 及在第一與第二擒板之間,用於決定第二間隙寬度之第二 間:環,第-間隔環可位於第一擋板之上邊緣,而第二間 隔%可位於第_擋板之上邊緣上,第一與第二間隔環可能 由一或多個環所構成,較佳地,至少第一與第二間隔環中 之-,可具有一環狀接觸部分,《中形成了複數個鋸齒齒 輪,複數個鋸齒齒輪之中每一個之齒距,相當於中心角90 度之孤長’ EUb,環狀接觸部分之每一鋸齒齒輪之高度, 約為0.01至0.5 mm,第一間隔環具有一環狀接觸部分,其 由相對第一擔板形成之複數個錄齒齒輪所組成,在此情況 中,第-擋板包含包含一間隔環耦合器,其具有相對於第 一間隔環所形成之複數個鋸齒齒輪,其與環狀接觸部分之 複數個鋸齒齒輪相嚙合,該第一間隔環可具有一環狀接觸 部分,其包含相對第一擋板形成之複數個鋸齒齒輪,而該 第一擋板可包含一間隔環耦合器,其具有相對於第一間隔 環所形成之複數個鋸齒齒輪,其與環狀接觸部分之複數個 鑛齒齒輪相响合。 替代地’言玄第二間隔環可具有一環狀接觸部分,其由相 本紙張尺度適用中國國家標準(CNS) A4規格(2^Γ297公爱) ---— 565903 A7 -------B7_一 五、發明説明(5 ) "~ --— 對第一擔板形成之複數個錯齒齒輪所組成,在此情況中, 第二擋板包含一間隔環耦合器,其具有相對於第一間隔環 所形成之複數個鑛齒齒輪,其與環狀接觸部分之複數個銀 齒齒輪相喃合。 在根據本發明第一觀點之蓮蓬頭中,第一擋板可包含一 單一碟型元件(disk-type element),其具有整個表面之均白 厚度。 在根據本發明第一個觀點之蓮蓬頭中,第一擋板可包含 一碟形(disk-like)基板,其具有複數個穿透孔,以及一溝槽 ,用於提供該穿透孔上表面中心一環形空間;以及一碟形 插入板,其被插入以對溝槽中第一擋板之中心軸轉動,該 碟形插入板具有複數個穿透孔,其可與形成於基板中穿透 孔所選擇者溝通。 形成於基板中複數個穿透孔可包含:在第一位置形成之 複數個第一穿透孔,其接近於第一擋板之中心軸,且沿著 I向方向與中心軸相隔小於插入板半徑之第一距離;以及 在第二位置形成之複數個第二穿透孔,其接近於第二擋板 之中〜軸,且沿著徑向方向與中心軸相隔大於插入板半徑 之第二距離,該複數個第一穿透孔可根據該插入板之轉動 位移,與複數個形成於插入板中之穿透孔通訊,為了根據 插入板之轉動位移,改變第一穿透孔之開口比例,可選擇 性地僅在關於第一擋板中心軸之某角度範圍中,形成插入 板中之複數個穿透孔與基板中之複數個穿透孔。 根據本务明第一觀點之蓮蓬頭,可進一步包含配置於第 -9 - 565903
擋板上,與第一擋板同軸向之導引擋板,該導引擋板具 有入口,用於引入經由頂板供應之反應氣體,以及複數 個出口,用於流出經由入口引入之反應氣體,並透過複數 個通道,流入第一間隙,在此情況中,第一間隙之寬度, 係由導引擋板之底面與第一擋板之上表面所界定,導引擋 板中形成之複數個出口,可被形成於一位置,其與導引擋 板之中心軸,徑向相隔一預定之距離。 裝 丁 在〇含導引擋板之蓮蓬頭中,複數個穿透孔可包含·· 第一位置形成之複數個第一穿透孔,其接近於第一擋板 中心軸,且沿著徑向方向與中心軸相隔小於插入板半徑 第一距離;以及在第二位置形成之複數個第二穿透孔p 接近於第-擋板之邊緣,且沿著徑向方向與中心轴相隔 於插入板半徑之第二距離,該形成於導引擋板中之複數 出口’形成於與導引擋板之中心軸徑向相隔一第三距離 位置,其大於第一距離但小於第二距離,複數個出口之 ’與複數個第-穿透孔之—之間距離,最好小於複數個 口之,與複數個第二穿透孔之一之間之距離。 一此:,在包含閘極擋板之蓮蓮頭中,間隙控制器可包 一第一間隔環,其配置於導引擋板與第-擋板之間,用; 決定第-間隙之寬度;以及一第二間隔環,其配置於第. 與第二擋板之間,用於決定第二間隙之寬度。 在根據本發明第一觀點之蓮蓬頭中,間隙控制器可包< 驅動桿’用於選擇性地將導引擋板上下移動… 疋第-間隙之寬度;以及一第二驅動桿,用於選擇性㈣ •10·
五、發明説明(7 導引擔板上下移動,以決㈡二〃 桿可被設置與第二驅動桿同軸。㈣之寬度,該第-驅動 在根據本發明第一觀點之蓮 一升降機構,用於藉由第一步、,間隙控制器可包含 ,以決定第二間隙之寬戶·達將第-擒板上下移動 用第二步進馬達之齒輪:動,將::動機構’用於藉由利 定第一間隙之寬度,升降 5丨4板上下移動,以決 升降機構可包含與轉動機構整合。 ,以及位於輪捍—端之二::引擋板與第-擔板之轴桿 動,以跟隨軸桿之上下移、水’用於將第-擋板上下移 步進馬達所傳遞之㈣,以及 動力由第- 外圍,該導,、干力成於該軸桿 或下降,一用Μ 用於依據軸桿之轉動上升 二被=形㈣桿一端之向外凸緣之圓形 二 第—擋板之中央部分,該圓形空間可 配合向外凸緣而盔摩捭,佶彳曰A AL 1 … 外凸緣之轉動,當該軸 卜错由轉動機構轉動時,不會影響第 降低該導引擋板,該軸桿诵坍夕^ 乂升间次 .4 Λ轴才干通過之一中心孔,可被形成該 s反之中央部分,而與該軸桿螺桿之外部螺紋嚙合 之内螺紋’形成於中心孔内壁上’與該螺桿之外蜉紋嚙 合,内螺紋’可被形成於導引擋板中,使得導引擔板可 在該轴桿藉由升降機構上下移動時’隨著該軸桿之動作 上下移動,以便升高或降低該第一擋板,該蓮蓮頭可進 一步包含一制動裝置,用於防止導引擋板在軸桿藉由轉 動機構轉動時跟著轉動。 MM^?ii^(CNS)_A4 規格(2ΐ()χ297 公爱) 11 - 8 五、發明説明( 第一觀點之蓮蓬頭,可被調整使得第-擋板 擋板接觸,使得形成於第—擋板中,複數個穿透孔 選擇之一,可與形成於第二擋板中,複數個穿透孔中 被選擇之-通訊,藉以形成對準孔,該蓮蓬頭可進一步包 含連接於第—擋板之轉動機構’使得第-擋板有關於第二 擋板,在一預設之角度範圍内轉動,形成於第一播板中之 複數個穿透孔被分散,以具有取決於距離第一擒板令心軸 之半徑之不同開口比例,形成於第二擋板中之複數個穿透 孔被分散,以具有取決於第—擋板對第二擋板中心軸轉動 之距離之不同開口比例,該轉動機構會改變第一擋板之 轉動位移’以便改變對準孔之開口位置,第一擋板可被 分成複數個扇形區域,其從中心轴沿著徑向延伸,每一 扇形區域具有僅形成於預定範圍中之複數個穿透孔,該 範圍與中心軸間隔一選定之半徑,第二擋板可被分成複 數個扇形區域,其從中心軸沿著逕向延伸,該複數個扇 形區域具有配置於固定間隔之複數個穿透孔,在這個組 態中’間隙控制器可包含一驅動軸桿,用於同時將第一與 第二擋板上下移動’以決定第一間隙之寬度,第二間隙之 寬度實際上可為零。 在根據本發明第二觀點之蓮蓮頭中,—頂板具有一氣 體入口,用於將外部來源供給之反應氣體,5丨入反應室 中’具有複數個穿透孔之面板’被安置於製程區之相對 位置’具有複數個穿透孔之第_擋板,配置於頂板與面 板之間,具有複數個穿透孔之第二擋板,配置於第一擋板 -12- 本紙張尺度適财@ _標準(CNS)織格(2謂97姻 五、發明説明(9 ) 與面板之間。 此外’弟《一擔板具有一上 形成在第一與第二擋板之間 壓電元件’配置於第二擋板 反應氣體Ϊ,一電源供應器 元件。 表面,其界定一間隙,用於 反應氣體橫向通道,複數個 上,用於控制通過該間隙之 ’供應電壓至該複數個壓電 複數個壓電元件中每一個玎命人 γ Θ 丁母個可包含一壓電層,其根據電壓 之施加’以厚度延伸模式振動,該壓電層具有兩主要表面 第-與第二電極層,每一個形成於㈣層主要表面兩者之 一上’以及—絕緣層,形成於鄰近第-擋板之第-電極層 上,第二電極層係由第二擋板所建構。 複數個壓電元件’可被形成於對應第一擋板之穿透孔所 形成之位置上。 複數個壓電元件中,每一個可使用根據電源供應器所供 應之電壓水準,而調整之壓電元件之厚度膨脹率,控制流 經第一擋板之穿透孔之反應氣體流量,同時,複數個壓電 元件中,每一個均可使用壓電元件之厚度膨脹率,選擇性 地開放或關閉該複數個穿透孔,該壓電元間隙根據供給單 元所供給之電壓水準被調整。 第擔板之複數個穿透孔,可被形成於第一位置,其與 第一擋板之中心軸距離一預定之半徑,該複數個壓電元件 之一包含一裱狀元件,其形成於第二擋板上對應於第一擋 板之位置。 根據本發明第二觀點之蓮蓬頭,可進一步包含一第三擋 -13- 本纸張尺度適财g國冢標準(CNS) A4規格(2ι〇 X 297公爱了 A7 B7 五、發明説明(1〇 ) 板’其配置於第二擋板與面板之間,該第三擋板具有複數 個穿透孔’第二擋板可由高阻值材料所形成,其電阻率夠 高,以電性地穩定該蓮蓬頭。 在根據本發明第三觀點之蓮蓬頭中,一第一擋板具有複 數個第一與第二穿透孔,以便根據與中心軸之距離,選擇 性地調整由外部來源供給之反應氣體量,該複數個第一穿 透孔與中心軸距離第一半徑,而該複數個第二穿透孔,與 中心軸距離-第二半徑,一具有複數個穿透孔之第二擋板 ’配置於第-擋板之下,使得一用於提供橫向通道之間隙 ’形成於第-與第二擋板之間,—間隙控制器移動第一與 第二擋板至少其中之一,以便調整間隙之寬度。 該間隙控制器最好包含具有一預定厘许 ^ 了貝疋厚度之間隙環,其配 置於第一與第二擋板之間,以決定間隙 穴心间I卓之見度,該間隔環 係由一或多個圓形環所組成。 該間隔環可被組態為具有一環狀接觸部分,盆中形成有 複數個鑛齒齒輪,複數個鑛齒齒輪中,每—個:齒距可相 當於中心角90度之弧長’間隔環之環狀接觸部分,可接觸 第-擋板之底面,在此情況中’―間隔環輕合器係形成於 第-推板之底表面邊緣上,該間隔環具有複數個錯齒齒輪 ,其可與環狀接觸部分之複數個鑛齒齒輪喃合,第一 之間隔環耦合器可具有一部份,其厚度小於第_ : 部中心部分之厚度’替代地’間隔環之環狀接觸部分可接 =第二擋板之上表面…間隔環轉合形成於第二擋板之 上表面上,其具有複數個鑛齒齒輪,被形成與環狀接觸部 -14- 五、發明説明(11 某部份厚度小於第二擋板之上中央部分之厚度 擔板之間隔環耦合器具有 分之複數個鋸齒齒輪嚙合,第 在根據本發明第四個觀點之蓮蓬頭巾,_圓形第一擔板 具有稷數個穿透孔,具有複數個穿透孔之圓形第二擋板, 配:於第-擋板之下,其具有預定寬度之間隙,中間插入 第一與第二擋板,複數個壓電元件配置於第一與第二擋板 之間,用於控制通過第—擔板中形成之穿透孔,反應氣體 之流量。 複數個形成於第一擋板中之穿透孔,可包含複數個第一 穿透孔,其形成於距離第一擋板之中心軸一第一半徑之位 置,複數個第二穿透孔,其形成於距離第一擋板之中心軸 第一半徑之位置,第二半徑大於第一半徑;以及複數個 第二穿透孔,其形成於距離第一擋板之中心軸一第三半徑 之位置,第三半徑大於第二半徑。 複數個形成於第二擋板中之穿透孔可包含:一第四穿透 孔’形成於對應於第二擋板中心軸之位置;複數個第五穿 透孔’形成於距離第二擋板中心軸一第四半徑之位置;複 數個第六穿透孔,其形成於距離第二擋板之中心軸一第五 半徑之位置’第五半徑大於第四半徑;以及複數個第七穿 透孔’其形成於距離第二擋板之中心軸一第六半徑之位置 ,第六半徑大於第五半徑。 複數個壓電元件中,每一個均包含一環狀元件,配置於 第二擋板上,該複數個壓電元件最好接合至第二擋板。 複數個壓電元件可包含一第一壓電元件,配置於第二擋 -15· 12 五、發明説明 板上’對應於第一擋板複數個第一穿透孔形成之位置;一 第二壓電元件,配置於第二擋板上對應於第一擋板複數個 第二穿透孔形成之位置;以及一第三壓電元件,配置於第 二擋板上對應於第一擋板複數個第三穿透孔形成之位置。 根據本發明第四觀點之蓮蓬頭,可進一步包含一電源供 應器,用於施加電壓至複數個壓電元件之每一個,每一壓 電几件具有-厚度膨脹率,其可根據電源供應器所施加電 壓之不同水準而被調整。 根據本發明,間隙之寬度可選擇性地藉由間隙控制器減 少或增加’藉此調整在反應室之製程區t,依照晶圓上位 置供給之反應氣體量,並使得供給至晶圓上位置之反應氣 體量根據應用之類型’均勾或不均勾,如&,根據本發明 ,較容易根據晶圓上位置,調整反應氣體之分佈,以便在 半導體元件之製程中,得到整個晶圓表面上最佳化之蝕刻 率均勾性’此外,本發明可能自由地調整所供給之反應氣 體量,藉此預先補償敍刻速率均句性之衰減,其可能部分 地發生於蝕刻步驟中的晶圓上。 這些本發明之特徵與觀點’參閱以下詳細敘述,對一般 習知該技藝者將是顯而易見的。 附圖之簡短敘述 之洋細敛述 本發明以上之特徵與優點,參閱參考附圖 對習知該技藝者是顯而易見的,其中· 圖1說明一橫截面圖示 之蓮蓬頭組態; 顯示根據本發明第一 具體實施例 565903
565903 A7
五、發明説明(14 )
不與透視圖,該擋板可被用於根據本發明一具體實施例之 蓮蓬頭中; 圖15圖示說明根據本發明第二具體實施例,一蓮蓬頭之 主要部分之組態; 圖16A至16C圖示說明根據本發明第三具體實施例,一蓮 蓬頭之主要部分之組態; 圖17圖示說明根據本發明第四具體實施例,一蓮蓮頭之 主要部分之組態; 圖18說明包含於圖17之蓮蓬頭中,第一擋板之上視圖; 圖19說明包含於圖17之蓮蓬頭中,第二擋板之上視圖; 圖20 A至20C說明當圖17之蓮蓬頭所包含之第一與第二擋 板以不同轉動位移互相接觸時,第二擋板底部之圖示; 圖21說明一戴面圖示,顯示根據本發明第五具體實施例 ’蓮蓬頭主要部分之組態; 圖22說明包含於圖21之蓮蓬頭中,第一擋板之上視圖; 圖23說明包含於圖21之蓮蓮頭中,第二擋板之上視圖; 以及 圖24說明圖21之“A”部分之放大圖示。 發明之詳細敘述 此處為整體性,參考引用建檔於2〇〇1年7月16日,並命名 摘要為“具有間隙控制器之晶圓處理裝置之蓮蓬頭,,之韓國 專利申請書第2001-42822號。 圖1 δ兒明一截面圖示,顯示根據本發明第一具體實施例之 蓮蓬頭之組態,其用於供給一種氣體至反應室中之製程區 -18- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐)
裝 訂
565903 A7 ________B7_ 五、發明説明(15 ) ,以便在晶圓上執行電漿蝕刻,參照圖1 ,根據第一具體實 施例之蓮蓬頭,包含一頂板10 ,其中形成一氣體入口 12 , 用於將由外部,來源供給之反應氣體,導入反應室中,以及 一面板20 ’配置於反應室中,製程區之相反位置,頂板10 形成一反應室之上壁。 — 參照圖2 ’其顯示當觀察反應室之製程區時,面板20之圖 示’複數個穿透孔22均勻地形成於面板20中。 回到圖1 ’第一與第二擋板30與40,在頂板1〇與面板20 之間,與面板20同軸配置,包含一第一間隔環92之間隙 控制器,配置於第一擋板3〇之上表面上,以及包含一第 二間隔環94之間隙控制器,係配置於第一與第二擋板3〇 與40之間,第一與第二擋板30與40,可藉由控制第一與 第二間隔環92與94,上下移動,藉以決定第一與第二擋 板30與40之相對位置,以下會更詳細地敘述第一與第二 擔板30與40之移動。 第一擔板30係由具有整個表面上均勻厚度之碟型元件形 成’複數個第一穿透孔32與複數個第二穿透孔34,係形成 於第一擋板30中,如圖3所示,複數個第一穿透孔32,係形 成於第一位置’其接近第一擋板3 〇之中心軸3 1,且與中心 軸3 1徑向間隔一第一距離di,複數個第二穿透孔,係形成 於第一位置’其接近第一擋板3 0之邊緣,且與中心軸3 1徑 向間隔一第一距離t,其大於d!,如圖4所示,複數個穿透 孔42係以均勻密度,形成於第二擋板40之整個表面上,第 一與第二擋板3〇與40可以鋁形成之。 -19- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 565903 A7
565903 A7 B7 五、發明説明(17 ) 成於導引擋板50與第一擋板30之間第一間隙70之寬度,使 第一與第二穿透孔32與34之氣流量保持一定,亦即,由於 出口 54較接近第一第一穿透孔,當第一間隙7〇變窄時,要 從出口 54導引反應氣體進入第一穿透孔32較為容易,如此 則流經穿透孔32之氣體量,大於流經第二穿透孔34之氣體 量,如此,則較多的反應氣體可被供應至晶圓上中央部分 ,而非其邊緣,另一方面,隨著間隙7〇之寬度增加,透過 出口 54被排出且擴散至第二穿透孔34之反應氣體量會增加 ,如此則增加了流經第二穿透孔34之反應氣體量。 為了電性地穩定該蓮蓬頭,一第三擋板6〇係配置於第二 擋板40與面板20之間’第三擋板6〇可由高電阻材料所形成. ’其電阻率足夠高以電性穩定該蓮蓬頭,例如礙切⑻^ ,如圖7所示,複數個穿透孔62係以均句密度形成於第三擋 板60之整個表面。 胃 第一間隙70之寬度係由第一間隔環92所&冑,其係配置 於第-擋板30上緣’介於導引擋板5〇與第—擋板;〇之 間隙控制器,第二間隙80之寬度係由第二間隔環% ’二配置於第二擔板4。上緣,介於第一與第; 40之間之間隙控制器。 ^ 圖8說明圓形環90之透視圖示,其為第一或第 或94之完成例子’第-或第二間隔環92或94之厚产,:由 圓,之厚度所決定,為了調整第一與第二間二二由。 之至預期之程度’第一或第二間隔環”與%,可 一具有預期厚度之圓形環9〇’或兩個或更多個具有預定: -21 - 565903 A7 B7 五、發明説明(18^ ------ 度,且彼此重疊一預期厚度之圓形環90。 第一擋板30之位置,與第一間隙7〇之寬度,可由第一間 隔裱92之厚度所決定,隨著第一間隙川之寬度減少,在第 :擋板30中,流經第一穿透孔32之反應氣體量,大於流經 第一穿透孔34之反應氣體量,相反地,隨著第一間隙7〇之 寬度增加,第一擋板30中,流經第二穿透孔34之反應氣體 量會增加。 此外,形成於第一與第二擋板3〇與4〇之間之第二間隙8〇 之見度’係由第二間隔環94所決定,隨著第二間隙8〇之寬 度減少,流經穿透孔42之反應氣體量會增加,該穿透孔42 位置Λ近第一擋板30之第一與第二穿透孔32與34,藉以使 流經該複數個穿透孔42之反應氣體量,依據製程區内位置 選擇性的不平均,相反地,當第二間隙80之寬度增加至足 夠程度時’流經該複數個穿透孔42之反應氣體量,在整個 製程區中將變得均勻。 圖9Α說明具有一圓形環接觸部分194之圓形環190之透視 圖不,其中形成了複數個鋸齒齒輪192,該圖示為第一或第 一間隔壤92或94之另一完成例子,圖9Β說明沿著圖9 a之 9B-9B間完整長度所得,圓形環19〇之側視圖示。 參照圖9A與9B,具尺齒輪192被設計成齒距相當於中心 角90度之弧長^ ’形成於環狀接觸部分194上之鋸齒齒輪192 之高度h,數量級約為〇 〇1至〇 5 mm。 假若第一間隙7〇中第一間隔環92係由圓形環19〇所組成, 環狀接觸部分194可位於第一擋板30或導引擋板50之相反位 -22· 565903 五、發明説明(2〇 ) 90度^弧長相同之齒距,形成於間隔«合器U2上之鑛齒 齒輪南度’大約為0.01至0.5 mm。 圖12A與12B說明一蓮蓬頭之部分圖示,用於說明當配置 於第-播板30與經修正之第二播板14〇之間,第二間隔環% 由圓形環⑽所組成時,使用圓形環i9Q控制第二間請之 f度之方法,圖_兒明—狀態,其中第二間細有-最小 寬度,在圖12A所示之狀態中,假若圓形環19〇以箭頭” 所 表不之方向轉動’或經修正之第二擋板⑷以箭頭,,b”所表 示之方向轉動時,如圖12B所示,第二間請之寬度,會根 據其轉動位移增加·w ’如此,則藉由控制圓形環19〇或經修 正第二擋板140之轉動位移,可將第二間隙8〇調整至預期: 程度。 圖13讀別說明沿著圖u之線13Α-Πα之截面圖示,用 於說明該經修正第二㈣_之間隔環_合器142,參照圖 13A ’在經修正第二擔板14〇上之間隔環搞合⑷之較低步進 部分142a,即相鄰兩鋸齒齒輪相遇之處,較經修正之第二 擔板140之上方中央部分14Qa薄,參照圖i3B,該經修正第 二擋板140上,間隔環耦合器ι42之每一鋸齒齒輪之最高齒 :部分142b,較該經修正第二擋板14〇之上方中央部分_ 為了用圓形環190控制第二間隙8〇之寬度,如果圓形環 190之%狀接觸部分194配置與第一擋板川相反,則具有如 間隔環耦合器142相同組態之間隔環耦合器,形成於第一擋 板30之底部邊緣上,該間隔環耦合器142形成於經修正第二 本紙張尺度適用中關豕標準(CNS) Μ規格(灿X挪公酱) -24 565903 A7 ___ B7 五、發明説明(~~) " " 擔板U0之上方邊緣’該間隔軸合器之詳細組態將被省略 ,因為其相似於經修正之第二擋板140之間隔環輕合器142 ,其差別在於如果圓形環190之環狀接觸部分194倍至於第 一擋板30之相反位置,該環狀接觸部分194會接觸第一擋板 30之底部,且第一擋板30之間隔環耦合^器,具有一厚度小 於第一擋板30底部中央部分之厚度。 儘管已經以關於用圓形環190,對第二間隙8〇之寬度,說 明本發明,習知該一般技藝者將可瞭解,以上組態或安排 ,可以相同方式,應用於以圓形環19〇 ,對第一間隙7〇之控 制上。 在以上具體實施例中,第一擋板3〇由單一碟型元件所形 成,其具有整個表面上均勻之厚度,然而,第一擋板3〇可 根據用途之類型,以各種方式調整。 圖14A與14B說明一經修正第一擋板23〇之組態,圖MA說 明石著經修正第一擋板230之中心軸231之載面圖示,圖14B 說明該經修正第一擋板230之分解透視圖示。 參照圖14A與14B,經修正第一擋板230,包含一碟狀基 板232,其具有一溝槽230,用於提供一圓形空間於該基板 上表面之中心,以及一碟狀插入板234,被插入溝槽236 , 使得邊板可對溝槽236中經修正之第一擋板23〇之中心軸23 i 轉動’插入板234連接於用於以預定角度轉動該插入板234 之驅動裝置(未顯示),該基板232具有複數個第一穿透孔237 ,以及複數個第二穿透孔238 ,複數個第一穿透孔237形成 於第一位置’其相當接近經修正之第一擋板2 3 0之中心軸 -25· 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 565903 A7 ___B7 五、發明説明(~~)~^ " 231,與其徑向間隔一第一距離di,小於插入板234之半徑 ,複數個第二穿透孔238形成於第二位置,其相當接近基板 232之邊緣,且與其徑向間隔一第二距離旬,其大於插入板 234之半徑,插入板234具有複數個穿透孔235 ,其可與形 成於基板232上之複數個第一穿透孔237通訊,為了根據 s亥插入板234之轉動位移,改變第一穿透孔237之開口比 例,插入板2 3 4中之複數個穿透孔2 3 5,以及基板2 3 2中複 數個第一穿透孔237,係選擇性地以對第一擋板230之中 心軸23 1之某個角度範圍形成,亦即,所有或某些形成於 插入板234中之穿透孔235 ,可根據插入板234之轉動位移 ’與形成於基板232中之穿透孔237通訊。 藉由使用具上述組態之經修正第一擋板23〇 ,形成於基板 232疋第一穿透孔23 7之開口比例,依據插入板234之轉動位 移而改變,藉以調整反應室製程區供給至晶圓上中央部分 之反應氣體量。 圖1 5圖示說明根據本發明第二具體實施例,一蓮蓬頭之 主要零件之組態,第二具體實施例類似於第一具體實施例 ,除了第一與第二軸桿292與294被使用作間隙控制器,以 決疋第與第二間隙’在圖1 5所示之具體實施例中,間隙 控制益包含第一與第二驅動桿292與294,第一驅動桿292選 擇丨生地上下移動導引擋板50 ,以決定第一間隙70之寬度, 第二驅動桿294選擇性地上下移動第一擋板3〇,以決定第二 間隙80之見度’第二驅動桿294與第一驅動桿292同軸配置 ,導引擋板50第一擋板30上下移動之距離,係相對彼此被 -26- 本紙張尺度適财@ @家標準(CNS) Μ規格(⑽㈣7公梦) 565903 A7 B7 五、發明説明(23 ) 调整的,藉以決定第一或第二間隙7〇或8〇之寬度,第一或 第二間隙70或80之寬度,係藉由考慮由反應室製程區供給 至晶圓之中央或邊緣之氣體量而決定,第一與第二驅動桿 292與294分別被用於決定第一與第二間隙7〇與8〇之寬度, 藉以自由地調整由製程區供給至晶圓之中央或邊緣之反應 Λ體篁,此外,也可根據用途的型態,使得供給至整個晶 圓表面之反應氣體平均或不平均。 圖16Α至16C圖示說明根據本發明第三具體實施例,一 連逄頭主要零件之組態,參照圖16Α,一升降機構392與 一轉動機構394備用坐間隙控制器,已決定第一與第二間 隙70與80,此具體實施例中,除了升降機構392與轉動機 構394之外,蓮蓬頭其他的零件具有與上述具體實施例相 同之組態,升降機構392用第-步進馬達312,.驅動第一 擋板30上下移動,以決定第二間隙8〇之寬度,轉動機構 394使用利用第二步進馬達314之齒輪傳動裝置,驅動導 引擋板50上下移動。 如圖16Α所示,升降機構392與轉動機構394整合,升降 構392係藉由第-步進馬達所傳送之動力上下移動,升降機 構392包含-軸桿382’其延伸通過導引擋板5〇與第一撐板 3〇,以及形成於軸桿382-端之向外凸緣384,以沿著^ 3 82之轉動’驅動第一擔板3〇上下移動。 轉動機構394包含可藉由第二步進气金 /進馬達314傳輸之動力而 轉動之軸桿382,以及一形成於軸桿382外部之外螺彳曰 於此處與導引擋板观合,以依據軸桿382之轉動、,㈣導 -27-
565903 A7 ____ B7 五、發明説明(24 ) 引擔板5 0上下移動。 如圖16B所示,一中心孔350形成於導引擋板5〇之中央部 分,而軸桿382通過此處,一與螺桿372之外螺紋嚙合之内 螺紋352,形成於中心孔350之内壁。 如圖16C所示,在第一擋板30之中央部分,軸桿382所穿 過之中心孔332 ,與一圓形空間334通訊,該空間用於包圍 形成於軸桿382端點之向外凸緣384。 第二間隙80之寬度係以升降機構392加以調整,在此情況 中,如果軸桿382藉由升降機構392上下移動,以便升高或 降低第一擋板30,由於與螺桿372之外螺紋嚙合之内螺紋 j52形成於導引擋板50中,導引擋板50被升高或降低,以依 循軸桿382之上下移動,如此,則當軸桿382上下移動時, 第一擋板30與導引擋板5〇會同時上下移動。 第一間隙70之寬度,藉由轉動機構394調整之,假若轉動 機構394被用來轉動軸桿382,導引擋板5〇會藉由軸桿π]之 螺桿372之外螺紋,與形成於導引擋板50之中心孔350中之 =螺紋352之父互作用而升高或降低,當轴桿如以此方式 藉由轉動機構394而轉動,第一擔板3〇並不會轉動,而保持 靜止,因為用於包圍向外凸緣384之圓形空間334,係形成 於第-擋板30中,如此則向外凸緣384之轉動不會影響第一 撞板30此處為了在軸桿382藉由轉動機構州轉動時,移 動導引擔板5〇而非轉動之,一用於防止導引擋板50轉動之 制動板354會被連接至導引擋板5〇。 、2上述組態中,升降機構392與轉動機構394分別被用來 決疋第一與第一間隙8〇與7〇,藉以如預期調整從製程區供 -28· 本紙張尺度適用中國國冢標準(CNS) A4規- 565903
給至晶圓中心與邊緣之氣體量,或依據用途類型,使整個 晶圓表面上之反應氣體量均勻或不均句。 圖17圖示說明根據本發明第四具體實施例,一蓮蓮頭主 要零件之組態’在圖17中,相同元件以同樣參考數字言主解 ,且省略了詳細的解釋。 在圖17所示之具體實施例+,第一擔板43〇與第二擔板 440接觸,如此,配置於第一與第二擋板43〇與44〇之間之第 一間隙80之寬度’貫際上是零,用於同時驅動第一與第二 擋板430與440上下移動之軸桿48〇,被設置來決定形成於導 引擋板50與第一擋板430之間,第一間隙7〇之寬度,當第二 擋板440藉由驅動桿480上下移動時,第一擋板43〇跟著上下 移動,以依循第二擋板440之上下移動,藉以利用擋板5〇之 底部與第一擋板430之頂部限制之,導引擋板5〇之詳細組態 如上所述。 一轉動機構490被連接於第一擋板43〇,第一擋板43〇可藉 由轉動機構490,關於第二擋板,以預定之角度範圍轉動, 尤其,轉動機構490改變第一擋板430轉動之角度,如此第 一與第二擋板430與440以各種轉動角度彼此接觸。 圖18圖示說明第一擋板430之上視圖示,第一擋板43〇具 有複數個穿透孔432,複數個穿透孔之分佈,為依據距離第 一擋板430中心軸431之半徑,而具有不同開口比例。 第一擋板430被分成複數個區段435a、43讣以及43兄,其 由中心軸431徑向延伸,複數個區段435a、43讣以及43氕具 有複數個穿透孔432,其僅形成於一預定範圍,距離中心軸 • 29 - 565903 A7 _____B7 五、發明説明(26 ) 431— 選定之半徑,亦即,區段435a具有複數個穿透孔 432 ’僅形成於第一範圍436b,距離中心軸432 —第一半 徑Π ’區段43 5b具有複數個穿透孔432,僅形成於第二範 圍436a,距離中心軸432 一第二半徑區段435c具有複 數個穿透孔432,僅形成於第三範圍436c,距離中心軸 432— 第三半徑Γ3。 圖19a兒明第二擋板440之上視圖是,第二擋板44〇具有複 數個穿透孔442,該複數個穿透孔442之分佈,為依據第一 擋板430對於第二擋板440之中心軸441轉動之距離,而具有 不同之開口比例。 第二擋板440被分成複數個區段445a、445b及445c,其由 擋板440之中心軸441徑向延伸出來,形成第二擋板44〇上之 複數個區段445a、445b及44兄中,每一個均具有對應於形 成於第擔板430上之複數個區段435a、435b及435c之尺寸 區丰又445b與445c具有之開口比例為零(即無開口),區段 445a具有以規律間隔排列之複數個穿透孔料2。 如圖17所示,由於第一與第二擋板43〇與44〇互相接觸, 形成於第一擋板430上,經選擇之穿透孔432,會與複數個 穿透孔中所選定之穿透孔442通訊,以形成對準孔,對準孔 之開口位置,根據第一擋板430藉轉動機構49〇轉動之距離 而被改變。 圖20A至20C說明當第一與第二推板43〇與44〇以不同轉動 位移互相接料,從第二擋板44G底部觀察到的圖示,也就 是說,圖20A至20C顯示當第一擋板43〇藉由轉動機構49〇以 -30 · 本紙張尺度適用中g g家標準(CNS) *4規格(21QX 297公酱)- — 565903 A7 _______B7_ 五、發明説明(27 ) 不同角度轉動之下,接觸到第二擋板440時,所形成之對準 孔位置之改變。 尤其,圖20A顯示一狀態,其中第一擋板430藉由轉動機 構490以預定之角位移轉動,如此則第一擋板43〇之區段 435a與第二擋板440之區段445a互相重疊,在此狀況中,僅 有第一擋板430之區段435a中,第一範圍436a中形成之複數 個穿透孔432,會與第二擋板44〇之區段445a中所形成之複 數個穿透孔442通訊,結果,對準孔452僅形成於第一範圍 436a,而其他形成於第二擋板44〇中之穿透孔442 ,被第一 擋板430所擔住,如此,如圖2〇a所示,當第一擋板430與第 二擋板440接觸時’較大量之反應氣體,會從製程區被供給 至晶圓之邊緣。 圖20B說明一狀態,其中第一擋板43〇藉由轉動機構49〇 以預定之角位移轉動,如此則第一擋板430之區段435b與 第二擋板440之區段445a互相重疊,在此狀況中,僅有第 一擋板430之區段435a中,第二範圍436b中形成之複數個 穿透孔442,會與第二擋板44〇之區段445a中所形成之複 數個穿透孔442通訊,結果,對準孔452僅形成於第二範 圍43 6b ’而其他形成於第二擋板44〇中之穿透孔442,被 第一插板430所擋住,如此,如圖2〇b所示,當第一擋板 430與第一擋板440接觸時,較大量之反應氣體,會從製 程區被供給至晶圓之邊緣。 圖20C說明一狀態,其中第一擋板43〇藉由轉動機構49〇以 預疋之角位移轉動,如此則第一擋板43〇之區段435c與第二 -31 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公酱) 565903 A7 B7 五、發明説明(28 擋板440之區段445a互相重疊,在此狀況中,僅有第一擋板 430之區段43兄中,第三範圍436c中形成之複數個穿透孔 442,會與第二擋板44〇之區段445&中所形成之複數個穿透 孔442通訊,結果,對準孔452僅形成於第三範圍436c,而 其他形成於第二擋板440中之穿透孔442,被第一擋板43〇所 擋住,如此,如圖20C所示,當第一擋板430與第二擋板44〇 接觸時,較大量之反應氣體,會從製程區被供給至晶圓之 邊緣。 如以上所述,藉由將第一與第二擋板43〇與44〇重疊而形 成之對準孔452之開口位置,會隨著第一擋板藉由轉動機構 490而轉動之距離而改變,如此,為了調整供給至製程區中 晶圓上特定位置之反應氣體量,會使用轉動機構49〇 ,以控 制第一擋板430之轉動角度,如此可選擇對準孔452之開口 位置。 圖21說明一截面圖示,用余解釋根據本發明第五具體實 施例,一蓮蓮頭主要零件之組態,在圖2丨中,相同元件會 以同樣之數字註解,而省略其詳細之說明。 與圖1所示元件類似地,根據圖2 1中第五具體實施例之蓮 蓮頭’包含一第一擋板530,配置於頂板1〇與面板2〇之間, 以及一第二擔板540,配置於第一擋板530與面板20之間, 第二擋板540具有一上表面,可限制第二間隙8〇,以在第一 與第二擋板530與540之間形.成反應氣體之通道,為了控制 通過形成於第一與第二擋板530與54〇之間形成之第二間隙 80之反應氣體量,在第二擋板54〇之上表面上,配置了複數 -32- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
線 565903 A7 __ B7 五、發明説明(~2Γ) 個壓電元件582、584及586。 圖22說明第一擋板530之上視圖示,如圖22中所示,第一 擋板530具有複數個第一、第二及第三穿透孔532、534及 536,複數個第一穿透孔532形成於第一擋板53 0上,距離中 心軸531—第一半徑心之位置上,複數個第二穿透孔534形 成於第一擋板530上,距離中心軸53 1—第二半徑R2之位置 上’其中R2大於第一半徑R!,複數個第三穿透孔536形成於 第一擋板530上,距離中心軸531—第三半徑r3之位置上, 其中R3大於第二半徑R2。 圖23說明第二擋板540之上視圖示,如圖23中所示,第二 擋板540具有一第四穿透孔542,與複數個第五、第六及第 七穿透孔分別是544、546及548,第四穿透孔542形成於第 二擋板540之中心軸541之一位置,複數個第五穿透孔544形 成於第一擋板540上,距離中心軸541—第四半徑r4之位置 上’複數個第六穿透孔546形成於第二擋板540上,距離中 心軸541—第五半徑Rs之位置上,其中Rs大於第四半徑& , 複數個第七穿透孔548形成於第二擋板540上,距離中心軸 541 一第六半徑R6之位置上,其中R6大於第五半徑r5。 複數個壓電元件582、584、586包含一第一環狀壓電元件 5 82’其配置於第二擋板540上,第四與第五穿透孔542與 544之間、一第二壓電元件584,其配置於第二擋板54〇上, 第五與第六穿透孔544與546之間、以及一第三壓電元件586 ’其配置於第二擋板540上,.第六與第七穿透孔546與548之 間,第一至第三壓電元件582、584及586均接合於第二擋板 -33- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 565903 A7 B7
540 ’第一壓電元件582位於第二擋板540上,其位置對應於 第一擋板530之複數個第一穿透孔532所形成之位置,第二 壓電元件584位於第二擋板540上,其位置對應於第一擔板 530之複數個第二穿透孔534所形成之位置,第三壓電元件 586位於第二擋板540上,其位置對應於第一擋板53〇之複數 個第三穿透孔536所形成之位置。 圖24說明圖21位置”A”之放大圖示,參照圖21至24 ,複數 個壓電元件582、584及586中,每一個皆包含一壓電層572 , 根據所施加之電壓,以厚度延伸模式(thickness eXtensi〇nal mode)振動,壓電元件572可由錯鈦酸鉛(ρζτ)、PbTi〇3、 BaTi03,或聚(偏二氟乙烯)(PVDF)聚合物,壓電層572具有 在兩側之兩主表面,其上分別形成第一與第二電極5 74與 576,一絕緣層578形成於鄰近第一擔板530之第一電極574 上’第一電極5 7 6係由第二擔板5 4 0所構成,亦即,第二擔 板5 4 0可額外作為第二電極5 7 6,如此,則壓電元件5 8 2包含 一接合表面,其位於壓電層572與第二擋板540之間,在此 情況中,第二擋板540最好是由鋁形成。 一電壓從電源供應器590施加於壓電元件582、584與586 上,壓電元件5 82、5 84,及586中,每一個其壓電層572之 厚度膨脹率,可藉由從電源供應器590施加之電壓水準加以 控制,壓電層572之厚度膨脹率,可調整第一壓電元件582 與第一穿透孔532之間距離,結果是調整從第一擋板53〇之 第一穿透孔532,流進第二間隙80之反應氣體量51〇,由於 壓電層572之厚度膨脹率是由調整電源供應器590所施加之 -34- 本紙張尺度適用中國國家標準(CNS) Μ規格(21〇χ297公爱) ---- 565903 A7 __B7_ 五、發明説明(31 ) 電壓所控制,施加之電壓會選擇性地開放或關閉第一擋板 530之第一穿透孔532,以上第一壓電元件582之組態,亦可 類似地應用於第二與第三壓電元件584與586,採用該組態 無法僅選擇性地開放或關閉形成於第一擋板530中之第一至 第三穿透孔532、534以及536,其與第一擋板530之中心軸 53 1距離一預期半徑,但也可調整流經該穿透孔之反應氣體 量’如此,則壓電元件582、584及586亦可被用於根據在反 應室之製程區中晶圓特定位置所需之反應氣體量,選擇性地 控制流經形成於第一擋板530中之複數個第一至第三穿透孔 532、534及536之反應氣體量,其中每一壓電元件582、584 及586之厚度膨脹率,隨著所施加電壓之水準而改變。 儘管未顯示,具有組態同於上述參照圖2 1之蓮蓬頭,可 進一步包含位於第一擋板之導引擋板5〇,如以上參照圖5a 至5C所述,在此情況中,一對應於第一間隙7〇之間隙,形 成於導引擋板50與第一擋板530之間,藉以提供反應氣體之 一橫向通道。 忒蓮蓬頭可進一步包含位於第二擋板540與面板20之間之 第三擋板60,如以上參考圖7所述者。 如同參照圖21至24所述,假若壓電元件582、584及586 被用於5周整第一與第二擋板53 0及540之間反應氣體量, 所供給之反應氣體量,會根據電源供應器590所施加之電 壓水準,以蓮蓮頭中心向外徑向上被調整,因此,當改 良用於凋整所供給反應氣體量之控制效能同時,蓮蓬頭 中並不需要機械移動。 •35- 本紙張尺歧财X 297公釐)

Claims (1)

  1. 565903 申請專利範圍 一種蓮蓬頭,用於在半導體元件之製造期間,供應反應 氣體至反應室中之製程區,該蓮蓬頭包含: 一頂板,其包含一氣體入口,用於將外部來源供給 之反應氣體,引入反應室; 一面板,其配置相對於製程區,該面板具有複數個 穿透孔; 一第一擋板,其具有複數個穿透孔,該第一擋板配 置於該頂板與該面板之間,如此使得該第一擋板可上下 移動,該第一擋板具有一上表面,其界定一第一間隙, 用於形成反應氣體之第一橫向通道; 間 第 一第二擋板,其具有複數個穿透孔,該第二擋板配 置於該第一擋板與該面板之間,如此使得該第二擋板可 上下移動,该第二擔板具有一上表面,其界定一第二 隙,用於在該第一與第二擔板之間,形成反應氣體之 二橫向通道;以及 及 -間隙控制器,其用於決定該第一間隙之寬度, 該第二間隙之寬度。 2. 擋 如申請專利範圍第!項之蓮蓮頭,其中形成於該第— 板中之該複數個穿透孔包含·· 複數個第-穿透孔,其形成於接近該第—擔板之中 心軸之第-位置’且徑向距離該中心軸 以及 :數個第二穿透孔,其形成於接近該第一擋板邊緣 之第二位置’且徑向距離該中心轴_第二位移,該第二 本紙張尺度適用巾®國家料(CNS) Μ規格_χ撕公董) 565903 A8 B8 C8 -------- - D8_ 六、申請專利範i ' 距離大於該第一位移。 3. 如申請專利範圍第2項之蓮蓬頭,其中該間隙控制器決 定該第一擋板之位置,以減少該第一間隙之寬度,如此 使得流經該複數個第一穿透孔之反應氣體量,大於流經 複數個該第二穿透孔之反應氣體量。 4. 如申請專利範圍第2項之蓮蓬頭,其中該間隙控制器決 定該第一擋板之位置,以增加該第一間隙之寬度,如此 使得流經該複數個第二穿透孔之反應氣體量增加。 5·如申請專利範圍第1項之蓮蓬頭,其中該間隙控制器決 疋该第二擋板之位置,以增加該第二間隙之寬度,如此 使得流經形成於該第二擋板中複數個穿透孔之反應氣體 量,在整個製程區上是均勻的。 6·如申請專利範圍第1項之蓮蓬頭,其中該間隙控制器決 定該第二擋板之位置,以減少該第二間隙之寬度,如此 使得流經形成於該第二擋板中複數個穿透孔之反應氣體 量’選擇性地依據製程區中位置而改變。 7. 如申請專利範圍第1項之蓮蓬頭,其中該間隙控制器包 含: 一第一間隔環,其配置於該第一擋板之頂端,用於 決定該第一間隙之寬度;以及 一第一間隔環,其配置於該第一與第二擔板之間, 用於決定該第二間隙之寬度。 8* 如申請專利範圍第7項之蓮蓬頭,其中該第一間隔環係 配置於該第一擋板之頂端邊緣,而該第二間隔環係配置 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 χ 297公釐) 565903 A8 B8 C8 D8 申請專利範圍 9. 10. 11 12. 13. 14. 15. 16. 於該第二擋板之頂端表面。 如申請專利範圍第7項之蓮蓬頭,其中該第一間隔環係 由一或多個輪狀環所組成。 如申請專利範圍第7項之蓮蓬頭,其中該第二間隔環係 由一或多個圓形環所組成。 如申凊專利範圍第7項之蓮蓬頭,其中該第一與第二擋 板中,至少其中之-具有一環狀接觸部分,《中形成有 複數個鋸齒齒輪。 如申請專利範圍第U項之蓮蓬頭,其中該複數個鑛齒齒 輪中每一個之齒距均相當於中心角90度之弧長。 如申請專利範圍第7項之蓮蓬頭,其中該環狀接觸部分 之每—鋸齒齒輪之高度,其範圍約為〇 〇1至〇5mm。 如申請專利範圍第7項之蓮蓬頭,其中該第__間隔環且 有一環狀接觸部分,其係由複數個形成於相對該第一撞 板位置之鋸齒齒輪所構成,以及 其中該第-擋板包含一間隔環耦合器,其具有複數 個形成於第-間隔環相對位置之鋸齒齒輪,以哺合該環 狀接觸部分之複數個鋸齒齒輪。 :::專利範圍第14項之蓮蓬頭’其中該間 :::個錯齒齒輪中,每-個齒距均相當於中心角90度 如申請專利範圍第14項之蓮蓬頭,其 之备一姐n ±人* 一 八T w亥間隔%耦合器 之:i齒輪’其南度範圍約為〇〇1至〇5咖。 如申凊專利範圍第7項之蓮蓬頭,其 一 T巧弟一間隔環具 裝 訂 -3- 17. 565903 A8 B8 C8
    565903 A8 B8 C8 D8 、申請專利範圍 第二位置,且徑向上距離該中心軸一第二位移,該第二 位移小於該插入板之半徑,以及 其中該複數個第一穿透孔,可根據該插入板之轉動 位移’與形成於該插入板中之複數個穿透孔通聯。 23 ·如申請專利範圍第22項之蓮蓬頭,其中為了根據該插入 板轉動位移,改變該第一穿透孔之開口比例,該插入板 中之複數個穿透孔,與該基板中之複數個第一穿透孔, 僅選擇性地以關於該第一擋板中心軸之某角度範圍而形 成。 24. 如申請專利範圍第1項之蓮蓬頭,其進一步包含一導引 擒板,其關於該第一擋板同軸配置於該第一擋板上,該 導引播板具有一入口,用於將所供給之反應氣體引入通 過該頂板,以及複數個出口,用於將經由入口引入之反 應氣體,經由複數個通道流入該第一間隙, 其中該第一間隙之寬度,係由該導引擋板之底部, 與該第一擔板之上表面所界定。 25. 如申請專利範圍第24項之蓮蓬頭,其中該導引擋板中形 成之該複數個出口,係形成於該導引擋板上,與中心軸 徑向距離一預定位移之位置。 26. 如申請專利範圍第25項之蓮蓬頭,其中該複數個穿透孔 包含: 複數個第一穿透孔,其形成於接近該第一擋板之中 心軸之第一位置,且徑向上距離該中心軸一第一位移, 該第一位移小於該插入板之半徑;以及 -5- 張尺度適财_釋準(CNS) A4規格_ χ挪公董^-;--- 565903
    斤複=第二穿透孔,其形成於接近該基板之邊緣之 弟-位置,且徑向上距離該中心軸一第二位移,該第二 位移小於該插入板之半徑,以及 ’ΐ:二導Γ擋板中形成之該複數個出口,係形成於 该V引擋板上’與中心軸徑向距離一第三位移之位置, 該第三位移大於第—位移但小於第二位移。 27. 28. 如U利犯圍第26項之蓮蓬頭’其中介於該等複數個 出口中每-個與該等複數個第—穿透孔中每—個之間之 距離’小於該等複數個出口中每__個與該等複數個 穿透孔中每一個之距離。 如申請專利範圍第24項之蓮蓬頭,其中該間隙控制写包 含: 一第一間隔環,配置於該導引擋板與該第一擋板之 間,用於決定該第一間隙之寬度;以及 一第二間隔環,配置於該第一擋板與該第二擋板之 間,用於決定該第二間隙之寬度。 29·如申請專利範圍第28項之蓮蓬頭,其中該第一與第二間 隔環’係分別由一或多個圓形環所構成。 30.如申請專利範圍第28項之蓮蓬頭,其中該第一與第二間 隔環中至少其中之一,具有一環狀接觸部分,其中形成 複數個鋸齒齒輪。 31·如申請專利範圍第30項之蓮蓬頭,其中該複數個鋸齒齒 輪中,每一個齒距均相當於中心角9〇度之弧長。 32.如申請專利範圍第30項之蓮蓬頭,其中該環狀接觸部分 -6 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 565903 A8 B8 C8 D8 之每一鑛齒齒輪,其高度約為0.〇1至〇 5 mm。 33. 如申請專利範圍第28項之蓮蓬頭,其中該第一間隔環具 有一環狀接觸部分,其係由複數個形成於相對該第_擋 板位置之鋸齒齒輪所構成,以及 其中該第一擋板包含一間隔環耦合器,其具有複數 個形成於該第一間隔環相對位置之鋸齒齒輪,以嚙合該 環狀接觸部分之複數個鋸齒齒輪。 / 34. 如申請專利範圍第33項之蓮蓬頭,其中該間隔環輛合器 之複數個鑛齒齒輪中,每—個之齒距均相#於中心㈣ 度之孤長。 35. 如=請專利範圍第33項之蓮蓬頭,其中該間隔環輛合器 之母一鋸齒齒輪,其高度範圍約為〇〇1至〇5 。 36. 如f請專利範圍第28項之蓮蓬頭,其中該第二間隔環且 有-環狀接觸部分,其係由複數個形成於相對該第二播 板位置之鋸齒齒輪所構成,以及 一田 /其中該第二擋板包含一間隔環耦合器,其具有複數個 形成於第二間隔環相對位置之鋸齒齒輪,以嚙 接觸部分之複數個鋸齒齒輪。 ^衣狀 37·如"專利範圍第36項之蓮蓬頭,其中該間_合器 之後數個鋸齒齒輪中,每一個之齒距均相當於中 度之孤長。 η 38·二申請專利範圍第36項之蓮蓬頭,其中該間隔環輕合, 39 齒齒輪’其⑤度範圍約為Ml至G.5mm。 39.如申請專利範圍第24項之蓮蓬頭,其中該間隙控制器包 A BCD 42. 565903
    一 一驅動桿,用於選擇性地上下移動該 ”間隙之寬度:錢 Γ弟二驅動桿,用於選擇性地上下移動該第一擋板 ’以決定該第二間隙之寬度。 範圍第39項之蓮蓬頭’其中該第-驅動桿被 又疋與忒苐二驅動桿同軸。 t申”月專利關第24項之蓮蓬頭,其中該間隙控制器包 含· -升降機構,用於以第一步進馬達,將該第一擋板 上下移動,以決定第二間隙之寬度;以及 轉動機構’用於以第二步進馬達,將該導引擋板 上下移動’以決定第一間隙之寬度,以及 其中該升降機構與該轉動機構整合在一起。 :申請專利範圍第41項之蓮蓬頭,其中該升降機構包含 一軸桿,其延伸通過該導引擋板與該第一擔板,以及一 向外凸緣,其配置於軸桿之_端,用於依循該轴桿之上 下移動,而將第一擋板上下移動,以及 其中該轉動機構包含該軸桿,其可藉由第二步進馬 達傳輸之動力而轉動’以及—外螺紋,其形成於該轴桿 =外圍,於此結合導引擋板,用於根據軸桿之轉動 高或降低該導引擋板。 43.如申請專利範圍第42項之蓮蓬頭,其中一用於包圍形成 於軸桿-端之該向外凸緣之圓形空間,係形成於該第一 8 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
    565903 A8 B8 C8
    播板之中央部分。 44.如申請專利範圍第42項之蓮蓬頭,其中該轴桿通過之中 =m $導引擋板之巾央部分,而用於與該軸 才亍之螺桿外螺紋嚙合之内 . 口又円螺紋,係形成於該中心孔之内 壁上。 45.如巾請專利範圍第42項之蓮蓬頭,其中用於與該螺桿外 螺紋喃合之内螺紋’係形成於該導引擋板上,如此使得 當該軸桿上下移動時’該導引擋板可隨著該軸桿之移動 而上下移動,以升高或降低該第一擋板。 46·如申請專利範圍第42項之蓮蓬頭,其中用於無摩擦地包 圍:向外凸緣之圓形空間,係形成於第一擒板中,如此 使:向外凸緣之轉動’在該軸桿藉由轉動機構轉動,而 升局或降低該導引擔板時,不會影響該第_擔板。 47.如中請專利範圍第42項之蓮蓬頭,其進_步包含一擔板 ’用於在該軸桿藉由轉動機構轉動時,使該導引擔板不 會隨著轉動。 48·如申請專利範圍第丨項之蓮蓬頭,其進一步包含一轉動 機構其被連接至邊第一擔才反,如此使得該第一擋板可 以一預定之角度範圍,關於該第二擋板轉動, 其中該第一擋板與該第二擋板接觸,如此使得形成 於第一擋板中複數個穿透孔中,所選定的某些穿透孔, 了與形成於第二擔板中複數個穿透孔中所選定之某些穿 透孔通聯,藉以形成對準孔。 D8 六、申請專利範圍 49. 50. 51. 52 53. 54. 如申请專利範圍第48項之蓮蓬頭,其中該第二間隙之寬 度實際上為零。 如申請專利範圍第48項之蓮蓬頭,其中形成於第一擋板 中之複數個穿透孔,被分佈以具有根據距離第一擋板中 心軸之半徑而不同之開口比例, 中^成於苐一擔板中之複數個穿透孔,被分佈以 具有根據第一擋板對第二擋板中心軸轉動之距離,而不 同之開口比例,以及 其中該轉動機構改變了該第一擋板之轉動位移,以 改變該對準孔之開放位置。 如申請專利範圍第50項之蓮蓬頭,其中該第一擋板被分 成複數個區段,其從中心軸以徑向延伸,每一區段具有 複數個穿透孔,其僅形成於距離中心軸某選定半徑之預 定範圍内。 如申請專利範圍第50項之蓮蓬頭,其中該第二擋板被分 成複數個區段,其從中心軸以徑向延伸,每一區段具有 複數個穿透孔,其以規律之間隔排列。 如申請專利範圍第48項之蓮蓬頭,其中該間隙控制器包 含一驅動桿,其用於同時將該第_與第二擋板上下移動 ,以決定該第一間隙之寬度。 如申請專利範圍第53項之蓮蓬頭,其進一步包含一導引 擋板,其與該第一擋板同軸配置於該第一擋板上,該導 引擋板具有一入口,用於將經由頂板供給之反應氣體引 -10- 565903 A8 B8 C8 ^---------- 六、中請專利範園 ' -- 入,以及複數個出口,用於將經由人口引人之反應氣體 ,經由複數個通道,流入該第一間隙, 其中该第一間隙之寬度,係由該導引擋板之底部, 與該第一擋板之上表面所決定。 55·如申請專利範圍第54項之蓮蓬頭,其中該導引推板中複 數個出口,係形成於距離該導引擋板中心軸徑向上一預 定位移之位置。 50* 一種蓮蓬頭,其用於供給反應氣體至反應室中之製程區 ,該蓮蓬頭包含: 一頂板,其具有一氣體人口,肖於將外部來源所供 給之反應氣體,引入反應室; 一面板,其配置於製程區之相對位置,該面板具有 複數個穿透孔; 一第一擋板,其配置於該頂板與該面板之間,該第 一擋板具有複數個穿透孔; 一第二擋板,其具有複數個穿透孔,且配置於該第 一擋板與該面板之間,該第二擋板具有一上表面,其可 界定一間隙,用於形成-反應氣體之橫向通道,於該第 一與第二擋板之間; 複數個壓電元件,其配置於該第二擋板上,用於控 制通過該間隙之反應氣體量;以及 電源供應器,用於施加電壓制複數個壓電元件中 之每一個。 -11 - 565903 A8 B8 C8 _____D8 _ 六、申請專利範ϊ ^ 57·如申請專利範圍第56項之蓮蓬頭,其中複數個壓電元件 之每一個均包含: 一壓電層,其根據所施加電壓,以厚度延伸模式振 動’該壓電層具有兩主要表面; 第一與第二電極層,兩者分別形成於該壓電層之兩 主要表面之一上;以及 一絕緣層,其形成於鄰近第一擋板之第一電極層。 58. 如申請專利範圍第57項之蓮蓬頭,其中該壓電層係由選 自由锆鈦酸鉛(ΡΖΤ)、PbTi03、BaTi〇3,或聚偏二氟乙 烯(PVDF)聚合物等組成之群體中之一所形成。 59. 如申請專利範圍第57項之蓮蓮頭,其中其中第二電極層 係由該第二擋板所構成。 6〇·如申請專利範圍第56項之蓮蓬頭,其中該複數個壓電元 件形成之位置,係對應該第一擋板之複數個穿透孔所形 成之位置。 61·如中請專利範圍第56項之蓮蓬頭,其中該複數個壓電元 件中^一個,可用塵電元件之厚度膨脹率,隨著由電源 供應态所供應之電壓水準而做之調整,控制從該第一擋 板之複數個穿透孔流入該間隙之反應氣體量。 62·如申請專利範圍第56項之蓮蓬頭,其中複數㈣電元件 中’每-個可用壓電元件之厚度膨脹率,隨著由電源供 應器=供應之電壓水準而做之調整,選擇性地開放或關 閉該複數個穿透孔。 家標準(cns)- -12- 565903
    63.如申請專利範圍第56項之蓮蓬頭,其中該第_擔板之複 數個穿透孔,係形成於距離該第一擋板中心軸一預定半 徑之第一位置,以及 其中4複數個壓電元件之_,包含—環狀元件,其 形成於對應第二擋板上第一位置之位置。 64·如申請專利範圍第56項之蓮蓬頭,其中該第一與第二擔 板係由鋁所形成。 65. 如申請專利範圍第56項之蓮蓬頭,其進_步包含一導引 擔板,其與該第-擋板同軸配置於該第—擔板上,該導 引擋板具有相對於該第一擋板之底面, 八中用於&供反應氣體橫向通道之上間隙,係形 成於該導引擋板與該第一擋板之間,以及 其中該導引擔板具有,用於引人經由該頂板 供給之反應氣體,以及複數個出口,用於將經由該入口 66. 引入之反應氣體,經由複數個通道,流人該上間隙。 如申請專利範圍第65項之蓮蓬頭,其中形成於該第-擒 板中之複數個出口’係形成於徑向上距離該導引擔板中 心軸一預定位移之位置。 67. 68. 如申請專利範圍第56項之蓮蓬頭,其進一步包含一第三 擔板,其配置於該第n與該面擒板之間,該第三擔 板具有複數個穿透孔。 如申請專利範圍第67項之蓮蓬頭,其中該第三擋板係由 高阻值材料所形成,其電阻率足以墊性敎該蓮蓬頭。
    565903 、申請專利範園 69. =申請專利範圍第68項之蓮蓬頭,其中該第三擋板係由 碳化矽(SiC)所形成。 70. 種蓮蓬碩,其用於供給反應氣體至反應室中之製程區 ’該蓮蓬頭包含: 第一擋板,其具有複數個第一與第二穿透孔,以 選擇性地根據與中心軸之半徑,調整由外部來源供給之 反應氣體量,其中該複數個第一穿透孔,距離中心軸一 第一半徑,而該複數個第二穿透孔,距離中心轴一 半徑; 裝 一第二擋板,其配置於該第一擋板之下,使得一用 於提供橫向通道之«,形成於該第-與第二擔板之間 ’该第二擋板具有複數個穿透孔;以及 -間隙控制器,其用於移動至少該第一與第二擋板 之一’以調整該間隙之寬度。 71. 如申請專利範圍第70項之蓮蓬頭,其中該間隙控制器包 含:間隔ί裒,其具有一預定厚度,且配置於該第一與第 二擋板之間,以決定該間隙之寬度。 72.如申請專利範圍第71項之蓮蓬頭’其中該間隔環係形成 於該第二擋板之上方邊緣。 73·如申請專利範圍第71項之蓮蓬頭,其中該間隔環係由一 或多個圓形環所構成。 74.如申請專利範圍第71項之蓮蓬頭,其中該間隔環具有一 環狀接觸部分,其中形成了複數個鋸齒齒輪。 -14· 本紙張尺度適财_家標準(CNS)A4規格(21GX297公爱)
    75. 76. 77· 78. 79. 如申明專利範圍第74項之蓮蓬頭,其中該複數個錐齒齒 輪中,每一個之齒距均相當於中心角9〇度之弧長。 如=凊專利範圍第74項之蓮蓬頭,其中該環狀接觸部分 之每個鋸齒齒輪之高度,大約為〇 〇1至0·5 mm。 >中π專利|巳圍第74項之蓮蓬頭,其中該間隔環之環狀 接觸部分,接觸該第-擔板之底面,以及 其中一間隔耦合器形成於該第一擋板之底面邊緣上 4間隔輕合器具有複數個錄齒齒輪,與該環狀接觸部 分之複數個銀齒齒輪相嚙合。 如:請專利範圍第77項之蓮蓬頭,其中該第—擋板之間 隔環耦合器’其某部分之厚度,小於該第一擋板之底面 中央部分之厚度。 如申请專利範圍第74項之蓮蓬頭,其中該間隔環之環狀 接觸部分,接觸該第二擋板之上表面,以及 上其中-間隔環耦合器形成於該第二擋板之上表面, X門隔%耦合器具有複數個鋸齒齒輪,其被形成與該環 狀接觸部分之複數個鋸齒齒輪嚙合。 80. 81. 82. 3明專利|(L圍第79項之蓮蓬頭,其中該第二擔板之間 隔環耦合器,具有某部分之厚度小於該第二擋板之頂部 中央部分之厚度。 如申請專利範圍第70項之蓮蓬頭,其中該第—擋板包含 早一碟型元件,其具有整個表面上均勻之厚戶。 如申請專利範圍第綱之蓮蓬頭,其中該第\播板包
    565903 A8 B8 C8 ------ - D8 、申請專利 含: 一碟形基板,其具有一中央部分,其中形成一用於 提供圓形空間之溝槽,以及複數個穿透孔,用於在該基 板中央部分’構成某些第一穿透孔;以及 一碟形插入板,被插入使其可繞著溝槽中該第一擋 板之中心軸轉動,該碟形插入板具有複數個穿透孔,其 可與形成於基板中央位置以形成第一穿透孔之複數個穿 透孔通訊。 83·如申請專利範圍第82項之蓮蓬頭,其中為了根據該插入 板轉動位移,改變該第一穿透孔之開口比例,該插入板 中之複數個穿透孔,與該基板中之複數個第一穿透孔, 僅選擇性地以關於該第一擋板中心軸之某角度範圍而形 成。 84.如申請專利範圍第70項之蓮蓬頭,其中該間隙控制器包 含一驅動桿,用於選擇性地將第一擋板上下移動,以決 定該間隙之寬度。 _如申叫專利範圍第70項之蓮蓬頭,其中該第一擋板與該 第二擋板接觸,使得從形成於該第一擋板中,該複數個 第一與第二穿透孔中所選定之某些穿透孔,可與形成於 第二擋板中,複數個穿透孔所選定之某些穿透孔通訊, 以形成對準孔,以及 其中該間隙之寬度實際上為零。 86.如申請專利範圍第85項之蓮蓬頭,其進一步包含一轉動 -16 -
    r 565903 A8 B8 C8
    幾冓/、被連接至該第一擋板,如此使得該第一擔板可 以預疋之角度範圍,關於該第二擋板轉動。 申明專利範圍第86項之蓮蓬頭,其中形成於第二擔板 中之複數個穿透孔,被分佈以具有根據該第-擔板對第 二擋板中心軸轉動之半徑而不同之開口比例,以及 其中該轉動機構改變了該第一擋板之轉動角度,以 改變该對準孔之開口位置。 k 88.如中請專利範圍第86項之蓮蓬頭,其中該第—擋板進一 步具有複數個第三穿透孔,其以大於第二半徑範 三範圍形成, 其中該第一擋板被分成複數個區段,其從該第一擋 板之中心軸以徑向向外延伸,以及 其中該複數個第一、第二及第三穿透孔,係在不同區 段形成。 89·如申請專利範圍第88項之蓮蓬頭,其中該第二擋板被 分成複數個區段,其由該第二擋板之中心軸徑向向外
    延伸,該複數個區段具有複數個穿透孔,且以規律間 隔排列。 90. 如申請專利範圍第70項之蓮蓬頭,其進一步包含一面板 ,配置於該製程區之相對位置,該面板具有複數個穿透 孑L 。 91. 如申請專利範圍第90項之蓮蓬頭,其進一步包含一第三 擔板,配置於該第二擔板與該面板之間,該第三播板具 -17- 本紙張尺度適用巾S ®家標準(CNS) A4規格(21GX297公釐)"""' '— -- 565903 申請專利範圍 有複數個穿透孔。 92.如申請專利範圍第Q1 1 — 碳化石夕所形成項之遠連頭’其中該第三擋板係由 93. 一種蓮蓬頭,其包含: -圓形第-擋板,其具有複數個穿透孔; -圓形第二擋板,其配置於該第—擋板之下,一具有 預定寬度之間隙介於該第—與第二擋板之間,該第2擋 板具有複數個穿透孔;以及 " 複數個壓電元件’其配置於該第一與第二擋板之間 用於控制机經开> 成於該第_撞板中複數個穿透孔之氣 體流量。 ' 94. 如申請專利範圍第93項之蓮蓬頭,其中形成於該第一播 板中之複數個穿透孔包含: 複數個第一穿透孔,形成於距離該第-擋板中心軸-第一半徑之位置; 複數個第二穿透孔,形成於距離該第-擔板中心軸-第半仅之位置,该第二半徑大於該第一半徑·,以及 複數個第三穿透孔,形成於距離該第一擋板中心軸一 第二半徑之位置,該第三半徑大於該第二半徑。 95. 如申响專利範圍第94項之蓮蓬頭,其中形成於該第二擋 板中之複數個穿透孔包含: 一第四穿透孔,其形成於相當該第二擋板中心軸之 位置; 18- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇X 297公爱) 565903 A8 B8 C8
    565903 A8 B8 C8
    ⑽·如申請專利範圍第98項之蓮蓬頭,其進一步包 =上用於施加電壓至該第―、第二及第三㈣:: /、中忒電源供應器施加不同電壓至該第一 三壓電元件之每一個上。 HH.如申,專利範圍第98項之蓮蓬頭,其進一步包含一^ 供應器,用於施加電壓至該第一壓電元件, ; 其中該第—㈣元件具有—厚度膨脹率,其可則 由電壓電源供應器所施加之電壓水準而做調整,以㈣ 介於該第一穿透孔與該第一邀電元件之間距離。 敗如中請專利範圍第98項之蓮蓬頭,其進—步包含一 ^ 供應器,用於施加電壓至該第二壓電元件, 其中該第二壓電元件具有—厚度膨脹率,其可根… 電壓電源供應器所施加之電壓水準而做調整,以控 於該第二穿透孔與該第二壓電元件之間距離。 103·如中請專利範圍第98項之蓮蓬頭,其進一步包含一電g 供應器,用於施加電壓至該第三壓電元件, 其中該第三壓電元件具有一厚度膨脹率,其可根據这 電壓電源供應器所施加之電壓水準而做調整,以控制< 於該第三穿透孔與該第三壓電元件之間距離。 104·如申請專利範圍第93項之蓮蓬頭,其中該第一與第二名 板係由I呂所形成。 105·如申睛專利範圍第93項之蓮蓬頭,其中該複數個壓電^ 第二及I
    裳 訂
    •20- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 565903 A8 B8 C8 ______ D8 六、申請專利範園 件包含: 一壓電層,其以根據所施加電壓之厚度膨脹率振動 ’該壓電層具有兩主要表面; 第一與第二電極層,其中每一形成於該壓電層之兩 主要表面上;以及 一絕緣層,其形成於鄰近該第一擋板之第一電極層 上。 106.如申請專利範圍第ι〇5項之蓮蓬頭,其中該壓電層係由 選自由鍅鈦酸鉛(PZT)、PbTi03、BaTi03,或聚偏二氣 乙烯(PVDF)聚合物等組成之群體中之一所形成。 107·如申請專利範圍第ι〇5項之蓮蓬頭,其中該第二電極層 係由該第二擋板所構成。 108.如申請專利範圍第ι〇7項之蓮蓬頭,其中複數個壓電元 件中’母一個均進一步包含一接合表面,其介於該壓電 層與該第二擋板之間。 -21 -
TW091115836A 2001-07-16 2002-07-16 Shower head of a wafer treatment apparatus having a gap controller TW565903B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드

Publications (1)

Publication Number Publication Date
TW565903B true TW565903B (en) 2003-12-11

Family

ID=36772450

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091115836A TW565903B (en) 2001-07-16 2002-07-16 Shower head of a wafer treatment apparatus having a gap controller

Country Status (6)

Country Link
US (2) US6872258B2 (zh)
JP (2) JP4246450B2 (zh)
KR (1) KR100400044B1 (zh)
CN (2) CN100435274C (zh)
DE (1) DE10232206B4 (zh)
TW (1) TW565903B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI578393B (zh) * 2012-06-08 2017-04-11 Tokyo Electron Ltd Gas treatment method
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
TWI795808B (zh) * 2020-07-31 2023-03-11 大陸商中微半導體設備(上海)股份有限公司 氣體流量調節裝置、氣體流量調節方法及電漿處理裝置

Families Citing this family (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR100562306B1 (ko) * 2004-09-13 2006-03-22 동부아남반도체 주식회사 화학기계적 연마장치
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
WO2006106872A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法及び装置
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR100768673B1 (ko) * 2006-05-30 2007-10-22 (주)위지트 샤워헤드
KR101217522B1 (ko) * 2006-09-07 2013-01-02 주식회사 원익아이피에스 유량조절블럭을 구비한 샤워헤드
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR100901118B1 (ko) * 2007-08-24 2009-06-08 주식회사 케이씨텍 박막 증착장치의 분사유닛
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
JP4956469B2 (ja) * 2008-03-24 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5150461B2 (ja) * 2008-11-14 2013-02-20 芝浦メカトロニクス株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
DE102010000388A1 (de) * 2010-02-11 2011-08-11 Aixtron Ag, 52134 Gaseinlassorgan mit Prallplattenanordnung
CN102193565A (zh) * 2010-03-19 2011-09-21 上海微电子装备有限公司 气浴控温装置及方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931050B (zh) * 2011-08-10 2017-10-31 中国科学院微电子研究所 一种新型的常压等离子体自由基清洗喷枪进气方式
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP5860063B2 (ja) * 2011-12-22 2016-02-16 キヤノンアネルバ株式会社 基板処理装置
CN103184432B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(北京)有限公司 注入装置及处理设备
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN105274498B (zh) * 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101505948B1 (ko) * 2013-12-16 2015-03-26 피에스케이 주식회사 배플 어셈블리 및 이를 가지는 기판 처리 장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104195525B (zh) * 2014-08-15 2016-08-24 沈阳拓荆科技有限公司 两种气体独立均匀喷气喷淋装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP1545606S (zh) * 2015-08-26 2016-03-14
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105091506A (zh) * 2015-08-31 2015-11-25 武汉华星光电技术有限公司 真空干燥装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109312461B (zh) * 2016-03-03 2021-07-13 核心技术株式会社 等离子体处理装置和等离子体处理用反应容器的构造
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR20180053491A (ko) * 2016-11-11 2018-05-23 삼성전자주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN110621411B (zh) * 2017-05-31 2021-08-10 艾斯曲尔医疗公司 喷嘴装置及其制造方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212676A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
KR102110232B1 (ko) * 2018-09-18 2020-05-13 주식회사 테스 가스공급유닛
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) * 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231557A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230121103A (ko) * 2020-12-22 2023-08-17 매슨 테크놀로지 인크 가스 샤워헤드 조립체를 갖는 워크피스 프로세싱 장치
CN114686853B (zh) * 2020-12-31 2023-09-01 拓荆科技股份有限公司 可控气流分布的气体喷头
CN112689376B (zh) * 2021-03-15 2021-06-18 四川大学 一种采用压电材料的微波等离子体射流激发装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11769659B2 (en) * 2021-08-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Showerhead assembly and method of servicing assembly for semiconductor manufacturing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3809050A (en) * 1971-01-13 1974-05-07 Cogar Corp Mounting block for semiconductor wafers
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
JPS61167364A (ja) * 1985-01-18 1986-07-29 Diesel Kiki Co Ltd 高速電磁弁
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3039583B2 (ja) * 1991-05-30 2000-05-08 株式会社日立製作所 バルブ及びそれを用いた半導体製造装置
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5432936A (en) * 1992-04-09 1995-07-11 Microsoft Corporation Method for implementing pointers to members in a compiler for an object-oriented programming language
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
CA2186023C (en) * 1994-04-20 2006-11-07 Walter Brieden Process for preparing 2-piperazine carboxylic acid derivatives
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
EP0832863B1 (en) * 1994-11-16 2002-04-03 The B.F. Goodrich Company Pressure gradient CVI/CVD apparatus, process, and product
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US5968374A (en) 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6207006B1 (en) 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6454864B2 (en) * 1999-06-14 2002-09-24 Cutek Research, Inc. Two-piece chuck
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN2399574Y (zh) * 1999-11-17 2000-10-04 吴忠仪表股份有限公司 压电双晶体阀
KR100338955B1 (ko) * 1999-12-31 2002-05-31 박종섭 반도체의 건식각 공정용 가스 공급 장치
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI578393B (zh) * 2012-06-08 2017-04-11 Tokyo Electron Ltd Gas treatment method
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
TWI715002B (zh) * 2018-04-17 2021-01-01 美商應用材料股份有限公司 用於電漿控制的具有間隙的兩件式電極組件
US11915911B2 (en) 2018-04-17 2024-02-27 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
TWI795808B (zh) * 2020-07-31 2023-03-11 大陸商中微半導體設備(上海)股份有限公司 氣體流量調節裝置、氣體流量調節方法及電漿處理裝置

Also Published As

Publication number Publication date
KR20030008068A (ko) 2003-01-24
DE10232206A1 (de) 2003-02-27
JP4246450B2 (ja) 2009-04-02
JP2008300888A (ja) 2008-12-11
JP2003051489A (ja) 2003-02-21
US6872258B2 (en) 2005-03-29
CN1397991A (zh) 2003-02-19
US20030010452A1 (en) 2003-01-16
US20050145338A1 (en) 2005-07-07
CN1265441C (zh) 2006-07-19
CN1781608A (zh) 2006-06-07
KR100400044B1 (ko) 2003-09-29
CN100435274C (zh) 2008-11-19
DE10232206B4 (de) 2006-02-09

Similar Documents

Publication Publication Date Title
TW565903B (en) Shower head of a wafer treatment apparatus having a gap controller
KR102546221B1 (ko) 유기막들의 기상 퇴적
US10814349B2 (en) Vapor phase deposition of organic films
TWI780145B (zh) 用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源
DE112010003931T5 (de) Epitaxialkammer mit Kreuzströmung
US20200123656A1 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
CN106340441B (zh) 具有注射器的膜形成装置和形成半导体器件的方法
KR102203554B1 (ko) 성막 장치 및 성막 방법
KR20210087084A (ko) 3d nand 애플리케이션을 위한 막 스택 오버레이 개선
JP6388552B2 (ja) 基板処理装置及び基板処理方法
US20230420245A1 (en) Dynamic multi zone flow control for a processing system
TW202046006A (zh) 極紫外光微影光阻的粗糙度降低
CN115867692A (zh) 用于晶片弯曲补偿的背面沉积和局部应力调节
TW201344034A (zh) 電漿處理室之壓力控制閥組件及快速交替式程序
CN103215565B (zh) Cvd共形真空/抽吸引导设计
TW202029841A (zh) 具有用於中空陰極放電抑制之流孔的噴淋頭面板
JP3149701U (ja) 半導体処理装置用シャワーヘッド
TW202217058A (zh) 具有受控膜性質及高沉積速率的保形熱cvd
TWI715002B (zh) 用於電漿控制的具有間隙的兩件式電極組件
TWI252785B (en) Adjustable exhaust flow for thermal uniformity
CN103299398B (zh) 薄膜蒸镀方法及薄膜蒸镀装置
TWI637902B (zh) 用於直接形成奈米尺度之特徵的方法及裝置
KR102664066B1 (ko) 유기막들의 기상 퇴적
JP7446456B2 (ja) プラズマ処理方法
KR20240068605A (ko) 유기막들의 기상 퇴적

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees