CN1781608A - 具有间隙控制器的晶片处理设备的喷头 - Google Patents
具有间隙控制器的晶片处理设备的喷头 Download PDFInfo
- Publication number
- CN1781608A CN1781608A CNA2005101135327A CN200510113532A CN1781608A CN 1781608 A CN1781608 A CN 1781608A CN A2005101135327 A CNA2005101135327 A CN A2005101135327A CN 200510113532 A CN200510113532 A CN 200510113532A CN 1781608 A CN1781608 A CN 1781608A
- Authority
- CN
- China
- Prior art keywords
- baffle
- baffle plate
- holes
- shower nozzle
- radius
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000376 reactant Substances 0.000 claims abstract description 45
- 238000004519 manufacturing process Methods 0.000 claims abstract description 9
- 239000007789 gas Substances 0.000 claims description 89
- 238000012545 processing Methods 0.000 claims description 26
- 230000015572 biosynthetic process Effects 0.000 claims description 17
- 230000013011 mating Effects 0.000 claims description 12
- 230000008961 swelling Effects 0.000 claims description 12
- 230000001105 regulatory effect Effects 0.000 claims description 10
- 239000000463 material Substances 0.000 claims description 7
- 230000004044 response Effects 0.000 claims description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- 239000004411 aluminium Substances 0.000 claims description 4
- 230000004888 barrier function Effects 0.000 claims description 4
- 230000005611 electricity Effects 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 239000002033 PVDF binder Substances 0.000 claims description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 3
- 229920000642 polymer Polymers 0.000 claims description 3
- 229920002981 polyvinylidene fluoride Polymers 0.000 claims description 3
- 230000001276 controlling effect Effects 0.000 claims description 2
- 229910003781 PbTiO3 Inorganic materials 0.000 claims 1
- 229910002113 barium titanate Inorganic materials 0.000 claims 1
- 238000000034 method Methods 0.000 abstract description 19
- 230000008569 process Effects 0.000 abstract description 16
- 239000004065 semiconductor Substances 0.000 abstract description 9
- 238000009826 distribution Methods 0.000 abstract description 7
- 230000007246 mechanism Effects 0.000 description 40
- 241001646071 Prioneris Species 0.000 description 29
- 230000008878 coupling Effects 0.000 description 25
- 238000010168 coupling process Methods 0.000 description 25
- 238000005859 coupling reaction Methods 0.000 description 25
- 238000005530 etching Methods 0.000 description 16
- 230000008859 change Effects 0.000 description 10
- 239000011324 bead Substances 0.000 description 8
- 239000011295 pitch Substances 0.000 description 5
- 238000003780 insertion Methods 0.000 description 3
- 230000037431 insertion Effects 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 230000006978 adaptation Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45589—Movable means, e.g. fans
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Nozzles (AREA)
Abstract
用于调节在半导体器件制造反应室的处理区域中的反应气体分布的喷头,其中顶板具有气体口,用于向反应室引入反应气体;面板,它具有多个通孔,与处理区域相对地设置,所述面板具有多个通孔;第一挡板,具有多个通孔,在顶板和面板之间设置,能够上下移动,其中第一挡板具有顶表面,它限定形成反应气体的第一横向流动通道的第一间隙;第二挡板,具有多个通孔,在第一挡板和面板之间设置,它能够上下移动,其中第二挡板具有顶表面,所述顶表面限定形成第二横向流动通道的第二间隙;和间隙控制器,用于确定第一间隙的宽度和第二间隙的宽度。
Description
本申请是申请日为2002年7月16日、申请号为02126876.2、发明名称为″具有间隙控制器的晶片处理设备的喷头″的中国专利申请的分案申请。
技术领域
本发明涉及制造半导体器件的装置,特别涉及,使用等离子体向在晶片处理设备中的反应室供给反应气体的一种喷头。
背景技术
随着半导体器件的集成密度的增加,设计规则降低,晶片的直径增加。大型晶片经常经历制造半导体器件的多个步骤,例如其中包括,在晶片上沉积材料层的沉积过程,或为了沉积或蚀刻晶片,通过从反应室的上部供给反应气体,按预定的图案蚀刻晶片上的材料层的蚀刻过程。特别是,随着晶片大小增加,在蚀刻过程中,将整个地晶片表面上的蚀刻速度均匀性最佳化是很重要的。
在典型的蚀刻设备中,通过一种下游方法向反应室引入蚀刻所需的反应气体,从而,从上电极供给反应气体,并且所述气体被抽出到下电极的周围。为了在反应室内均匀地分布反应气体,包括几个挡板的喷头安装在反应室的上部,每个挡板具有多个通孔。在现有技术的喷头中,通孔的各个位置和在挡板之间的间隙是固定的。
在喷头中的挡板的功能是控制在蚀刻设备的(即,气体分布板(GDP))内的气流的分布。一般情况是,在各挡板之间的间隙和在各挡板中形成的通孔的开放比(opening ratio)确定挡板的气体分布功能。但是,因为在现有技术的喷头中固定了在各挡板中提供的通孔的各个位置和在挡板之间的间隙,所以,一旦改变蚀刻设备中将要进行的处理过程,蚀刻速度在整个晶片表面上的分布都要变化。这样,现有技术喷头的结构在发展新处理工艺中存在限制。而且,新的蚀刻设备的开发通常要求大量的模拟过程和相当大的费用。
例如,在晶片上形成一个栅极的蚀刻过程中,在形成栅极图案前,在形成蚀刻掩模层的蚀刻处理步骤当中,可能不希望在整个晶片上获得均匀蚀刻。而且,如果进行一个包括多个步骤的蚀刻过程,则晶片的蚀刻速率的均匀性在一个步骤到另一步骤变化。然而,在固定各挡板的通孔位置和挡板之间间隙的现有技术的喷头中,不可能向晶片的不同位置提供不同数量的反应气体,从而增加了优化在整个晶片表面上形成图案均匀性的难度。在半导体器件的制造过程的蚀刻处理期间,与蚀刻速率不均匀相关联的问题有害地影响到半导体器件的性能和生产率。
发明内容
为了解决上述问题,本发明的实施例的一个特征是提供一种喷头,它能够根据晶片上的位置控制反应气体的分布量,以获得在半导体器件的制造过程中在整个的晶片表面上的蚀刻速率最佳均匀度。
本发明的实施例的另一个目的是提供一种喷头,通过对在蚀刻步骤中与晶片上的位置有关地发生的蚀刻速率均匀度的降低进行补偿,它能够依据在晶片上希望的位置控制供给的反应气体量,从而使得最终的蚀刻速率均匀度最佳。
因此,为了提供上述特征,本发明提供用于控制在反应室内处理区域的反应气体分布量的一种喷头。根据本发明的第一方面的一种喷头,顶板具有气体口,用于引入从外源向反应室供给的反应气体。面板,它具有多个通孔,与处理区域相对地设置。第一挡板,具有多个通孔,在顶板和面板之间设置,使得能够上下移动。第一挡板具有顶表面,它限定形成反应气体的第一横向流动通道的第一间隙。第二挡板,具有多个通孔,在第一挡板和面板之间设置,使得它能够上下移动。第二挡板具有顶表面,所述顶表面限定形成在第一和第二挡板之间反应气体的第二横向流动通道的第二间隙。使用一个间隙控制器,确定第一间隙的宽度和第二间隙的宽度。
最好是,在第一挡板上形成的多个通孔包括多个第一通孔和多个第二通孔,所述第一通孔形成在接近第一挡板的中心线并与中心轴线在径向分开第一距离的第一位置上,所述第二通孔,形成在接近第一挡板的边并与中心轴线分开第二距离的第二位置上,所述的第二距离大于第一距离。
所述间隙控制器最好确定第一挡板的位置,以降低第一间隙的宽度,使得通过多个第一通孔流动的反应气体量大于通过多个第二通孔流动的反应气体量。
间隙控制器最好是确定第一挡板的位置,以增加第一间隙宽度,使得通过多个第二通孔的反应气体量增加。
而且,间隙控制器最好是确定第二挡板的位置,以增加第二间隙的宽度,使得通过在第二挡板形成的多个通孔流动的反应气体量在整个处理区域上均匀。
间隙控制器最好是确定第二挡板的位置,以降低第二间隙的宽度,使得通过第二挡板中形成的多个通孔流动的反应气体量选择地根据处理区域中的位置变化。
在根据本发明的第一方面的喷头中,间隙控制器可以包括第一垫圈环,设置在第一挡板的顶部上,用于确定第一间隙的宽度;和第二垫圈环,设置在第一和第二挡板之间,用于确定第二间隙的宽度。第一垫圈环可以设置在第一挡板的顶部边缘上,并且第二垫圈环可以设置在第二挡板的顶部边缘上。第一和第二垫圈环可以由一个或多个环组成。最好是,第一和第二垫圈环的至少一个可以具有一环接触部分,其中形成多个传动锯齿。每个传动锯齿可以具有与90度中心角的弧的长度相对应的节距。另外,环接触部分的每个传动锯齿高度在约0.01-0.5毫米的范围。第一垫圈环可以具有相对第一挡板形成的多个锯齿组成的环接触部分。此时,第一挡板包括垫圈环耦接件,它具有与第一垫圈环相对地形成的多个锯齿,以与在环接触部分的多个锯齿啮合。第一垫圈环可以具有环形接触部分,它包括与第一挡板相对形成的多个锯齿,并且第一挡板可以包括垫圈环耦接件,它具有与第一垫圈环相对形成的多个锯齿,以便与所述环形接触部分的多个锯齿啮合。
另外,第二垫圈环也可以具有环接触部分,它由与第二挡板相对形成的多个锯齿组成。此时,第二挡板包括垫圈环耦接件,它具有与第二垫圈环相对形成的多个锯齿,以便与环接触部分啮合。
在根据本发明的第一方面的喷头中,第一挡板可以包括在整个表面上有均匀厚度的单一的盘形元件。
在根据本发明第一方面的喷头中,第一挡板可以包括盘形的底板,它具有多个通孔和在它的顶表面的中心提供圆形空间的槽;和盘形的插入板,所述板被插入以在槽内围绕第一挡板的中心轴线旋转,所述盘形插入板具有多个通孔,它们与在底板中形成的多个通孔中的选择的一些通孔连通。
在底板中形成的多个通孔可以包括:在第一位置形成的多个第一通孔,所述第一位置接近第一挡板的中心轴线,在径向与中心轴线分开第一距离,所述第一距离小于插入板的半径;和在第二位置形成的多个第二通孔,所述第二位置接近底板的边,在径向与中心轴线分开第二距离,所述第二距离大于插入板的半径。多个第一通孔根据插入板的旋转距离,与在插入板中形成的多个通孔连通。为了根据插入板的旋转距离改变第一通孔的开放比,可以仅在相对于第一挡板的中心轴线的某些角度范围中选择地形成在插入板中的多个通孔和在底板中的多个第一通孔。
根据本发明的第一方面的喷头可以还包括导向挡板,它相对于第一挡板同轴地设置在第一挡板上,所述导向挡板具有:入口,用于引入通过顶部板供给的反应气体;和多个出口,用于将通过入口引入的反应气体通过多个通道流入到第一间隙。此时,第一间隙的宽度由导向挡板的底和第一挡板的顶表面限定。在导向挡板中形成的多个出口可以形成在径向离开导向挡板的中心轴线预定距离的位置。
在包括导向挡板的所述喷头中,多个通孔可以包括:多个第一通孔,它形成在第一位置上,所述第一位置靠近第一挡板的中心轴线,并且在径向离开中心轴线第一距离;和多个第二通孔,形成在第二位置,所述第二位置接近第一挡板的边,并在径向与中心轴线分开第二距离,所述第二距离大于第一距离。在导向挡板中形成的多个出口形成的位置是在径向与导向挡板中心轴线分开第三距离的位置上,所述第三距离大于第一距离并小于第二距离。最好是,在各多个出口和各多个第一通孔之间的距离小于在各多个出口和各多个第二通孔之间距离。
而且,在包括门挡板的喷头中,间隙控制器包括第一垫圈环,设置在导向挡板和第一挡板之间,用于确定第一间隙的宽度;和第二垫圈环,设置在第一和第二挡板之间,用于确定第二间隙的宽度。
在根据本发明的第一方面的喷头中,所述间隙控制器可以包括第一驱动轴,用于选择地上下移动导向挡板,以确定第一间隙的宽度;和第二驱动轴,用于选择地上下移动第一挡板,以确定第二间隙的宽度。第一驱动轴与第二驱动轴可以同轴地安装。
在根据本发明第一方面的喷头中,间隙控制器可以包括提升机构,使用第一步进式电动机上下移动第一挡板,以确定第二间隙的宽度;和旋转机构,使用第二步进式电动机通过齿轮传动地上下移动导向挡板,以确定第一间隙的宽度。提升机构与旋转机构集成在一起。
提升机构可以包括轴,它延伸通过导向挡板和第一挡板;向外的突缘,设置在所述轴的一端上,上下移动第一挡板,以跟随轴的上下移动。旋转机构包括通过从第二步进式电动机传递的动力可旋转的轴;和外螺丝,形成在所述轴的外周与导向挡板结合处,根据所述轴的旋转提升或下降导向挡板。可以在第一挡板的中心部分形成容纳在轴的端部形成的外突缘的圆形空间。所述圆形空间容纳外突缘,而没有摩擦,以致在旋转机构旋转轴以提升或降低导向挡板时,外突缘的旋转不影响第一挡板。可以在导向挡板的中心部分上形成轴通过的中心孔,并且与轴的螺丝的外螺纹配合的内螺纹形成在中心孔的内壁上。可以在导向挡板上形成与螺丝外螺纹配合的内螺纹,使得在提升机构上下移动轴以提升或下降第一挡板时,导向挡板上下移动跟随轴的移动。喷头还可以包括止动器,用于在轴由旋转机构旋转时阻止导向挡板旋转。
根据本发明的第一方面的喷头可以配置成,使得第一挡板接触第二挡板,以致在第一挡板中形成的多个通孔中的选择的一些通孔与在第二挡板中形成的多个通孔中的选择的一些通孔连通,从而形成对准孔。喷头还可以包括连接到第一挡板的旋转机构,使得在预定的角度范围第一挡板相对于第二挡板旋转。排列在第一挡板中形成的多个通孔,使其根据从第一挡板的中心轴线的半径,具有不同的开放比。排列在第二挡板中的多个通孔,使其根据第一挡板围绕第二挡板的中心轴线旋转的距离,具有不同的开放比。旋转机构改变第一挡板的旋转距离,以便改变对准孔的开放位置。第一挡板可以分成从它的中心轴线的径向延伸的多个扇形区域,每个扇形区域具有,仅在与中心轴线分开选择的半径的预定范围中形成的多个通孔。第二挡板可以分成多个扇形区域,它们在它的中心轴线的径向延伸;并且具有多个通孔的多个扇形区域以规则的间隔排列。在这个结构中,间隙控制器可以包括驱动轴,用于同时上下移动第一和第二挡板,以便确定第一间隙的宽度。第二间隙的宽度可有效地为零。
在根据本发明的第二方面的喷头中,顶板具有引入从外源向反应室供给的反应气体的气体口。具有多个通孔的面板相对处理区域设置。具有多个通孔的第一挡板设置在顶板和面板之间。具有多个通孔的第二挡板设置在第一挡板和面板之间。
另外,第二挡板具有顶表面,它限定在第一和第二挡板之间形成反应气体横向流动通道的间隙。多个压电元件设置在第二挡板上,用于控制通过所述间隙的反应气体量。电源单元向多个压电元件的每一个提供电压。
多个压电元件的每一个可以包括压电层,它根据电压的施加以厚度扩展的方式振动,所述压电层包括两个主表面;第一和第二电极层,各形成在所述压电层的两个主表面之一上;和一个绝缘层,形成在邻近第一挡板的第一电极层上。第二电极层由第二挡板构成。
多个压电元件可以形成在与形成第一挡板的多个通孔的位置相对应的各位置上。
多个压电元件中的每一个,使用根据电源单元供给的电压电平调节的压电元件的厚度膨胀速率,可以控制从第一挡板的多个通孔向间隙流动的反应气体量。另外,利用根据电源单元供给的电压电平调节的压电元件的厚度膨胀速率,多个压电元件中的每一个可以选择地打开或关闭多个通孔。
在与第一挡板的中心轴线分开预定半径的第一位置可以形成第一挡板的多个通孔。所述多个压电元件之一包括环形的元件,它形成在与第二挡板上的第一位置相对应的位置。
根据本发明的第二方面的喷头可以还包括第三挡板,它设置在第二挡板和面挡板之间,所述第三挡板具有多个通孔。第三挡板可以由电阻率足够高以电稳定喷头的高电阻材料制造。
根据本发明的第三方面的喷头中,第一挡板具有多个第一和第二通孔,以便根据从中心轴线的半径,选择地调节从外源来的反应气体量。多个第一通孔与中心轴线分开第一半径,并且多个第二通孔与中心轴线分开第二半径。具有多个通孔的第二挡板设置在第一挡板下,使得提供横向流动通道的间隙形成在第一和第二挡板之间。间隙控制器移动第一和第二挡板中的至少一个,以便调节所述间隙的宽度。
最好是,所述间隙控制器可以包括垫圈环,它具有确定间隙的宽度的在第一和第二挡板之间设置的预定厚度。垫圈环由一个或多个环组成。
垫圈环可以配置成具有环形的接触部分,其中形成多个锯齿。多个锯齿中的每个可以具有与90度中心角的弧的长度相对应的节距。垫圈环的环接触部分可以接触第一挡板的底表面。此时,具有与环接触部分的多个齿啮合的多个齿的垫圈环耦接件形成在第一挡板的底表面边上。第一挡板的垫圈环耦接件可以具有一部分的厚度小于第一挡板的底中心部分的厚度。另外,垫圈环的环接触部分也可以接触第二挡板的顶表面。具有与环接触部分的多个锯齿啮合的多个锯齿的垫圈环耦接件形成在第二挡板的顶表面上。最好是,第二挡板的垫圈环耦接件具有一部分的厚度小于第二挡板的顶部中心部分的厚度。
在根据本发明的第四方面的喷头中,圆形的第一挡板具有多个通孔。具有多个通孔的圆形第二挡板设置在第一挡板下,在第一和第二挡板之间插入具有预定宽度的间隙。多个压电元件设置在第一和第二挡板之间,控制通过在第一挡板中形成的多个通孔流动的反应气体的量。
在第一挡板上形成的多个通孔可以包括多个第一通孔,它们的位置与第一挡板的中心轴线分开第一半径;多个第二通孔,它们的位置与第一挡板的中心轴线分开第二半径,所述第二半径大于第一半径;和多个第三通孔,它们形成的位置与第一挡板的中心轴线分开第三半径,第三半径大于第二半径。
在第二挡板上形成的多个通孔可以包括:第四通孔,它形成的位置与第二挡板的中心轴线相对应;多个第五通孔,它们形成的位置与第二挡板的中心轴线分开第四半径;多个第六通孔,它们的位置与第二挡板的中心轴线分开第五半径,第五半径大于第四半径;和多个第七通孔,它们的位置与第二挡板的中心轴线分开第六半径,第六半径大于第五半径。
多个压电元件的每个可以包括在设置第二挡板上的环元件。最好是,多个压电元件结合到第二挡板上。
多个压电元件可以包括:第一压电元件,它设置在与第一挡板的多个第一通孔的位置相对应的位置的第二挡板上的位置;第二压电元件,设置在与第一底板的多个第二通孔的位置相对应的位置的第二挡板上的位置;和第三压电元件,设置在与第一挡板的多个第三通孔的位置相对应的位置的第二挡板上的位置。
根据本发明第四方面的喷头可以还包括电源单元,向多个压电元件的每个供电压。每个压电元件的厚度膨胀速率,可以根据电源单元供给的电压的变化电平调节。
根据本发明,用间隙控制器使得间隙的宽度选择地降低或增加,从而调节根据在反应室的处理区域中的晶片上的位置供给的反应气体量,并且依据应用类型,使得向晶片上的位置供给的反应气体量是均匀的或不均匀的。因此,根据本发明,较容易地依据在晶片上的位置调节反应气体的分布,以便在半导体的制造过程当中在整个晶片表面上获得最佳的蚀刻速率的均匀性。
而且,本发明使得能够自由地调节供给的反应气体量,从而预先补偿在蚀刻步骤当中在晶片上可能部分地发生的蚀刻速率的均匀性降低。
通过以下详细说明本领域的技术人员容易明了本发明的这些特征和其它特征以及本发明的各个方面。
附图说明
参照附图的以下详细说明会使得本领域的技术人员容易了解本发明的上述特征和优点。
图1是本发明第一实施例的喷头结构示意剖视图;
图2是本发明第一实施例的喷头中设置的面板的顶视图;
图3是本发明第一实施例喷头中设置的第一挡板的顶视图;
图4是本发明第一实施例喷头中设置的第二挡板的顶视图;
图5A-5C表示本发明第一实施例喷头中设置的导向挡板;
图6是在导向挡板、第一挡板和第二挡板中形成的通孔位置之间的关系图;
图7是本发明第一实施例喷头中设置的第三挡板的顶视图;
图8是本发明第一实施例喷头中采用的间隙控制器的例子的环的透视图;
图9A和9B是本发明一实施例喷头中采用的间隙控制器的另一例子的环的图;
图10是本发明一实施例喷头中能够采用的改进的第一挡板的例子的顶视图;
图11是本发明一实施例喷头中能够采用的改进的第二挡板的顶视图;
图12A-12B是使用图9A的环控制第二间隙的宽度的方法图;
图13A-13B是沿图11的13A-13A线取的剖视图;
图14A-14B是在根据本发明的实施例喷头中能够采用的改进的第一挡板的另一个例子的剖视图和透视图;
图15是本发明第二实施例的喷头的主要部件的结构示意图;
图16A-16C是本发明第三实施例的喷头主要部件的结构示意图;
图17是本发明第四实施例喷头的主要部件的结构示意图;
图18是图17的喷头中包括的第一挡板的顶视图;
图19是图17的喷头中包括的第二挡板顶视图;
图20A-20C是在图17的喷头中包括的第一和第二挡板以不同的旋转距离彼此接触时第二挡板的底面视图;
图21是根据本发明第五实施例的喷头的主要部件的结构的剖视图;
图22是在图21的喷头中包括的第一挡板的顶视图;
图23是图21的喷头中包括的第二挡板的顶视图;和
图24是图21的部分“A”的放大图。
具体实施方式
本说明书全文参引2001年7月16日注册的NO.2001-42822韩国专利申请“具有间隙控制器的晶片处理设备的喷头”(Shower Head ofWafer Treatment Apparatus Having Gap Controller”)。
图1示出的剖面图,示意示出用于向反应室内的处理区域供给反应气体的本发明第一实施例的喷头结构。见图1,根据本发明的第一实施例的喷头包括:顶部挡板10,其中形成气体口12,用于向反应室引入从外源供给的反应气体;和一个面板20,它设置在反应室内的处理区域的对面。顶板10形成反应室的上壁。
见图2,图2示出从反应室的处理区域观察时的面板20的视图,在面板20中均匀地形成多个通孔22。
回到图1,第一和第二挡板30和40在顶板10和面板20之间相对于面板20同轴设置。包括第一垫圈环92的间隙控制器设置在第一挡板30的顶表面上,包括第二垫圈环94的间隙控制器设置在第一和第二挡板30和40之间。通过控制第一和第二垫圈环92和94的厚度,第一和第二挡板30和40能够上下移动,从而确定第一和第二挡板30和40的相对位置。下面详细说明第一和第二挡板30和40的移动。
第一挡板30在整个表面上是由具有均匀厚度的单一的盘形元件形成。如图3所示,在第一挡板30中形成多个第一通孔32和多个第二通孔34。多个第一通孔32形成在第一位置,所述第一位置接近第一挡板30的中心轴线31,在径向与中心线31分开第一距离d1。多个第二通孔34形成在第二位置,所述第二位置接近第一挡板30的边,在径向与中心线31分开第二距离d2,d2大于d1。如图4所示,在第二挡板40的整个表面上以均匀的密度形成多个通孔42。第一和第二挡板30和40可以由铝制造。
如图1所示,导向挡板50在第一挡板30上相对于第一挡板30同轴设置。在图5A-5C中示意示出导向挡板50上的结构。见图5A-5C,在导向挡板50的顶表面50a上形成一个入口52,通过它反应气体进入导向挡板50。通过入口52引入到导向挡板50内的反应气体通过多个路径53流到在导向挡板50的底50b上形成的多个出口54。
在图1所示的这样构成的喷头中,产生被引入到反应室的反应气体的第一横向流动路径的第一间隙70,形成在第一挡板30和导向挡板50之间。第一间隙70的宽度由导向挡板50的底50b和第一挡板30的顶表面限制。而且,产生反应气体的第二横向流动路径的第二间隙80形成在第一和第二挡板30和40之间。第二间隙80的宽度由第一挡板30的底和第二挡板40的顶表面限制。
图6示出分别形成在导向挡板50、第一挡板30和第二挡板40上的通孔54、32、34和42之间的位置关系。见图6,在径向与导向挡板的中心线51分开第三距离d3的导向挡板50的位置,形成多个出口54。第三距离d3大于第一距离d1,即,d1是第一通孔32分开导向挡板50中心轴线51的距离,并且小于第二距离d2,即,d2是第二通孔34离开相同轴线51的距离。最好是,在导向挡板50的出口54和第一挡板30的第一通孔32之间的距离小于出口54和第二通孔34之间的距离。这使得能够选择地控制反应气体量,以致流入到第一通孔32的反应气体量大于流入到第二通孔34的反应气体量,或通过调节在导向挡板50和第一挡板30之间的第一间隙70的宽度,使得第一和第二通孔32和34流量保持固定。即,因为出口54较靠近第一通孔32,所以在第一间隙70变得较窄时,较容易从出口54向第一通孔32引入反应气体,以致通过第一通孔32流动的反应气体量大于通过第二通孔34流动的反应气体量。因此,能够向晶片的中部供给比向它的边缘较大的反应气体量。另外,因为第一间隙70的宽度增加,通过出口54向第二通孔34排放并扩散的反应气体量增加,因此,增加通过第二通孔34流动的反应气体量。
为了电稳定所述喷头,第三挡板60设置在第二挡板40和面板20之间。第三挡板60可以由高电阻材料制造,例如碳化硅(SiC),它的电阻率足够地高,以便电稳定所述喷头。如图7所示,在第三挡板60的整个表面上以均匀的密度形成多个通孔62。
第一垫圈环92确定第一间隙70的宽度,它是在导向挡板50和第一挡板30之间的第一挡板30的顶部边上设置的间隙控制器。第二间隙80的宽度由第二垫圈环94确定,它是在第一和第二挡板30和40之间第二挡板40的顶部边上设置的间隙控制器。
图8是环90的透视图。它是第一或第二垫圈环92或94的实施例。第一或第二垫圈环92或94的厚度由环90的厚度t确定。为了将第一和第二间隙70和80的宽度调节到希望的范围,第一或第二垫圈环92和94可以仅包括具有希望厚度的一个环90,或彼此重叠起来到达希望的厚度的具有预定厚度的两个或多个圆形环。
第一挡板30的位置和第一间隙70的宽度可以由垫圈环92的厚度确定。在第一间隙70的宽度降低时,在第一挡板30中,通过第一通孔32流动的反应气体量大于通过第二通孔34流动的反应气体量。相反地,在第一间隙70的宽度增加时,在第一挡板中,通过第二通孔34的反应气体量增加。
而且,在第一和第二挡板30和40之间形成的第二间隙80的宽度由第二垫圈环94的厚度确定。在第二间隙80的宽度减小时,在多个通孔42当中,通过在第一挡板30的第一或第二通孔32或34附近的通孔42的反应气体量增加,从而使得通过多个通孔42的反应气体量变为有选择地不均匀,和在处理区域内的位置有关。相反地,在第二间隙80的宽度增加到足够程度时,则通过多个通孔42的反应气体量可以在整个处理区域变为均匀。
图9A示出具有圆弧接触部分194的环190的透视图,在部分194中形成多个锯齿192,这是第一或第二垫圈环92或94的另一个实施例。图9B示出沿图9A的9B-9B的整个长度取的环190的侧视图。
见图9A和9B,设计锯齿192使其具有与90度中心角(*)的弧长1相同的节距。在环接触部分194上形成的锯齿192的高度约为0.01-0.5毫米。
如果在第一间隙70中的第一垫圈环92由环190组成,在其上形成多个锯齿192的环接触部分194可以设置成与第一挡板30或导向挡板50相对。如果环接触部分194在第一间隙70内与第一挡板30相对,则与锯齿192啮合的垫圈环耦接件形成在由环190构成的第一垫圈环92相对面的第一挡板30的表面上。
图10示出改进的第一挡板130,其中已形成了连接环形接触部分194的垫圈环耦接件132。与环接触部分194的多个锯齿192啮合的多个锯齿(未示出)形成在垫圈环耦接件132上。与环190相同,在垫圈环耦接件132上形成的锯齿被设计成具有与90度的弧长相同的节距。在垫圈环耦接件132上形成的锯齿的高度约为0.01-0.5毫米。
而且,如果在第二间隙80中的第二垫圈环94由环190构成,则在其上形成多个锯齿192的环接触部分194可以设置成与第一或第二挡板30或40相对。如果环形接触部分194在第二间隙80内与第二挡板40相对地设置,则与锯齿192啮合的垫圈环耦接件形成在第二挡板40的与由环190构成的第二垫圈环94相对的表面上。
图11示出改进的挡板140,在其上已形成了与环接触部分194连接的垫圈环耦接件142。与环接触部分194的多个锯齿192啮合的多个锯齿(未示出)形成在垫圈环耦接件142上。与环190相似,在垫圈环耦接件142上形成的锯齿被设计成具有与90度的弧长相同的节距。在垫圈环耦接件142上形成的锯齿的高度约为0.01-0.5毫米。
图12A和12B示出喷头的部分示意图,用于说明被设置在第一挡板30和改进的第二挡板140之间的第二垫圈环94由环190构成时,使用环190控制第二间隙80的宽度的方法。图12A示出第二间隙80具有最小宽度的一个状态。如果在图12A所示的状态,环190在箭头‘a’所示的方向旋转,或改进的第二挡板140在箭头‘b’所示方向旋转,如图12B所示,则第二间隙80的宽度根据它的旋转距离增加Δw。因此,通过控制环190或改进的第二挡板140的旋转距离,将第二间隙80的宽度调节到希望的程度。
图13A和13B示出沿图11的线13A-13A取的剖视图,用于说明改进的第二挡板140的垫圈环耦接件142。见图13A,在相邻的两个锯齿彼此相遇的、改进的第二挡板140上的垫圈环耦接件142的低台阶部分142,比改进的第二挡板140的顶部中心部分140a薄。见图13B,在改进的第二挡板140上,垫圈环耦接件142的每个锯齿的最高齿部分142b比改进的第二挡板140的顶部中心部分140a厚。
为了用环190控制第二间隙80的宽度,如果环190的环接触部分194位于第一挡板30的对面,则在第一挡板30的底边上形成垫圈环耦接件,它具有与与改进的第二挡板140的顶部边缘上形成的垫圈环耦接件142相同的结构。因为该垫圈环耦接件与改进的第二挡板140的垫圈环耦接件142的结构相似,故省略它的详细说明。差别是,如果环190的环接触部分194设置在第一挡板30的对面,则环接触部分194接触第一挡板30的底,并且第一挡板30的垫圈环耦接件的一个部分的厚度小于第一挡板30的底面中心部分的厚度。
虽然已然就利用环190控制第二间隙80的宽度说明了本发明,但是,本领域的技术人员应理解,上述结构或设置也可以以相同的方式用于利用环190控制第一间隙70的宽度。
在上述实施例中,第一挡板30是由具有在整个表面上具有均匀厚度的单一的盘形元件制造的。但是,根据应用类型第一挡板30也可按各种方式构成。
图14A和14B示出改进的第一挡板230的结构。图14A示出沿改进的第一挡板230的中心轴线231取的剖面图。图14B表示出改进的第一挡板230的分解透视图。
见图14A和14B,改进的第一挡板230包括:盘形的底板232,它具有在它的顶表面中心提供圆形空间的槽236;和盘形插入板234,被插入到槽236中,使得它能够在槽236内围绕改进的第一挡板230的中心轴线231旋转。插入板234被连接到用于旋转插入板234预定角度的驱动装置(未示出)。
底板232具有多个第一通孔237,和多个第二通孔238。多个第一通孔237形成在第一位置,所述第一位置靠近改进的第一挡板230的中心轴线231,并且在径向与中心轴线231分开第一距离d1,这个距离d1小于插入板234的半径。多个第二通孔238在第二位置形成,所述第二位置靠近底板232的边缘并且径向离开中心轴线231第二距离d2,这个距离大于插入板234的半径。插入板234具有多个通孔235,它们可以与在底板232上形成的多个第一通孔237连通。为了改变取决于插入板234的旋转距离的第一通孔的237的开放比,仅在相对于改进的第一底板230的中心轴线231的某些角度范围中有选择地形成插入板234中的多个通孔235和底板232中的多个通孔237。即,在插入板234中形成的所有或一些通孔235,可以根据插入板234的旋转距离,与底板232中形成的第一通孔237连通。
通过采用具有上述结构的改进的第一挡板230,依据插入板234的旋转距离改变在底板232中形成的第一通孔237的开放比,从而调节从反应室的处理区域向晶片的中心部分供给的反应气体量。
图15示意示出根据本发明的第二实施例的喷头的主要部分的结构。除了用第一和第二驱动轴292和294作为确定第一和第二间隙70和80的间隙控制器外,第二实施例与第一实施例相似。在图15所示的实施例中,间隙控制器包括第一和第二驱动轴292和294。第一驱动轴292选择地上下移动导向挡板50,以便确定第一间隙70的宽度。第二驱动轴294有选择地上下移动第一底板30,以便确定第二间隙80的宽度。第二驱动轴294相对于第一驱动轴292同轴设置。导向板50或第一挡板30上下移动的距离彼此相对地调节,从而确定第一或第二间隙70或80的宽度。通过考虑从反应室的处理区域向晶片的中心部分或边缘供给的反应气体量确定第一或第二间隙70或80的宽度。用第一和第二驱动轴292和294分别确定第一和第二间隙70和80的宽度,从而自由地调节从处理区域向晶片的中心部分或边缘供给的反应气体量。而且,这能够根据应用类型,使得供给的反应气体量在整个晶片表面上均匀或不均匀。
图16A-16C示意地示出本发明的第三实施例喷头的主要部件的结构。见图16A,使用提升机构392和旋转机构394作为确定第一和第二间隙70和80的间隙控制器。除了提升机构392和旋转机构394外,在此实施例中的喷头部件与上述实施例的结构相同。提升机构392使用第一步进电动机312上下驱动第一挡板30,以便确定第二间隙80的宽度。旋转机构394使用第二步进电动机314,利用齿轮传动,上下驱动导向挡板50。
如图16A所示,提升机构392与旋转机构394集成在一起。第一步进电动机312传输的动力使得提升机构392能够上下移动。提升机构392包括:轴382,它穿过导向挡板50和第一挡板30;向外的突缘384,形成在轴382的一端,用于上下驱动第一挡板30,跟随轴382的上下移动。
旋转机构394包括:轴382,它能够由第二步进电动机314传输的动力旋转;和外螺丝372,它形成在结合导向挡板50的轴382的外圆周的位置上,用于根据轴382的旋转,上下驱动导向挡板50。
如图16B所示,轴382穿过的中心孔350形成在导向挡板50的中心部分。与螺丝372外螺纹配合的内螺纹352形成在中心孔350的内壁上。
如图16C所示,在第一挡板30的中心部分,轴382穿过的中心孔332与容纳轴382端上形成的外突缘384的圆形空间334连通。
用提升机构392调节第二间隙80的宽度。此时,如果轴382由提升机构392上下移动,以便提升或下降第一挡板30,则导向挡板50被提升或下降,跟随轴382的上下移动,因为接合螺丝372外螺纹的内螺纹352形成在导向挡板50中。因此,在轴382上下移动时,第一挡板30和导向挡板50同时上下移动。
用旋转机构394调节第一间隙70的宽度。如果用旋转机构394旋转轴382,则通过轴382的螺丝372的外螺纹和在导向挡板50的中心孔350中形成的内螺纹352的相互作用使得导向挡板50提升或下降。在轴382被旋转机构394这样旋转时,第一挡板30不旋转,而是保持静止,因为容纳向外突缘384的圆形空间334形成在第一挡板30中,使得向外突缘384的旋转不影响第一挡板30。在此,为了在旋转机构394旋转轴382时上下移动导向挡板50,而不是旋转它,在导向挡板50上连接止动器354,防止导向挡板50旋转。
在上述结构中,用提升机构392和旋转机构394分别确定第二和第一间隙80和70的宽度,使得按照希望地调节从处理区域向晶片的中心部分或边缘供给的气体量,或依据应用类型,使得供给的气体量在整个晶片表面上均匀或不均匀。
图17示意示出根据本发明第四实施例的喷头主要件的结构。在图17中,相同的元件用相同的符号表示,并省略详细说明。
在图17的实施例中,第一挡板430接触第二挡板440。因此,在第一和第二挡板430和440之间设置的第二间隙80的宽度实际上为零。设置同时上下驱动第一和第二挡板430和440的驱动轴480,以便确定在导向挡板50和第一挡板430之间形成的第一间隙70的宽度。在驱动轴480上下驱动第二挡板440时,第一挡板430被上下移动,跟随第二挡板440的上下移动,从而通过挡板50的底部和第一挡板430的顶部限制第一间隙70的宽度。导向挡板50的详细结构如上所述。
旋转机构490连接第一挡板430。旋转机构490在预定角度范围内使得第一挡板430相对于第二挡板440能够旋转。具体地,旋转机构490改变第一挡板430的旋转角,以致第一挡板和第二挡板430和440彼此以各种旋转角度接触。
图18示出第一挡板430的顶视图。第一挡板430具有多个通孔432。排列多个通孔432,根据从第一挡板430的中心轴线431算起的半径,具有不同的开放比。
第一挡板430被分成多个扇形区域435a、435b和435c,它们从它的中心轴线431径向延伸。各多个扇形区域435a、435b和435c中的每一个都具有多个通孔432,它们仅形成在离开中心轴线431选择的半径的预定的范围内。即,扇形区域435a具有仅在离开中心轴线431第一半径r1的第一范围436a内形成的多个通孔432。扇形区域435b具有仅在离开中心轴线431第二半径r2的第二范围436b内形成的多个通孔432。扇形区域435c具有仅在离开中心轴线431第三半径r3的第三范围436c内形成的通孔432。
图19示出第二挡板440的顶视图。第二挡板440具有多个通孔442。排列多个通孔442,以便根据第一挡板430围绕第二挡板440的中心轴线441旋转的距离具有不同的开放比。
第二挡板440被分成在它的中心轴线441的径向延伸的多个扇形区域445a、445b和445c。形成在第二挡板440上的多个扇形区域445a、445b和445c每个的大小与在第一挡板430上形成的多个扇形区域435a、435b和435c中的每个相对应。扇形区域445b和445c具有零的开放比(即不开放)。扇形区域445a具有以规则间隔排列的多个通孔442。
因为如图17所示,第一和第二挡板430和440彼此接触,所以在第一挡板430上形成的多个通孔432中的选择的一些通孔与多个通孔442的选择的一些通孔连通,因此形成对准孔。依照第一挡板430被旋转机构490旋转的距离改变各对准孔的开放位置。
图20A-20C示出在第一挡板和第二挡板430和440彼此以不同的旋转距离接触时的第二挡板440的底视图。即,图20A-20C示出,在旋转机构490将第一挡板430旋转在各角度的同时,第一挡板430接触第二挡板440时形成的对准孔的位置的改变。
具体而论,图20A示出的状态是,第一挡板430被旋转机构490旋转预定角度距离,使得第一挡板430的扇形区域435a和第二挡板440的扇形区域445a彼此重叠。此时,在第一挡板430的扇形区域435a当中,仅在第一范围436a中形成的多个通孔432连通在第二挡板440的扇形区域445a中形成的多个通孔442。其结果,仅在第一范围436a中形成对准孔452,在第二挡板440中的其余的通孔442被第一挡板430阻挡。因此,如图20A所示,在第一挡板430接触第二挡板440时,从反应室内的处理区域向晶片上的边缘供给较大量的反应气体。
图20B示出的状态是,第一挡板430被旋转机构490旋转预定角度距离,以致第一挡板430的扇形区域435b和第二挡板440的扇形区域445a彼此重叠。此时,在第一挡板430的扇形区域435a当中,仅在第二范围436b中形成的多个通孔442与在第二挡板440的扇形区域445a中形成的多个通孔442连通。其结果,仅在第二范围436b中形成对准孔452,在第二挡板440中的其余的通孔442被第一挡板430阻挡。因此,如图20B所示,第一挡板430接触第二挡板440时,从反应室内的处理区域向晶片上的中心区域和边缘之间的中间区域供给较大量的反应气体。
图20C示出的状态是,第一挡板430被旋转机构490旋转预定角度距离,以致第一挡板430的扇形区域435c和第二挡板440的扇形区域445a彼此重叠。此时,在第一挡板430的扇形区域435c当中,仅在第三范围436c中形成的多个通孔432与在第二挡板440的扇形区域445a中形成的多个通孔442连通。其结果,仅在第三范围436中形成对准孔452,在第二挡板440中形成的其余的通孔442被第一挡板430阻挡。因此,如图20C所示,第一挡板430接触第二挡板440时,反应室内从处理区域向晶片上的接近中心部分的区域供给较大量的反应气体。
如上所述,通过第一和第二挡板430和440的重叠形成的对准孔452的开放位置,随着由旋转机构490改变的第一挡板的旋转距离而变化。因此,为了调节向处理区域内的晶片上的特定位置供给的反应气体量,使用旋转机构490来控制第一挡板430的旋转角,这样便选择了对准孔452的开放位置。
图21示出本发明第五实施例的喷头的主要件的结构的剖面图。在图21中,相同的元件用相同的符号表示,省略详细说明。
与图1所示的第一实施例相似,图21的第五实施例的喷头包括:第一挡板530,它设置在顶板10和面板20中间;和第二挡板540,设置在第一挡板530和面板30之间。第二挡板540具有顶表面,它限制在第一和第二挡板530和540之间形成反应气体流动通道的第二间隙80。为了控制通过在第一和第二挡板530和540之间形成的第二间隙80的反应气体量,在第二挡板540的顶表面上设置多个压电元件582、584和586。
图22示出第一挡板530的顶视图。如图22所示,第一挡板530具有多个第一、第二和第三通孔532、534和536。多个第一通孔532形成的位置与第一挡板530的中心轴线531离开第一半径R1。多个第二通孔534形成的位置与第一挡板530的中心轴线531离开第二半径R2。R2>R1。多个第三通孔536形成的位置与第一挡板530的中心轴线531离开第三半径R3,R3>R2。
图23是第二挡板540的顶视图。如图23所示,第二挡板540分别具有第四通孔542,和多个第五、第六和第七通孔544、546和548。第四通孔542形成在第二挡板540的中心轴线541的位置上。形成多个第五通孔544的位置与中心轴线541离开第四半径R4。形成多个第六通孔546的位置与中心轴线541离开第五半径R5,R5>R4。形成多个第七通孔548的位置与中心轴线541离开第六半径R6,R6>R5。
多个压电元件582、584和586包括:第一环形压电元件582,设置在第二挡板540上的第四和第五通孔542和544之间;第二压电元件584,设置在第二挡板540上的第五和第六通孔544和546之间;和第三压电元件586,设置在第二挡板540上的第六和第七通孔546和548之间。第一到第三压电元件582、584和586结合到第二挡板540。在第二挡板540上第一压电元件582设置的位置,与形成第一挡板530的多个第一通孔532的位置相对应。在第二挡板540上第二压电元件584设置的位置与形成第一挡板530的多个第二通孔534的位置相对应。在第二挡板540上第三压电元件586设置的位置与形成第一挡板530的多个第三通孔536的位置相对应。
图24示出图21的一个部分“A”的放大图。见图21-24,各多个压电元件582、584和586包括压电层572,它根据施加的电压以厚度扩展的方式振动。压电元件572可以由铅锆酸盐钛酸盐(PZT)、PbTiO3、BaTiO3或聚偏氟乙烯(PVDF)聚合物制造。压电层572在两侧具有分别形成第一和第二电极574和576的两个主面。在邻近第一挡板530的第一电极574上形成绝缘层578。第二电极576由第二挡板540构成。即,第二挡板540另外起第二电极576的作用。因此,压电元件582包括在压电层572和第二挡板540之间的结合表面。此时,第二挡板540最好是由铝制造。
电源单元590的电压施加到压电元件582、584和586上。各压电元件582、584和586的压电层572的厚度膨胀速率可以由电源单元590施加的电压电平控制。压电层572的厚度膨胀速率调节在压电元件582和第一通孔532之间的距离,因而调节从第一挡板530的第一通孔532向第二间隙80流动的反应气体量。因为压电层572的厚度膨胀速率是通过调节电源单元590供给的电压电平控制的,所以供给的电压有选择地打开或关闭第一挡板530的第一通孔532。第一压电元件582的上述结构相似地用于第二和第三压电元件584和586。采用所述的配置不仅能够选择地打开或关闭在第一挡板530上形成的第一到第三通孔532、534和536当中的离开第一挡板530的中心轴线531希望的半径的一些通孔,而且还能够调节通过所述通孔流动的反应气体量。因此,根据在反应室的处理区域内晶片上的特定位置所需的反应气体量,使用压电元件582、584和586,它们各具有随施加电压电平变化的厚度膨胀速率,能够选择地控制通过第一挡板530上形成的第一到第三多个通孔532、534和536流动的反应气体量。
虽然没有示出,但是参照图21说明的结构的喷头还可以包括参照图5A-5C说明过的、在第一挡板530上设置的导向挡板50。此时,在导向挡板50和第一挡板530之间形成与第一间隙70对应的间隙,从而提供反应气体的的横向流动通道。
喷头还可以包括第三挡板60,如参照图7所述地,它设置在第二挡板540和面板20之间。
如参照图21-24所述地,如果用压电元件582、584和586调节在第一和第二挡板530和540之间流动的反应气体量,则根据从电源单元590施加的电压的电平,从喷头的中心的径向调节供给的反应气体量。因此,在改进了调节供给的反应气体量的控制性能的情况下,在此喷头中不需要机械运动。
如上所述,根据本发明的喷头包括间隙控制器,它确定形成在相邻两个挡板之间的反应气体流动通道的间隙的宽度。通过所述间隙控制器有选择地降低或增加间隙宽度,从而调节向在反应室的处理区域中的晶片上特定位置供给的反应气体量,并根据应用类型,使得向晶片上的位置供给的气体量均匀或不均匀。
因此,根据本发明,较容易地依据在晶片上的位置调节反应气体的分布,以便在制造半导体器件的过程中,在整个晶片表面上获得最佳的蚀刻速率均匀度。而且,本发明使得能够自由地调节供给的反应气体量,从而预先对在蚀刻步骤中,在晶片上中可能部分地发生的蚀刻速率均匀性的减低进行补偿。因此,本发明步不仅自由地优化了依赖于在晶片上的位置的图案的均匀度,而且不需要非常多地考虑在整个晶片表面上的均匀度,从而降低在开发半导体器件制造设备中的时间和花费。
已公开了本发明的优选实施例,虽然使用了特定的术语,但是使用它们仅是为了解释原理,而不是限定。因此,本领域的技术人员应理解,不偏离权利要求的本发明的精神和范围可以做出形式和细节中的各种改变。
Claims (30)
1.一种喷头,用于向在反应室内的处理区域供给反应气体,其特征在于:所述喷头包括:
顶板,它具有引入从外源向反应室供给的反应气体的气体口;
面板,它具有多个通孔,相对处理区域设置;
第一挡板,它具有多个通孔,设置在顶板和面板之间;
第二挡板,它具有多个通孔,设置在第一挡板和面板之间,第二挡板具有顶表面,它限定在第一和第二挡板之间形成反应气体横向流动通道的间隙;
多个压电元件,它们设置在第二挡板上,用于控制通过所述间隙的反应气体量;和
电源单元,用于向多个压电晶片的每个供电压。
2.根据权利要求1的喷头,其特征在于:多个压电元件的每个包括:
压电层,它根据施加的电压以厚度扩展的方式振动,所述压电层包括两个主表面;
第一和第二电极层,各形成在所述压电层的两个主表面之一上;和
绝缘层,形成在邻近第一挡板的第一电极层上。
3.根据权利要求2的喷头,其特征在于:制造压电层的材料是由以下组中选择的一种:铅锆酸盐钛酸盐(PZT)、PbTiO3、BaTiO3和聚偏氟乙烯(PVDF)聚合物。
4.根据权利要求2的喷头,其特征在于:第二电极层是由第二挡板构成。
5.根据权利要求1的喷头,其特征在于:多个压电元件形成在与形成第一挡板的多个通孔的位置相对应的各位置上。
6.根据权利要求1的喷头,其特征在于:多个压电元件的每个,使用根据电源单元供给的电压电平调节的压电元件的厚度膨胀速率,控制从第一挡板的多个通孔向间隙流动的反应气体量。
7.根据权利要求1的喷头,其特征在于:多个压电元件的每个,利用根据电源单元供给的电压电平调节的压电元件的厚度膨胀速率,选择地打开或关闭多个通孔。
8.根据权利要求1的喷头,其特征在于:在与第一挡板的中心轴线分开预定半径的第一位置上形成第一挡板的多个通孔,
其中所述多个压电元件之一包括环形的元件,它形成在与第二挡板上的第一位置相对应的位置。
9.根据权利要求1的喷头,其特征在于:第一和第二挡板是由铝制造的。
10.根据权利要求1的喷头,其特征在于:还包括导向挡板,它相对于第一挡板同轴设置在第一挡板上,导向挡板具有与第一挡板相对的底面,
其中在导向挡板和第一挡板之间形成提供反应气体的横向流动通道的上间隙,和
其中导向挡板具有:入口,用于引入通过顶板供给的反应气体;和多个出口,用于使通过入口引入的反应气体通过多个通道流向上间隙。
11.根据权利要求10的喷头,其特征在于:在导向挡板中形成的多个出口的形成位置在径向离开导向挡板的中心轴线预定距离。
12.根据权利要求1的喷头,其特征在于:还包括第三挡板,它设置在第二挡板和面挡板之间,所述第三挡板具有多个通孔。
13.根据权利要求12的喷头,其特征在于:第三挡板由电阻率足够高以电稳定喷头的高电阻材料制造。
14.根据权利要求13的喷头,其特征在于:第三挡板是由碳化硅(SiC)制造的。
15.一种喷头,其特征在于:包括:
圆形的第一挡板,它具有多个通孔;
圆形的第二挡板,它具有多个通孔,设置在第一挡板下,在第一和第二挡板之间插入预定宽度的间隙;
多个压电元件,它们设置在第一和第二挡板之间,用于控制通过第一挡板中形成的多个通孔流动的反应气体的量。
16.根据权利要求15的喷头,其特征在于:在第一挡板上形成的多个通孔包括:
多个第一通孔,它们的形成位置与第一挡板的中心轴线分开第一半径;
多个第二通孔,它们的形成位置与第一挡板的中心轴线分开第二半径,所述第二半径大于第一半径;和
多个第三通孔,它们形成的形成位置与第一挡板的中心轴线分开第三半径,第三半径大于第二半径。
17.根据权利要求16的喷头,其特征在于:在第二挡板上形成的多个通孔包括:
第四通孔,它的形成位置与第二挡板的中心轴线的相对应;
多个第五通孔,它们的形成位置与第二挡板的中心轴线分开第四半径;
多个第六通孔,它们的形成位置与第二挡板的中心轴线分开第五半径,第五半径大于第四半径;和
多个第七通孔,它们的形成位置与第二挡板的中心轴线分开第六半径,第六半径大于第五半径。
18.根据权利要求15的喷头,其特征在于:多个压电元件的每个包括在第二挡板上设置的环元件。
19.根据权利要求15的喷头,其特征在于:多个压电元件结合到第二挡板上。
20.根据权利要求16的喷头,其特征在于:多个压电元件包括:
第一压电元件,设置在第二挡板的与形成第一挡板的多个第一通孔的位置相对应的位置上;
第二压电元件,设置在第二挡板的与形成第一挡板的多个第二通孔的位置相对应的位置上;和
第三压电元件,设置在第二挡板的与形成第一挡板的多个第三通孔的位置相对应的位置上。
21.根据权利要求15的喷头,其特征在于:还包括电源单元,向多个压电元件的每个供电压。
22.根据权利要求20的喷头,其特征在于:还包括电源单元,用于向第一、第二和第三压电元件的每个供电压,
其中电源单元向第一、第二和第三压电元件的每个供给不同电平的电压。
23.根据权利要求20的喷头,其特征在于:还包括向第一压电元件供给电压的电源单元,
其中第一压电元件具有的厚度膨胀速率,能够根据电源单元供给的电压电平调节,以便控制在第一通孔和第一压电元件之间的距离。
24.根据权利要求20的喷头,其特征在于:还包括向第二压电元件供给电压的电源单元,
其中第二压电元件具有的厚度膨胀速率,能够根据电源单元供给的电压电平调节,以便控制在多个第二通孔和第二压电元件之间的距离。
25.根据权利要求20的喷头,其特征在于:还包括向第三压电元件供给电压的电源单元,
其中第三压电元件具有的厚度膨胀速率,能够根据电源单元供给的电压电平调节,以便控制在多个第三通孔和第三压电元件之间的距离。
26.根据权利要求15的喷头,其特征在于:第一和第二挡板是由铝制造。
27.根据权利要求15的喷头,其特征在于:多个压电元件的每个包括:
压电层,它根据施加的电压,以厚度扩展方式振动,所述压电层具有两个主表面;
第一和第二电极层,每个电极层形成在压电层的两个主表面之一上;和
绝缘层,它形成在与第一挡板相邻的第一电极层上。
28.根据权利要求27的喷头,其特征在于:制造压电层的材料是由以下组中选择的一种:铅锆酸盐钛酸盐(PZT)、PbTiO3、BaTiO3和聚偏氟乙烯(PVDF)聚合物。
29.根据权利要求27的喷头,其特征在于:第二电极层是由第二挡板构成。
30.根据权利要求29的喷头,其特征在于:多个压电元件的每个还包括在压电层和第二挡板之间的结合表面。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR42822/2001 | 2001-07-16 | ||
KR10-2001-0042822A KR100400044B1 (ko) | 2001-07-16 | 2001-07-16 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB021268762A Division CN1265441C (zh) | 2001-07-16 | 2002-07-16 | 具有间隙控制器的晶片处理设备的喷头 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1781608A true CN1781608A (zh) | 2006-06-07 |
CN100435274C CN100435274C (zh) | 2008-11-19 |
Family
ID=36772450
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB021268762A Expired - Fee Related CN1265441C (zh) | 2001-07-16 | 2002-07-16 | 具有间隙控制器的晶片处理设备的喷头 |
CNB2005101135327A Expired - Fee Related CN100435274C (zh) | 2001-07-16 | 2002-07-16 | 具有间隙控制器的晶片处理设备的喷头 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB021268762A Expired - Fee Related CN1265441C (zh) | 2001-07-16 | 2002-07-16 | 具有间隙控制器的晶片处理设备的喷头 |
Country Status (6)
Country | Link |
---|---|
US (2) | US6872258B2 (zh) |
JP (2) | JP4246450B2 (zh) |
KR (1) | KR100400044B1 (zh) |
CN (2) | CN1265441C (zh) |
DE (1) | DE10232206B4 (zh) |
TW (1) | TW565903B (zh) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102473610A (zh) * | 2009-07-08 | 2012-05-23 | 株式会社Eugene科技 | 基板加工设备及用于选择性地插入扩散板的基板加工方法 |
CN107385415A (zh) * | 2016-04-13 | 2017-11-24 | 朗姆研究公司 | 挡板和喷头组件及相应的制造方法 |
CN109312461A (zh) * | 2016-03-03 | 2019-02-05 | 核心技术株式会社 | 等离子体处理装置和等离子体处理用反应容器的构造 |
CN110066988A (zh) * | 2018-01-24 | 2019-07-30 | 三星电子株式会社 | 用于设计并制造喷头的设备、方法和系统 |
CN110323116A (zh) * | 2018-03-29 | 2019-10-11 | 三星电子株式会社 | 气体分布板及包括其的等离子体处理设备 |
CN112689376A (zh) * | 2021-03-15 | 2021-04-20 | 四川大学 | 一种采用压电材料的微波等离子体射流激发装置 |
Families Citing this family (473)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1361604B1 (en) * | 2001-01-22 | 2009-03-18 | Tokyo Electron Limited | Device and method for treatment |
US7138336B2 (en) * | 2001-08-06 | 2006-11-21 | Asm Genitech Korea Ltd. | Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof |
US6820570B2 (en) * | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
KR100760291B1 (ko) * | 2001-11-08 | 2007-09-19 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
KR100426816B1 (ko) * | 2002-07-31 | 2004-04-14 | 삼성전자주식회사 | 진공압조절장치가 개선된 플라즈마 처리장치 |
US20040031565A1 (en) * | 2002-08-13 | 2004-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution plate for processing chamber |
US7252738B2 (en) * | 2002-09-20 | 2007-08-07 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US20040082251A1 (en) * | 2002-10-29 | 2004-04-29 | Applied Materials, Inc. | Apparatus for adjustable gas distribution for semiconductor substrate processing |
US7316761B2 (en) * | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
KR100526928B1 (ko) * | 2003-07-16 | 2005-11-09 | 삼성전자주식회사 | 식각장치 |
US20050183824A1 (en) * | 2004-02-25 | 2005-08-25 | Advanced Display Process Engineering Co., Ltd. | Apparatus for manufacturing flat-panel display |
US20050241767A1 (en) * | 2004-04-30 | 2005-11-03 | Ferris David S | Multi-piece baffle plate assembly for a plasma processing system |
KR100562306B1 (ko) * | 2004-09-13 | 2006-03-22 | 동부아남반도체 주식회사 | 화학기계적 연마장치 |
US20060138925A1 (en) * | 2004-12-28 | 2006-06-29 | Yi-Fang Cheng | Plasma processing device having a ring-shaped air chamber for heat dissipation |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
WO2006106764A1 (ja) * | 2005-03-30 | 2006-10-12 | Matsushita Electric Industrial Co., Ltd. | 伝送線路 |
US20090181526A1 (en) * | 2005-03-30 | 2009-07-16 | Tomohiro Okumura | Plasma Doping Method and Apparatus |
KR101153161B1 (ko) * | 2005-04-01 | 2012-06-18 | 주성엔지니어링(주) | 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치 |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
JP2007042951A (ja) * | 2005-08-04 | 2007-02-15 | Tokyo Electron Ltd | プラズマ処理装置 |
KR100725613B1 (ko) | 2005-10-27 | 2007-06-08 | 주식회사 래디언테크 | 배플 및 이를 구비한 플라즈마 처리 장치 |
CN101448977B (zh) * | 2005-11-04 | 2010-12-15 | 应用材料股份有限公司 | 用于等离子体增强的原子层沉积的设备和工艺 |
CN100416756C (zh) * | 2005-12-05 | 2008-09-03 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体刻蚀装置 |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
US20070227666A1 (en) * | 2006-03-30 | 2007-10-04 | Tokyo Electron Limited | Plasma processing apparatus |
KR100768673B1 (ko) * | 2006-05-30 | 2007-10-22 | (주)위지트 | 샤워헤드 |
KR101217522B1 (ko) * | 2006-09-07 | 2013-01-02 | 주식회사 원익아이피에스 | 유량조절블럭을 구비한 샤워헤드 |
US20080087641A1 (en) * | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Components for a plasma processing apparatus |
CN101179005B (zh) * | 2006-11-10 | 2010-10-27 | Tes股份有限公司 | 排气系统及使用此系统制造薄膜的半导体制造装置与方法 |
US11136667B2 (en) | 2007-01-08 | 2021-10-05 | Eastman Kodak Company | Deposition system and method using a delivery head separated from a substrate by gas pressure |
US7767028B2 (en) * | 2007-03-14 | 2010-08-03 | Lam Research Corporation | Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses |
US20080241387A1 (en) * | 2007-03-29 | 2008-10-02 | Asm International N.V. | Atomic layer deposition reactor |
US20090035946A1 (en) * | 2007-07-31 | 2009-02-05 | Asm International N.V. | In situ deposition of different metal-containing films using cyclopentadienyl metal precursors |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
KR100901118B1 (ko) * | 2007-08-24 | 2009-06-08 | 주식회사 케이씨텍 | 박막 증착장치의 분사유닛 |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US9059223B2 (en) * | 2007-12-12 | 2015-06-16 | Intermolecular, Inc. | Modular flow cell and adjustment system |
US8257548B2 (en) * | 2008-02-08 | 2012-09-04 | Lam Research Corporation | Electrode orientation and parallelism adjustment mechanism for plasma processing systems |
US7879183B2 (en) * | 2008-02-27 | 2011-02-01 | Applied Materials, Inc. | Apparatus and method for front side protection during backside cleaning |
JP4956469B2 (ja) * | 2008-03-24 | 2012-06-20 | 株式会社ニューフレアテクノロジー | 半導体製造装置 |
US8252114B2 (en) * | 2008-03-28 | 2012-08-28 | Tokyo Electron Limited | Gas distribution system and method for distributing process gas in a processing system |
US8383525B2 (en) * | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8206506B2 (en) * | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
WO2010051233A2 (en) * | 2008-10-31 | 2010-05-06 | Applied Materials, Inc. | Adjustable gas distribution apparatus |
JP5150461B2 (ja) * | 2008-11-14 | 2013-02-20 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8402918B2 (en) * | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
KR101598332B1 (ko) * | 2009-07-15 | 2016-03-14 | 어플라이드 머티어리얼스, 인코포레이티드 | Cvd 챔버의 유동 제어 피쳐 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
TWM412457U (en) * | 2009-09-18 | 2011-09-21 | Lam Res Corp | Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly |
JP5728482B2 (ja) | 2009-09-25 | 2015-06-03 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置 |
KR200464037Y1 (ko) * | 2009-10-13 | 2012-12-07 | 램 리써치 코포레이션 | 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극 |
US20110097489A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
CN102051595B (zh) * | 2009-10-29 | 2013-04-03 | 无锡华润上华半导体有限公司 | 化学气相沉积装置及其喷头 |
DE102010000388A1 (de) * | 2010-02-11 | 2011-08-11 | Aixtron Ag, 52134 | Gaseinlassorgan mit Prallplattenanordnung |
CN102193565A (zh) * | 2010-03-19 | 2011-09-21 | 上海微电子装备有限公司 | 气浴控温装置及方法 |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US8845806B2 (en) * | 2010-10-22 | 2014-09-30 | Asm Japan K.K. | Shower plate having different aperture dimensions and/or distributions |
KR101937115B1 (ko) | 2011-03-04 | 2019-01-09 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
JP5902896B2 (ja) * | 2011-07-08 | 2016-04-13 | 東京エレクトロン株式会社 | 基板処理装置 |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN102931050B (zh) * | 2011-08-10 | 2017-10-31 | 中国科学院微电子研究所 | 一种新型的常压等离子体自由基清洗喷枪进气方式 |
KR101879175B1 (ko) * | 2011-10-20 | 2018-08-20 | 삼성전자주식회사 | 화학 기상 증착 장치 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8916480B2 (en) * | 2011-12-07 | 2014-12-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Chemical vapor deposition film profile uniformity control |
WO2013094200A1 (ja) * | 2011-12-22 | 2013-06-27 | キヤノンアネルバ株式会社 | 基板処理装置 |
CN103184432B (zh) * | 2011-12-30 | 2016-01-06 | 中芯国际集成电路制造(北京)有限公司 | 注入装置及处理设备 |
CN103198993B (zh) * | 2012-01-09 | 2015-08-12 | 中微半导体设备(上海)有限公司 | 一种用于等离子体处理装置的气体喷淋头 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
WO2013183437A1 (ja) * | 2012-06-08 | 2013-12-12 | 東京エレクトロン株式会社 | ガス処理方法 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP2014082354A (ja) * | 2012-10-17 | 2014-05-08 | Hitachi High-Technologies Corp | プラズマ処理装置 |
DE102012111218A1 (de) * | 2012-11-21 | 2014-05-22 | Emdeoled Gmbh | Materialabgabekopf, Materialabgabeeinrichtung und Verfahren |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140272135A1 (en) * | 2013-03-12 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company Limited | Deposition injection masking |
US9353439B2 (en) * | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
KR102156795B1 (ko) * | 2013-05-15 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
KR101505948B1 (ko) * | 2013-12-16 | 2015-03-26 | 피에스케이 주식회사 | 배플 어셈블리 및 이를 가지는 기판 처리 장치 |
KR101560623B1 (ko) * | 2014-01-03 | 2015-10-15 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
CN104195525B (zh) * | 2014-08-15 | 2016-08-24 | 沈阳拓荆科技有限公司 | 两种气体独立均匀喷气喷淋装置 |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
JP1545606S (zh) * | 2015-08-26 | 2016-03-14 | ||
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN105091506A (zh) * | 2015-08-31 | 2015-11-25 | 武汉华星光电技术有限公司 | 真空干燥装置 |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
JP6868616B2 (ja) | 2015-10-08 | 2021-05-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 背面でのプラズマ点火が低減されたシャワーヘッド |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR102462931B1 (ko) | 2015-10-30 | 2022-11-04 | 삼성전자주식회사 | 가스 공급 유닛 및 기판 처리 장치 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10533252B2 (en) * | 2016-03-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Showerhead, semicondcutor processing apparatus having the same and semiconductor process |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10403476B2 (en) * | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
KR20180053491A (ko) * | 2016-11-11 | 2018-05-23 | 삼성전자주식회사 | 가스 분사 장치 및 이를 포함하는 기판 처리 장치 |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10607817B2 (en) * | 2016-11-18 | 2020-03-31 | Applied Materials, Inc. | Thermal repeatability and in-situ showerhead temperature monitoring |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP2018148143A (ja) * | 2017-03-08 | 2018-09-20 | 株式会社東芝 | シャワープレート、処理装置、及び吐出方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11383251B2 (en) | 2017-05-31 | 2022-07-12 | Shl Medical Ag | Nozzle device and a method of manufacturing the same |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10529543B2 (en) * | 2017-11-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch process with rotatable shower head |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
SG11202010210VA (en) * | 2018-05-03 | 2020-11-27 | Applied Materials Inc | Universal adjustable blocker plate for flow distribution tuning |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR102516885B1 (ko) * | 2018-05-10 | 2023-03-30 | 삼성전자주식회사 | 증착 장비 및 이를 이용한 반도체 장치 제조 방법 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
KR102110232B1 (ko) * | 2018-09-18 | 2020-05-13 | 주식회사 테스 | 가스공급유닛 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
JP7129307B2 (ja) * | 2018-10-10 | 2022-09-01 | 東京エレクトロン株式会社 | 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
CN113924386A (zh) * | 2019-05-15 | 2022-01-11 | 应用材料公司 | 用于处理系统的动态多区流动控制 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103953A (ko) * | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 가스 분배 어셈블리 및 이를 사용하는 방법 |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
CN111321463B (zh) * | 2020-03-06 | 2021-10-15 | 北京北方华创微电子装备有限公司 | 反应腔室 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
CN114068272B (zh) * | 2020-07-31 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 一种气体流量调节装置和调节方法及等离子体处理装置 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
EP4268271A1 (en) * | 2020-12-22 | 2023-11-01 | Mattson Technology, Inc. | Workpiece processing apparatus with gas showerhead assembly |
CN114686853B (zh) * | 2020-12-31 | 2023-09-01 | 拓荆科技股份有限公司 | 可控气流分布的气体喷头 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11769659B2 (en) * | 2021-08-27 | 2023-09-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Showerhead assembly and method of servicing assembly for semiconductor manufacturing |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3809050A (en) * | 1971-01-13 | 1974-05-07 | Cogar Corp | Mounting block for semiconductor wafers |
DE2610556C2 (de) * | 1976-03-12 | 1978-02-02 | Siemens AG, 1000 Berlin und 8000 München | Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt |
JPS61167364A (ja) * | 1985-01-18 | 1986-07-29 | Diesel Kiki Co Ltd | 高速電磁弁 |
US4820371A (en) * | 1987-12-15 | 1989-04-11 | Texas Instruments Incorporated | Apertured ring for exhausting plasma reactor gases |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
DE69213340T2 (de) * | 1991-05-30 | 1997-03-27 | Hitachi Ltd | Ventil und seine Verwendung in einer Vorrichtung hergestellt aus Halbleitermaterial |
US5332442A (en) * | 1991-11-15 | 1994-07-26 | Tokyo Electron Kabushiki Kaisha | Surface processing apparatus |
US5336324A (en) * | 1991-12-04 | 1994-08-09 | Emcore Corporation | Apparatus for depositing a coating on a substrate |
US5432936A (en) * | 1992-04-09 | 1995-07-11 | Microsoft Corporation | Method for implementing pointers to members in a compiler for an object-oriented programming language |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
US5589002A (en) * | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
EP0756593B1 (de) * | 1994-04-20 | 2000-09-20 | Lonza Ag | Verfahren zur herstellung von 2-piperazincarbonsäurederivaten |
US5441568A (en) * | 1994-07-15 | 1995-08-15 | Applied Materials, Inc. | Exhaust baffle for uniform gas flow pattern |
WO1996015285A1 (en) * | 1994-11-16 | 1996-05-23 | The B.F. Goodrich Company | Pressure gradient cvi/cvd apparatus, process and product |
US5480678A (en) * | 1994-11-16 | 1996-01-02 | The B. F. Goodrich Company | Apparatus for use with CVI/CVD processes |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
JP3310171B2 (ja) * | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
US6062256A (en) * | 1997-02-11 | 2000-05-16 | Engineering Measurements Company | Micro mass flow control apparatus and method |
US5968374A (en) * | 1997-03-20 | 1999-10-19 | Lam Research Corporation | Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber |
JPH1180964A (ja) * | 1997-07-07 | 1999-03-26 | Canon Inc | プラズマcvd法による堆積膜形成装置 |
TW432578B (en) * | 1997-09-18 | 2001-05-01 | Tokyo Electron Ltd | A vacuum processing apparatus |
US6110556A (en) * | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
US6126753A (en) * | 1998-05-13 | 2000-10-03 | Tokyo Electron Limited | Single-substrate-processing CVD apparatus and method |
US6086677A (en) * | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6454864B2 (en) * | 1999-06-14 | 2002-09-24 | Cutek Research, Inc. | Two-piece chuck |
US6123775A (en) * | 1999-06-30 | 2000-09-26 | Lam Research Corporation | Reaction chamber component having improved temperature uniformity |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
CN2399574Y (zh) * | 1999-11-17 | 2000-10-04 | 吴忠仪表股份有限公司 | 压电双晶体阀 |
KR100338955B1 (ko) * | 1999-12-31 | 2002-05-31 | 박종섭 | 반도체의 건식각 공정용 가스 공급 장치 |
WO2001075188A2 (en) * | 2000-03-30 | 2001-10-11 | Tokyo Electron Limited | Method of and apparatus for gas injection |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
-
2001
- 2001-07-16 KR KR10-2001-0042822A patent/KR100400044B1/ko not_active IP Right Cessation
-
2002
- 2002-06-25 US US10/178,757 patent/US6872258B2/en not_active Expired - Fee Related
- 2002-07-16 TW TW091115836A patent/TW565903B/zh not_active IP Right Cessation
- 2002-07-16 CN CNB021268762A patent/CN1265441C/zh not_active Expired - Fee Related
- 2002-07-16 DE DE10232206A patent/DE10232206B4/de not_active Expired - Fee Related
- 2002-07-16 CN CNB2005101135327A patent/CN100435274C/zh not_active Expired - Fee Related
- 2002-07-16 JP JP2002206765A patent/JP4246450B2/ja not_active Expired - Fee Related
-
2005
- 2005-02-15 US US11/057,752 patent/US20050145338A1/en not_active Abandoned
-
2008
- 2008-09-16 JP JP2008236763A patent/JP2008300888A/ja not_active Withdrawn
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102473610A (zh) * | 2009-07-08 | 2012-05-23 | 株式会社Eugene科技 | 基板加工设备及用于选择性地插入扩散板的基板加工方法 |
CN102473610B (zh) * | 2009-07-08 | 2014-12-10 | 株式会社Eugene科技 | 基板加工设备及用于选择性地插入扩散板的基板加工方法 |
CN109312461A (zh) * | 2016-03-03 | 2019-02-05 | 核心技术株式会社 | 等离子体处理装置和等离子体处理用反应容器的构造 |
CN109312461B (zh) * | 2016-03-03 | 2021-07-13 | 核心技术株式会社 | 等离子体处理装置和等离子体处理用反应容器的构造 |
US11225718B2 (en) | 2016-03-03 | 2022-01-18 | Core Technology, Inc. | Plasma treatment device and structure of reaction vessel for plasma treatment |
CN107385415A (zh) * | 2016-04-13 | 2017-11-24 | 朗姆研究公司 | 挡板和喷头组件及相应的制造方法 |
CN107385415B (zh) * | 2016-04-13 | 2021-09-07 | 朗姆研究公司 | 挡板和喷头组件及相应的制造方法 |
CN110066988A (zh) * | 2018-01-24 | 2019-07-30 | 三星电子株式会社 | 用于设计并制造喷头的设备、方法和系统 |
CN110066988B (zh) * | 2018-01-24 | 2022-11-25 | 三星电子株式会社 | 用于设计并制造喷头的设备、方法和系统 |
CN110323116A (zh) * | 2018-03-29 | 2019-10-11 | 三星电子株式会社 | 气体分布板及包括其的等离子体处理设备 |
CN112689376A (zh) * | 2021-03-15 | 2021-04-20 | 四川大学 | 一种采用压电材料的微波等离子体射流激发装置 |
CN112689376B (zh) * | 2021-03-15 | 2021-06-18 | 四川大学 | 一种采用压电材料的微波等离子体射流激发装置 |
Also Published As
Publication number | Publication date |
---|---|
JP2003051489A (ja) | 2003-02-21 |
KR100400044B1 (ko) | 2003-09-29 |
US20050145338A1 (en) | 2005-07-07 |
JP4246450B2 (ja) | 2009-04-02 |
DE10232206A1 (de) | 2003-02-27 |
TW565903B (en) | 2003-12-11 |
DE10232206B4 (de) | 2006-02-09 |
US20030010452A1 (en) | 2003-01-16 |
CN100435274C (zh) | 2008-11-19 |
JP2008300888A (ja) | 2008-12-11 |
CN1397991A (zh) | 2003-02-19 |
KR20030008068A (ko) | 2003-01-24 |
US6872258B2 (en) | 2005-03-29 |
CN1265441C (zh) | 2006-07-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1265441C (zh) | 具有间隙控制器的晶片处理设备的喷头 | |
US11047059B2 (en) | Dynamic modulation of cross flow manifold during elecroplating | |
CN1060233C (zh) | 生长具有原子层单位平整度和界面突变的化合物半导体层的气相生长设备和方法 | |
TWI543253B (zh) | 用於製造半導體裝置之注入元件及包含它之電漿加工設備 | |
CN1310336C (zh) | 半导体器件及其制造方法 | |
CN101076878A (zh) | 薄膜沉积设备及方法 | |
JP6231167B2 (ja) | 基板処理装置 | |
US10233556B2 (en) | Dynamic modulation of cross flow manifold during electroplating | |
CN1582488A (zh) | 处理装置和处理方法 | |
US20170342590A1 (en) | Modulation of applied current during sealed rotational electroplating | |
CN1584110A (zh) | 薄膜制造装置以及制造方法 | |
CN1501127A (zh) | 液晶显示装置的基板粘合机 | |
CN1417374A (zh) | 薄膜成形设备和方法 | |
CN1865496A (zh) | 基板处理装置及基板处理方法 | |
CN1235267C (zh) | 处理溶液施用方法 | |
TW202320257A (zh) | 蓮蓬頭及包括其的基板處理裝置 | |
CN1534737A (zh) | 干式蚀刻装置及干式蚀刻方法 | |
JP2021532265A (ja) | 堆積用のデュアルガス供給シャワーヘッド | |
JP2005175242A (ja) | 薄膜作製装置及び薄膜作製方法 | |
JP3149701U (ja) | 半導体処理装置用シャワーヘッド | |
KR101573453B1 (ko) | 기판 처리 장치 | |
KR101183616B1 (ko) | 기판처리장치 | |
KR102406319B1 (ko) | 열 관리부를 구비한 기판 처리 장치 | |
US20110177233A1 (en) | Apparatus for providing material on a deposition surface | |
US11846019B2 (en) | One-body shadow frame support with flow controller |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20081119 Termination date: 20100716 |