DE10232206B4 - Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung - Google Patents

Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung Download PDF

Info

Publication number
DE10232206B4
DE10232206B4 DE10232206A DE10232206A DE10232206B4 DE 10232206 B4 DE10232206 B4 DE 10232206B4 DE 10232206 A DE10232206 A DE 10232206A DE 10232206 A DE10232206 A DE 10232206A DE 10232206 B4 DE10232206 B4 DE 10232206B4
Authority
DE
Germany
Prior art keywords
baffle plate
spray head
head according
holes
spacer ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10232206A
Other languages
English (en)
Other versions
DE10232206A1 (de
Inventor
Jong-chul Suwon Park
Dong-Hyun Kim
O-Ik Kwon
Hye-jin Anyang Jo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10232206A1 publication Critical patent/DE10232206A1/de
Application granted granted Critical
Publication of DE10232206B4 publication Critical patent/DE10232206B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Nozzles (AREA)

Abstract

Sprühkopf zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer während der Herstellung einer Halbleitervorrichtung, wobei der Sprühkopf aufweist:
eine obere Platte (10) mit einem Gasanschluß (12) zum Einbringen des von einer äußeren Quelle zugeführten Reaktionsgases in die Reaktionskammer;
eine Frontplatte (20), die dem Prozeßbereich gegenüberliegend angeordnet ist, wobei die Frontplatte (20) eine Vielzahl von Durchgangsöffnungen aufweist;
eine erste Prallplatte (30, 130, 230, 430, 530) mit einer Vielzahl von Durchgangsöffnungen, wobei die erste Prallplatte (30, 130, 230, 430, 530) zwischen der oberen Platte (10) und der Frontplatte (20) so angeordnet ist, daß sie in der Lage ist, sich nach oben oder unten zu bewegen, wobei die erste Prallplatte (30, 130, 230, 430, 530) eine obere Oberfläche aufweist, die einen ersten Zwischenraum (70) zum Ausbilden eines ersten lateralen Strömungskanals für das Reaktionsgas definiert;
eine zweite Prallplatte (40, 140, 440, 540) mit einer Vielzahl von Durchgangsöffnungen, wobei...

Description

  • Die vorliegende Erfindung betrifft eine Vorrichtung zur Herstellung einer Halbleitervorrichtung. Insbesondere betrifft die vorliegende Erfindung eine Sprühvorrichtung bzw. einen Sprühkopf, der zum Zuführen eines Reaktionsgases, das Plasma verwendet, zu einer Reaktionskammer in einer Wafer-Behandlungsvorrichtung vorgesehen ist.
  • US 59 68 374 A offentbart ein Verfahren in einer Plasma-Prozesskammer mit variablem Spalt für eine kontollierte Entfernung von zumindest einem Teil einer oberen Kruste einer photoempfindlichen Schicht, welche sich über einem Substrat befindet.
  • US 62 45 192 B1 offenbart ein Gasverteilungssystem zur gleichförmigen oder nicht-gleichförmigen Verteilung von Gas über einer Oberfläche eines Halbleitersubstrats. Das Gasverteilungssystem enthält eine Trägerplatte und einen Sprühkopf, welche aneinander befestigt sind, um dazwischen eine Gasverteilungskammer zu definieren. Eine Prallplattenanordnung einschließlich einer oder mehrerer Prallplatten befindet sich innerhalb der Gasverteilungskammer. Die Prallplattenanordnung enthält eine erste Gasversorgung, welche einen mittleren Abschnitt der Prallplattenkammer mit Prozessgas versorgt, sowie eine zweite Gasversorgung, welche einen peripheren Bereich der Prallplattenkammer mit einem zweiten Prozessgas versorgt. Da der Gasdruck an Stellen, welche sich näher an den Öffnungen der ersten und zweiten Gasversorgungen höher ist, kann der Gasdruck an der Rückseite des Sprühkopfes gleichförmiger als im Falle einer Einzelgasversorgung gestaltet werden.
  • US 62 07 006 B1 offenbart eine Vaccuumprozessvorrichtung, in welcher ein Zielobjekt in einem festgelegten Vaccuumzustand einem festgelegten Prozess unterzogen wird, sowie ein in der Prozesskammer befindliches Montagegerüst zur Befestigung des Zielobjekts daran, und ein dem Montagegerüst gegenüberliegender Sprühkopf zur Zuführung von Prozessgas in die Prozesskammer.
  • Da die Integrationsdichte von Halbleitervorrichtungen zunimmt, verringert sich eine Design-Regel und nimmt der Durchmesser eines Wafers zu. Große Wafer werden häufig vielen Schritten für die Herstellung von Halbleitervorrichtungen unterzogen, einschließlich beispielsweise Abscheidungsverfahren zum Abscheiden von Materialschichten auf einem Wafer oder Ätzverfahren zum Ätzen von Materialschichten auf dem Wafer mit einem vorbestimmten Muster durch Zuführen eines Reaktionsgases von dem oberen Abschnitt einer Reaktionskammer zum Abscheiden bzw. Ätzen auf bzw. von dem Wafer. Da insbesondere während der Ätzverfahren die Wafergrößen zunehmen, ist es wichtig, die Gleichförmigkeit bei den Ätzraten über der gesamten Waferoberfläche zu optimieren.
  • Bei einer typischen Ätzvorrichtung wird ein Reaktionsgas, welches zum Ätzen erforderlich ist, in eine Reaktionskammer durch ein Downstream-Verfahren eingebracht, wobei das Gas von einer oberen Elektrode zugeführt wird und in die Peripherie einer unteren Elektrode gepumpt wird. Um das Reaktionsgas gleichmäßig in der Reaktionskammer zu verteilen, ist eine Sprühvorrichtung bzw. ein Sprühkopf, der zahlreiche Ablenk- bzw. Prallplatten enthält, von denen jede eine Vielzahl von Durchgangsöffnungen aufweist, in dem oberen Teil der Reaktionskammer installiert. Bei einem herkömmlichen Sprühkopf sind die jeweiligen Positionen der Durchgangsöffnungen und ein Zwischenraum zwischen den Prallplatten fest eingestellt.
  • Die Funktion der Prallplatten, die in dem Sprühkopf vorgesehen sind, ist die Steuerung der Verteilung des Gasstroms innerhalb einer oberen Elektrode, d.h., eine Gasverteilungsplatte (GDP) der Ätzvorrichtung. Typischerweise wird eine Gasverteilungsfunktion der Prallplatte durch den Zwischenraum zwischen den Prallplatten und einem Öffnungsverhältnis der Durchgangsöffnungen, die in jeder der Prallplatten ausgebildet sind, eingestellt. Da jedoch die jeweiligen Positionen der Durchgangsöffnungen, die in jeder Prallplatte vorgesehen sind, und des Zwischenraums zwischen den Prallplatten bei einem herkömmlichen Sprühkopf fest eingestellt, variiert die Verteilung bei den Ätzraten über die gesamte Waferoberfläche jedesmal, wenn der in einer Ätzvorrichtung durchzuführende Prozeß verändert wird. Somit ist der Aufbau des herkömmlichen Sprühkopfes beim Entwickeln eines neuen Prozeßs bzw. Verfahrens mit Begrenzungen verbunden. Überdies erfordert die Entwicklung einer neuen Ätzvorrichtung gewöhnlicherweise zahlreiche Simmulationsverfahren und beträchtliche Ausgaben.
  • Beispielsweise kann es für den Fall eines Ätzverfahrens zum Ausbilden einer Gate-Elektrode auf einem Wafer unerwünscht sein, eine Ätzgleichförmigkeit während eines Ätzverfahrensschritts zum Ausbilden einer Ätzmaskenschicht vor der Gatemusterung über die gesamte Waferoberfläche zu erzielen. Falls überdies ein Ätzverfahren, das zahlreiche Schritte enthält, durchgeführt wird, variiert die Ätzrate auf dem Wafer von Schritt zu Schritt. Jedoch ist es bei dem herkömmlichen Sprühkopf, bei welchem die jeweiligen Positionen der Durchgangsöffnungen, die in jeder Prallplatte vorgesehen sind, und des Zwischenraums zwischen den Prallplatten fest eingestellt sind, unmöglich, verschiedene Positionen auf dem Wafer mit unterschiedlichen Gasmengen zu versorgen, was die Schwierigkeit beim Optimieren der Gleichförmigkeit eines auf der gesamten Waferoberfläche auszubildenden Musters erhöht. Probleme, die mit einer Ungleichmäßigkeit bei einer Ätzrate während eines Ätzverfahrens im Verlauf eines Herstellungsverfahrens für eine Halbleitervorrichtung verbunden sind, beeinflussen die Leistungsfähigkeit der Vorrichtung und die Produktionsausbeute nachteilig.
  • Es ist Aufgabe der Erfindung, einen Gassprühkopf zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer während der Herstellung einer Halbleitervorrichtung, welcher in der Lage ist, die Gleichförmigkeit von auf einem Wafer ausgebildeten Mustern zu optimieren. Bei dem Bemühen die vorangehenden Probleme zu lösen, ist es ein Merkmal einer Ausführungsform der vorliegenden Erfindung, einen Sprühkopf zu schaffen, der zum Steuern der Verteilung der Reaktionsgasmenge in Abhängigkeit von einer Position auf dem Wafer in der Lage ist, um eine optimale Gleichförmigkeit bei der Ätzrate über der gesamten Waferoberfläche während eines Herstellungsverfahrens für eine Halbleitervorrichtung zu erzielen.
  • Es ist ein anderes Merkmal einer Ausführungsform der vorliegenden Erfindung, einen Sprühkopf zu schaffen, der zum Steuern der Reaktionsgasmenge in der Lage ist, die einem Wafer positionsabhängig zugeführt wird, wie sie für eine Kompensation für eine Verschlechterung einer Ätzratengleichförmigkeit erwünscht ist, welche abhängig von der Position auf dem Wafer während eines Ätzschrittes auftreten kann, so daß eine endgültige Ätzratengleichförmigkeit optimiert werden kann.
  • Um die vorangehenden Merkmale zu schaffen, sieht die vorliegende Erfindung demgemäß einen Sprühkopf zum Steuern einer Verteilung der Reaktionsgasmenge bei einem Prozeßbereich innerhalb einer Reaktionskammer vor. Bei einem Sprühkopf gemäß einem ersten Aspekt der vorliegenden Erfindung weist eine obere Platte einen Gasanschluß zum Einbringen des Reaktionsgases, das von einer außerhalb liegenden Quelle zugeführt wird, in die Reaktionskammer auf. Eine Frontplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist dem Prozeßbereich gegenüberliegend angeordnet. Eine erste Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist zwischen der oberen Platte und der Frontplatte derart angeordnet, daß sie zu einer Bewegung nach oben oder unten in der Lage ist. Die erste Prallplatte weist eine obere Oberfläche auf, die einen ersten Zwischenraum zum Ausbilden eines ersten lateralen Strömungskanals für das Reaktionsgas definiert. Eine zweite Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist zwischen der ersten Prallplatte und der Frontplatte derart angeordnet, daß sie zu einer Bewegung nach oben oder unten in der Lage ist. Die zweite Prallplatte weist eine obere Oberfläche auf, die einen zweiten Zwischenraum zum Ausbilden eines zweiten lateralen Strömungskanals für das Reaktionsgas zwischen den ersten und zweiten Prallplatten definiert. Eine Zwischenraum-Steuervorrichtung wird zum Einstellen der Breite des ersten Zwischenraums und der Breite des zweiten Zwischenraums verwendet.
  • Vorzugsweise enthält die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte ausgebildet sind, eine Vielzahl von ersten Durchgangsöffnungen, die an einer ersten Position ausgebildet sind, welche sich in der Nähe der Mittelachse der ersten Prallplatte befindet und in einer radialen Richtung von der Mittelachse mit einem ersten Abstand beabstandet ist; sowie eine Vielzahl von zweiten Durchgangsöffnungen, die an einer zweiten Position ausgebildet sind, welche sich in der Nähe eines Randes der ersten Prallplatte befindet und in einer radialen Richtung von der Mittelachse mit einem zweiten Abstand, der größer als der erste Abstand ist, beabstandet ist.
  • Die Zwischenraum-Steuervorrichtung stellt vorzugsweise die Position der ersten Prallplatte derart ein, daß die Breite des ersten Zwischenraums sich verringert, so daß die Reaktionsgasmenge, die durch die Vielzahl der ersten Durchgangsöffnungen strömt, größer als die Reaktionsgasmenge ist, die durch die Vielzahl der zweiten Durchgangsöffnungen strömt.
  • Die Zwischenraum-Steuervorrichtung stellt vorzugsweise die Position der ersten Prallplatte derart ein, daß die Breite des ersten Zwischenraums zunimmt, so daß die Reaktionsgasmenge, die durch die Vielzahl der zweiten Durchgangsöffnungen strömt, zunimmt.
  • Überdies stellt die Zwischenraum-Steuervorrichtung vorzugsweise die Position der zweiten Prallplatte derart ein, daß die Breite des zweiten Zwischenraums zunimmt, so daß die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen strömt, die in der zweiten Prallplatte ausgebildet sind, über dem gesamten Prozeßbereich gleichförmig ist.
  • Die Zwischenraum-Steuervorrichtung stellt vorzugsweise die Position der zweiten Prallplatte derart ein, daß die Breite des zweiten Zwischenraums sich verringert, so daß die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen strömt, die in der zweiten Prallplatte ausgebildet sind, abhängig von einer Position in dem Prozeßbereich selektiv variiert.
  • Bei dem Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung kann die Zwischenraum-Steuervorrichtung einen ersten Distanzring, der an einer oberen Prallplatte zum Einstellen der Breite des ersten Zwischenraums angeordnet ist; sowie einen zweiten Distanzring enthalten, der zwischen den ersten und zweiten Prallplatten zum Einstellen der Breite des zweiten Zwischenraums angeordnet ist. Der erste Distanzring kann an einem oberen Rand der ersten Prallplatte angeordnet sein, und der zweite Distanzring kann an einem oberen Rand der zweiten Prallplatte angeordnet sein. Die ersten und zweiten Distanzringe können aus einem oder mehreren Kreisringen bestehen. Vorzugsweise weist zumindest einer der ersten und zweiten Distanzringe einen ringförmigen Kontaktabschnitt auf, in welchem eine Vielzahl von Sägezähnen ausgebildet sind. Jeder der Vielzahl der Sägezähne ist mit einer Zahnweite angeordnet, die der Bogenlänge eines Zentralwinkels von 90° entspricht. Außerdem liegt die Höhe jedes Sägezahns des ringförmigen Kontaktabschnitts in dem Bereich von ungefähr 0,01 bis 0,5 mm. Der erste Distanzring kann einen ringförmigen Kontaktabschnitt aufweisen, der eine Vielzahl von Sägezähnen aufweist, die der ersten Prallplatte gegenüberliegend ausgebildet sind. In diesem Fall enthält die erste Prallplatte einen Distanzringkoppler, der eine Vielzahl von Sägezähnen aufweist, die gegenüberliegend dem ersten Distanzring ausgebildet sind, um in Eingriff mit der Vielzahl der Sägezähne des ringförmigen Kontaktabschnitts zu kommen bzw. zu stehen. Der erste Distanzring kann einen ringförmigen Kontaktabschnitt aufweisen, der eine Vielzahl von Sägezähnen enthält, die der ersten Prallplatte gegenüberliegend angeordnet sind, und die erste Prallplatte kann einen Distanzringkoppler enthalten, der eine Vielzahl von Sägezähnen aufweist, die dem ersten Distanzring gegenüberliegend angeordnet sind, um mit der Vielzahl der Sägezähne des ringförmigen Kontaktabschnitts in Eingriff zu kommen bzw. zu stehen.
  • Alternativ kann der zweite Distanzring einen ringförmigen Kontaktabschnitt aufweisen, der eine Vielzahl von Sägezähnen aufweist, die gegenüberliegend der zweiten Prallplatte ausgebildet sind. In diesem Fall weist die zweite Prallplatte einen Distanzringkoppler auf, der eine Vielzahl von Sägezähnen aufweist, die dem zweiten Distanzring gegenüberliegend ausgebildet sind, um mit der Vielzahl der Sägezähne des ringförmigen Kontaktabschnitts in Eingriff zu kommen bzw. zu stehen.
  • Bei der Sprühvorrichtung gemäß dem ersten Aspekt der vorliegenden Erfindung kann die erste Prallplatte ein einziges scheibenförmiges Element enthalten, das eine gleichförmige Dicke über seine gesamte Oberfläche aufweist.
  • Bei dem Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung enthält die erste Prallplatte eine scheibenähnliche Basisplatte, die eine Vielzahl von Durchgangsöffnungen und eine Nut zum Vorsehen eines kreisförmigen Raums in der Mitte ihrer oberen Oberfläche aufweist; sowie eine scheibenähnliche Einfüge- bzw. Einlageplatte, die zum Drehen um eine Mittelachse der ersten Prallplatte innerhalb der Nut eingefügt ist, wobei die scheibenähnliche Einlageplatte eine Vielzahl von Durchgangsöffnungen aufweist, die mit ausgewählten Durchgangsöffnungen der Vielzahl der in der Basisplatte ausgebildeten Durchgangsöffnungen verbunden sind.
  • Die Vielzahl der Durchgangsöffnungen, die in der Basisplatte ausgebildet sind, kann enthalten: eine Vielzahl von ersten Durchgangsöffnungen, die an einer ersten Position ausgebildet sind, die sich in der Nähe der Mittelachse der ersten Prallplatte befindet und in einer radialen Richtung von der Mittelachse mit einem ersten Abstand beabstandet ist, der weniger als der Radius der Einlageplatte beträgt; und eine Vielzahl von zweiten Durchgangsöffnungen, die an einer zweiten Position ausgebildet sind, die sich in der Nähe des Randes der Basisplatte befindet und in einer radialen Richtung von der Mittelachse mit einem zweiten Abstand beabstandet ist, der größer als der Radius der Einlageplatte ist. Die Vielzahl der ersten Durchgangsöffnungen stehen abhängig von einer Rotationsstrecke der Einfügeplatte in Verbindung mit der Vielzahl der Durchgangsöffnungen, die in der Einlageplatte ausgebildet sind. Um das Öffnungsverhältnis der ersten Durchgangsöffnung in Abhängigkeit von der Rotationsstrecke der Einlageplatte zu verändern, können die Vielzahl von Durchgangsöffnungen in der Einfügeplatte und die Vielzahl der ersten Durchgangsöffnungen in der Basisplatte selektiv nur in einigen Winkelbereichen bezüglich der Mittelachse der ersten Prallplatte ausgebildet sein.
  • Der Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung kann ferner eine Führungsprallplatte enthalten, die auf der ersten Prallplatte koaxial bezüglich der ersten Prallplatte angeordnet ist, wobei die Führungsprallplatte einen Einlaß zum Einführen bzw. Einbringen des Reaktionsgases, das durch die obere Platte zugeführt wird, sowie eine Vielzahl von Auslässen für ein Ausströmen des durch den Einlaß eingeführten Reaktionsgases in dem ersten Zwischenraum durch die Vielzahl der Kanäle aufweist. Für diesen Fall wird die Breite des ersten Zwischenraums durch einen Boden der Führungsprallplatte und einer oberen Oberfläche der ersten Prallplatte definiert. Die Vielzahl der Auslässe, die in der Führungsprallplatte ausgebildet sind, können an einer Position ausgebildet werden, die in einer radialen Richtung mit einem vorbestimmten Abstand von der Mittelachse der Führungsprallplatte beabstandet ist.
  • Bei dem Sprühkopf, der die Führungsprallplatte enthält, kann die Vielzahl der Durchgangsöffnungen enthalten: eine Vielzahl von ersten Durchgangsöffnungen, die an einer ersten Position ausgebildet sind, welche sich in der Nähe einer Mittelachse der ersten Prallplatte befindet und in einer radialen Richtung mit einem ersten Abstand von der Mittelachse beabstandet ist; und eine Vielzahl von zweiten Durchgangsöffnungen, die an einer zweiten Position ausgebildet sind, welche sich in der Nähe eines Randes der ersten Prallplatte befindet und in einer radialen Richtung mit einem zweiten Abstand, der größer als der erste Abstand ist, von der Mittelachse beabstandet ist. Die Vielzahl von Auslässen, die in der Führungsprallplatte ausgebildet sind, sind an einer Position ausgebildet, die in einer radialen Richtung mit einem dritten Abstand, der größer als der erste und kleiner als der zweite Abstand ist, von der Mittelachse der Führungsprallplatte beabstandet. Vorzugsweise beträgt der Abstand zwischen jeder der Vielzahl der Auslässe und jeder der Vielzahl der ersten Durchgangsöffnungen weniger als ein Abstand zwischen jeder der Vielzahl der Auslässe und jeder der Vielzahl der zweiten Durchgangsöffnungen.
  • Darüber hinaus kann bei dem Sprühkopf, der die Führungsprallplatte enthält, die Zwischenraum-Steuervorrichtung einen ersten Distanzring, der zwischen der Führungsprallplatte und der ersten Prallplatte zum Einstellen der Breite des ersten Zwischenraums angeordnet ist; sowie einen zweiten Distanzring enthalten, der zwischen den ersten und zweiten Prallplatten zum Einstellen der Breite des zweiten Zwischenraums angeordnet ist.
  • Bei dem Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung kann die Zwischenraum-Steuervorrichtung eine erste Antriebswelle zum selektiven Bewegen der Führungsprallplatte nach oben oder unten enthalten, um die Breite des ersten Zwischenraums zu bestimmen; sowie eine zweite Antriebswelle zum selektiven Bewegen der ersten Prallplatte nach oben oder unten, um eine Breite des zweiten Zwischenraums einzustellen. Die erste Antriebswelle kann koaxial zu der zweiten Antriebswelle installiert sein.
  • Bei dem Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung kann die Zwischenraum-Steuervorrichtung einen Hebemechanismus zum Bewegen der ersten Prallplatte nach oben oder unten unter Verwendung eines ersten Schrittmotors enthalten, um eine Breite des zweiten Zwischenraums einzustellen; sowie einen Rotationsmechanismus zum Bewegen der Führungsprallplatte nach oben oder unten durch einen Zahnradantrieb unter Verwendung eines zweiten Schrittmotors, um die Breite des ersten Zwischenraums einzustellen. Der Hebemechanismus ist mit dem Rotationsmechanismus integriert ausgebildet.
  • Der Hebemechanismus kann eine Welle aufweisen, welche sich derart erstreckt, daß sie durch die Führungsprallplatte und die erste Prallplatte hindurchführt, sowie einen Außenflansch, der an einem Ende der Welle zum Bewegen der ersten Prallplatte nach oben oder unten angeordnet ist, um der Aufwärts- oder Abwärtsbewegung der Welle zu folgen. Der Rotationsmechanismus enthält die Welle, welche durch eine von dem zweiten Schrittmotor übertragene Leistung drehbar ist, und eine externe Schraube, die an einem Außenumfang der Welle dort ausgebildet ist, wo sie mit der Führungsprallplatte verbunden ist, zum Anheben und Absenken der Führungsprallplatte gemäß der Drehung der Welle. Ein ringförmiger Raum zum Aufnehmen des Außenflansches, der an dem Ende der Welle ausgebildet ist, kann an dem Mittelabschnitt der ersten Prallplatte ausgebildet sein. Der ringförmige Raum nimmt den Außenflansch ohne Reibung auf, so daß die Drehung des Außenbereichs die erste Prallplatte nicht beeinflußt, wenn die Welle durch den Rotationsmechanismus gedreht wird, um die Führungsprallplatte anzuheben bzw. abzusenken. Eine zentrale Öffnung, durch welche die Welle hindurchführt, kann an einem Mittelabschnitt der Führungsprallplatte ausgebildet sein, und ein internes Gewinde, das zu einem externen Gewinde der Schraube der Welle paßt, ist an der Innenwand der zentralen Öffnung ausgebildet. Das interne Gewinde, das zu dem externen Gewinde der Schraube paßt, kann in der Führungsprallplatte ausgebildet sein, so daß die Führungsprallplatte sich nach oben oder unten bewegt, um der Bewegung der Welle zu folgen, wenn die Welle sich durch den Hebemechanismus nach oben oder unten bewegt, um die erste Prallplatte anzuheben bzw. abzusenken. Der Sprühkopf kann ferner einen Stopper zum Verhindern, daß die Führungsprallplatte sich dreht, wenn die Welle durch den Rotationsmechanismus gedreht wird, enthalten.
  • Der Sprühkopf gemäß dem ersten Aspekt der vorliegenden Erfindung kann so aufgebaut sein, daß die erste Prallplatte die zweite Prallplatte derart kontaktiert, daß ausgewählte Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte ausgebildet sind, in Verbindung mit ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen stehen, die in der zweiten Prallplatte ausgebildet sind, um dadurch ausgerichtete Öffnungen bzw. Ausrichtöffnungen auszubilden. Der Sprühkopf kann ferner einen Rotationsmechanismus enthalten, der mit der ersten Prallplatte derart verbunden ist, daß die erste Prallplatte bezüglich der zweiten Prallplatte in einem vorbestimmten Winkelbereich rotiert. Die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte ausgebildet sind, sind derart verteilt, daß sie, abhängig von einem Radius von der Mittelachse der ersten Prallplatte, verschiedene Öff nungsverhältnisse aufweisen. Die Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte ausgebildet sind, sind derart verteilt, daß sie, abhängig von einem Abstand mit welchem die erste Prallplatte um die Mittelachse der zweiten Prallplatte rotiert, verschiedene Öffnungsverhältnisse aufweisen. Der Rotationsmechanismus ändert die Rotationsstrecke der ersten Prallplatte, um die Öffnungsposition der Ausrichtungsöffnungen zu verändern. Die erste Prallplatte kann in eine Vielzahl von sektorförmigen Bereichen aufgeteilt werden, die sich in einer radialen Richtung von ihrer Mittelachse aus erstrecken, wobei jeder sektorförmige Bereich eine Vielzahl von Durchgangsöffnungen aufweist, die lediglich in einem vorbestimmten Bereich mit einem ausgewählten Radius von der Mittelachse aus beabstandet ausgebildet sind. Die zweite Prallplatte kann in eine Vielzahl von sektorförmigen Bereichen aufgeteilt werden, die sich in einer radialen Richtung von ihrer Mittelachse aus erstrecken, und die Vielzahl der sektorförmigen Bereiche, die die Vielzahl der Durchgangsöffnungen aufweisen, sind in regelmäßigen Abständen angeordnet. Bei diesem Aufbau kann die Zwischenraum-Steuervorrichtung eine Antriebswelle zum gleichzeitigen Bewegen der ersten und zweiten Prallplatten nach oben oder unten enthalten, um die Breite des ersten Zwischenraums einzustellen. Die Breite des zweiten Zwischenraums kann effektiv null sein.
  • Bei einem Sprühkopf gemäß einem zweiten Aspekt der vorliegenden Erfindung weist eine obere Platte einen Gasanschluß zum Einbringen eines Reaktionsgases, das von einer äußeren Quelle zugeführt wird, in die Reaktionskammer auf. Eine Frontplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist dem Prozeßbereich gegenüberliegend angeordnet. Eine erste Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist zwischen der oberen Platte und der Frontplatte angeordnet. Eine zweite Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist zwischen der ersten Prallplatte und der Frontplatte angeordnet.
  • Außerdem weist die zweite Prallplatte eine obere Oberfläche auf, die einen Zwischenraum zum Ausbilden eines lateralen Strömungskanals für das Reaktionsgas zwischen den ersten und zweiten Prallplatten einstellt. Eine Vielzahl von piezoelektrischen Elementen sind auf der zweiten Prallplatte zum Steuern der Reaktionsgasmenge durch den Zwischenraum angeordnet. Eine Leistungsversorgungseinheit legt eine Spannung an jedes der Vielzahl der piezoelektrischen Elemente an.
  • Jedes der Vielzahl der piezoelektrischen Elemente kann eine piezoelektrische Schicht enthalten, die in einer sich in der Dicke ausbreitenden Betriebsweise bzw. Dikkenausdehnungsbetriebsart gemäß der angelegten Spannung vibriert, wobei die piezoelektrische Schicht zwei Hauptoberflächen aufweist; erste und zweite Elektrodenschichten, von denen jede auf einer der zwei Hauptoberflächen der piezoelektrischen Schicht ausgebildet sind; sowie eine Isolationsschicht, die auf der ersten Elektrodenschicht benachbart zu der ersten Prallplatte ausgebildet ist. Die zweite Elektrodenschicht wird durch die zweite Prallplatte aufgebaut.
  • Die Vielzahl der piezoelektrischen Elemente kann an Positionen ausgebildet sein, die denen entsprechen, bei welchen die Vielzahl der Durchgangsöffnungen der ersten Prallplatte ausgebildet sind.
  • Jedes der Vielzahl der piezoelektrischen Elemente kann die Reaktionsgasmenge, die durch die Durchgangsöffnungen der ersten Prallplatte in den Zwischenraum strömt, unter Verwendung einer Dickenausdehnungsrate des piezoelektrischen Elements steuern, die entsprechend dem durch die Leistungsversorgungseinheit angelegten Spannungspegels eingestellt wird. Ebenso kann jedes der Vielzahl der piezoelektrischen Elemente die Vielzahl der Durchgangsöffnungen unter Verwendung der Dickenausdehnungsrate des piezoelektrischen Elements, die entsprechend dem durch die Leistungsversorgungseinheit angelegten Spannungspegel eingestellt wird, selektiv öffnen oder schließen.
  • Die Vielzahl der Durchgangsöffnungen der ersten Prallplatte können an einer ersten Position ausgebildet sein, die mit einem vorbestimmten Radius von der Mittelachse der ersten Prallplatte aus beabstandet ist. Eines der Vielzahl der piezoelektrischen Elemente enthält ein ringförmiges Element, das an einer Position ausgebildet ist, die der ersten Position auf der zweiten Prallplatte entspricht.
  • Der Sprühkopf gemäß dem zweiten Aspekt der vorliegenden Erfindung kann ferner eine dritte Prallplatte enthalten, die zwischen der zweiten Prallplatte und der Frontprallplatte angeordnet ist, wobei die dritte Prallplatte eine Vielzahl von Durchgangsöffnungen aufweist. Die dritte Prallplatte kann aus einem hochwiderstandsfähigem Material ausgebildet sein, dessen Widerstandsfähigkeit ausreichend hoch ist, um den Sprühkopf elektrisch zu stabilisieren.
  • Bei dem Sprühkopf gemäß einem dritten Aspekt der vorliegenden Erfindung weist eine erste Prallplatte eine Vielzahl von ersten und zweiten Durchgangsöffnungen auf, um die Reaktionsgasmenge, die von einer äußeren Quelle zugeführt wird, gemäß einem Radius von der Mittelachse selektiv einzustellen. Die Vielzahl der ersten Durchgangsöffnungen sind von der Mittelachse mit einem ersten Radius beabstandet und die Vielzahl der zweiten Durchgangsöffnungen sind mit einem zweiten Radius von der Mittelachse beabstandet. Eine zweite Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist unterhalb der ersten Platte derart angeordnet, daß ein Zwischenraum zum Vorsehen eines lateralen Strömungskanals zwischen den ersten und zweiten Prallplatten ausgebildet wird. Eine Zwischenraum-Steuervorrichtung bewegt zumindest eine der ersten und zweiten Prallplatten, um die Breite des Zwischenraums einzustellen.
  • Vorzugsweise kann die Zwischenraum-Steuervorrichtung einen Distanzring mit einer vorbestimmten Dicke enthalten, der zwischen den ersten und zweiten Prallplatten zum Einstellen der Breite des Zwischenraums angeordnet ist. Der Distanzring ist aus einem oder mehreren Ringen aufgebaut.
  • Der Distanzring kann so aufgebaut sein, daß er einen ringförmigen Kontaktabschnitt aufweist, in welchem eine Vielzahl von Sägezähnen ausgebildet sind. Jede der Vielzahl der Sägezähne kann eine Zahnweite entsprechend einer Bogenlänge eines Zentralwinkels von 90° aufweisen. Der ringförmige Kontaktabschnitt des Distanzrings kann eine Bodenoberfläche der ersten Prallplatte kontaktieren. In diesem Fall wird ein Distanzringkoppler mit einer Vielzahl von Sägezähnen, die zum Ineingriffkommen mit der Vielzahl der Sägezähne der Vielzahl des ringförmigen Kontaktabschnitts ausgebildet sind, an dem Rand der Bodenoberfläche der ersten Prallplatte ausgebildet sein. Der Distanzringkoppler der ersten Prallplatte kann einen Abschnitt aufweisen, der eine Dicke besitzt, die kleiner als eine Dicke eines zentralen Bodenabschnitts der ersten Prallplatte ist. Alternativ kann der ringförmige Kontaktabschnitt des Distanzrings eine obere Oberfläche der zweiten Prallplatte kontaktieren. Ein Distanzringkoppler mit einer Vielzahl von Sägezähnen, die zum Ineingriffkommen mit der Vielzahl der Sägezähne des ringförmigen Kontaktabschnitts ausgebildet sind, wird auf der oberen Oberfläche der zweiten Prallplatte ausgebildet. Vorzugsweise weist der Distanzringkoppler der zweiten Prallplatte einen Abschnitt auf, dessen Dicke kleiner als die Dicke eines zentralen oberen Abschnitts der zweiten Prallplatte ist.
  • Bei einem Sprühkopf gemäß einem vierten Aspekt der vorliegenden Erfindung weist eine kreisförmige erste Prallplatte eine Vielzahl von Durchgangsöffnungen auf. Eine kreisförmige zweite Prallplatte, die eine Vielzahl von Durchgangsöffnungen aufweist, ist unterhalb der ersten Prallplatte mit einem Zwischenraum, der eine vorbestimmte Breite zwischen der ersten und zweiten Prallplatte aufweist. Eine Vielzahl von piezoelektrischen Elementen sind zwischen den ersten und zweiten Prallplatten zum Steuern der Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen strömt, die in der ersten Prallplatte ausgebildet sind, angeordnet.
  • Die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte ausgebildet sind, können eine Vielzahl von ersten Durchgangsöffnungen, die an einer Position ausgebildet sind, die mit einem ersten Radius von einer Mittelachse der ersten Prallplatte beabstandet ist, eine Vielzahl von zweiten Durchgangsöffnungen, die an einer Position ausgebildet sind, die mit einem zweiten Radius, der größer als der erste Radius ist, von der Mittelachse der ersten Prallplatte beabstandet ist, und eine Vielzahl von dritten Durchgangsöffnungen enthalten, die an einer Position ausgebildet sind, die mit einem dritten Radius, der größer als der zweite Radius ist, von der Mittelachse der ersten Prallplatte beabstandet ist.
  • Die Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte ausgebildet sind, können enthalten: eine vierte Durchgangsöffnung, die an einer Position ausgebildet ist, die einer Mittelachse der zweiten Prallplatte entspricht; eine Vielzahl von fünften Durchgangsöffnungen, die an einer Position ausgebildet sind, die mit einem vierten Radius von der Mittelachse der zweiten Prallplatte beabstandet ist; eine Vielzahl von sechsten Durchgangsöffnungen, die an einer Position ausgebildet sind, die mit einem fünften Radius, der größer als der vierte Radius ist, von der Mittelachse der zweiten Prallplatte beabstandet ist; und eine Vielzahl von siebten Durchgangsöffnungen, die an einer Position ausgebildet sind, die mit einem sechsten Radius, der größer als der fünfte Radius ist, von der Mittelachse der zweiten Prallplatte beabstandet ist.
  • Jede der Vielzahl der piezoelektrischen Elemente kann ein ringförmiges Element enthalten, das auf der zweiten Prallplatte angeordnet ist. Vorzugsweise sind die Vielzahl an piezoelektrischen Elementen an die zweite Prallplatte gebondet bzw. geklebt.
  • Die Vielzahl von piezoelektrischen Elementen kann ein erstes piezoelektrisches Element enthalten, das an einer Position auf der zweiten Prallplatte angeordnet ist, die einer Position entspricht, bei welcher die Vielzahl der ersten Durchgangsöffnungen der ersten Prallplatte ausgebildet sind, ein zweites piezoelektrisches Element, das an einer Position auf der zweiten Prallplatte angeordnet ist, die einer Position entspricht, an welcher die Vielzahl der zweiten Durchgangsöffnungen der ersten Prallplatte ausgebildet sind; sowie ein drittes piezoelektrisches Element, das an einer Position auf der zweiten Prallplatte angeordnet ist, die einer Position entspricht, an welcher die Vielzahl der dritten Durchgangsöffnungen der ersten Prallplatte ausgebildet sind.
  • Der Sprühkopf gemäß dem vierten Aspekt der vorliegenden Erfindung kann ferner eine Leistungsversorgungseinheit zum Anlegen einer Spannung an jedes der Vielzahl der piezoelektrischen Elemente enthalten. Jedes piezoelektrische Element weist eine Dickenausdehnungsrate auf, die entsprechend einem variierenden von der Leistungsversorgungseinheit angelegten Spannungspegel eingestellt werden kann.
  • Gemäß der vorliegenden Erfindung wird die Breite des Zwischenraums durch die Zwischenraum-Steuervorrichtung selektiv verkleinert oder vergrößert, wodurch die zugeführte Gasmenge in Übereinstimmung mit einer Position auf einem Wafer in einem Prozeßbereich einer Reaktionskammer eingestellt wird, und die Reaktionsgasmenge, die zu einer Position auf den Wafer zugeführt wird, abhängig von der Art der Anwendung gleichmäßig bzw. ungleichmäßig eingestellt wird. Somit ist es gemäß der vorliegenden Erfindung leichter, die Verteilung des Reaktionsgas in Abhängigkeit von einer Position auf dem Wafer einzustellen, um eine optimierte Ätzratengleichförmigkeit über der gesamten Waferoberfläche während eines Herstellungsverfahrens für eine Halbleitervorrichtung zu erzielen. Überdies macht es die vorliegende Erfindung möglich, die zugeführte Reaktionsgasmenge frei einzustellen, wodurch eine Verschlechterung bei der Ätzratengleichförmigkeit im voraus kompensiert werden kann, die teilweise auf dem Wafer während eines Ätzschritts auftreten kann.
  • Diese und andere Aspekte der vorliegenden Erfindung werden für den Fachmann nach Durchsicht der folgenden detaillierten Beschreibung ohne weiteres ersichtlich.
  • Die obigen Merkmale und Vorteile der vorliegenden Erfindung werden dem Fachmann nach Durchsicht der folgenden auf die jeweilige Zeichnung bezugnehmenden detaillierten Beschreibung ohne weiteres ersichtlich, in welcher:
  • 1 eine Querschnittsansicht darstellt, die schematisch einen Aufbau eines Sprühkopfs gemäß einer ersten Ausführungsform der vorliegenden Erfindung zeigt;
  • 2 eine Draufsicht einer Frontplatte darstellt, die in dem Sprühkopf gemäß der ersten Ausführungsform der vorliegenden Erfindung vorgesehen ist;
  • 3 eine Draufsicht einer ersten Prallplatte darstellt, die in dem Sprühkopf gemäß der ersten Ausführungsform der vorliegenden Erfindung vorgesehen ist;
  • 4 eine Draufsicht einer zweiten Prallplatte darstellt, die in dem Sprühkopf gemäß der ersten Ausführungsform der vorliegenden Erfindung vorgesehen ist;
  • 5A5C eine Führungsprallplatte darstellen, die bei dem Sprühkopf gemäß der ersten Ausführungsform der vorliegenden Erfindung vorgesehen ist;
  • 6 die Beziehung zwischen Positionen der Durchgangsöffnungen darstellt, die in einer Führungsprallplatte, einer ersten Prallplatte und einer zweiten Prallplatte ausgebildet sind;
  • 7 eine Draufsicht der dritten Prallplatte darstellt, die in einem Sprühkopf gemäß der ersten Ausführungsform der vorliegenden Erfindung vorgesehen ist;
  • 8 eine perspektivische Ansicht eines Rings darstellt, der ein Beispiel für eine Zwischenraum-Steuervorrichtung ist, die in einem Sprühkopf gemäß einer Ausführungsform der vorliegenden Erfindung eingesetzt wird;
  • 9A und 9B einen Ring darstellen, der ein anderes Beispiel für eine Zwischenraum-Steuervorrichtung ist, die in einem Sprühkopf gemäß einer Ausführungsform der vorliegenden Erfindung eingesetzt wird;
  • 10 eine Draufsicht eines Beispiels für eine modifizierte erste Prallplatte darstellt, die in einem Sprühkopf gemäß einer Ausführungsform der vorliegenden Erfindung eingesetzt werden kann;
  • 11 eine Draufsicht auf eine modifizierte zweite Prallplatte darstellt, die in einem Sprühkopf gemäß einer Ausführungsform der vorliegenden Erfindung eingesetzt werden kann;
  • 12A und 12B ein Verfahren zum Steuern der Breite eines zweiten Zwischenraums unter Verwendung des Rings der 9A darstellen;
  • 13A und 13B Querschnittsansichten entlang der Linie 13A-13A der 11 darstellen;
  • 14A und 14B eine Querschnittsansicht bzw. eine perspektivische Ansicht eines anderen Beispiels einer modifizierten ersten Prallplatte darstellen, die in einem Sprühkopf gemäß einer Ausführungsform der vorliegenden Erfindung eingesetzt werden kann;
  • 15 den Aufbau von Hauptteilen eines Sprühkopfes gemäß einer zweiten Ausführungsform der vorliegenden Erfindung schematisch darstellt;
  • 16A16C den Aufbau der Hauptteile eines Sprühkopfes gemäß einer dritten Ausführungsform der vorliegenden Erfindung schematisch darstellen;
  • 17 den Aufbau der Hauptteile eines Sprühkopfes gemäß einer vierten Ausführungsform der vorliegenden Erfindung schematisch darstellen;
  • 18 eine Draufsicht der ersten Prallplatte darstellen, die in dem Sprühkopf der 17 enthalten ist;
  • 19 eine Draufsicht auf die zweite Prallplatte darstellt, die in dem Sprühkopf der 17 enthalten ist;
  • 20A20C Ansichten eines Bodens der zweiten Prallplatte darstellen, wenn die ersten und zweiten Prallplatten, die in dem Sprühkopf der 17 enthalten sind, einander mit verschiedenen Rotationsstrecken kontaktieren;
  • 21 eine Querschnittsansicht darstellt, die den Aufbau der Hauptteile eines Sprühkopfes gemäß einer fünften Ausführungsform der vorliegenden Erfindung zeigen;
  • 22 eine Draufsicht auf die erste Prallplatte darstellt, die in dem Sprühkopf der 21 enthalten ist;
  • 23 eine Draufsicht auf die zweite Prallplatte darstellt, die in dem Sprühkopf der 21 enthalten ist; und
  • 24 eine vergrößerte Ansicht des Abschnitts "A" der 21 darstellt.
  • Die koreanische Patentanmeldung Nr. 2001-42822, angemeldet am 16. Juli 2001 mit dem Titel „Shower Head of Water Treatment Apparatus Having Gap Controller" wird hiermit durch Bezugnahme vollumfänglich miteingeschlossen.
  • 1 stellt eine Querschnittsansicht dar, die den Aufbau eines Sprühkopfes gemäß einer ersten Ausführungsform der vorliegenden Erfindung schematisch zeigt, der zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer verwendet wird, um ein Plasmaätzen auf einem Wafer durchzuführen. Gemäß 1 enthält der Sprühkopf gemäß der ersten Ausführungsform eine obere Platte 10, in welcher ein Gasanschluß zum Einbringen eines Reaktionsgases, das von einer äußeren Quelle zugeführt wird, in die Reaktionskammer ausgebildet ist, und eine Frontplatte 20, die dem Prozeßbereich innerhalb der Reaktionskammer gegenüberliegend angeordnet ist. Die obere Platte 10 bildet eine obere Wand der Reaktionskammer.
  • Gemäß 2, welche eine Ansicht der Frontplatte 20 darstellt, wie sie aus Sicht des Prozeßbereichs in der Reaktionskammer gesehen wird, ist in der Frontplatte 20 eine Vielzahl von Durchgangsöffnungen 22 gleichförmig ausgebildet.
  • Gemäß 1 sind erste und zweite Prallplatten 30 und 40 koaxial bezüglich der Frontplatte 20 zwischen der oberen Platte 10 und der Frontplatte 20 angeordnet. Eine Zwischenraum-Steuervorrichtung, die einen ersten Distanzring 92 enthält, ist auf der oberen Oberfläche der ersten Prallplatte 30 angeordnet, und eine Zwischenraum-Steuervorrichtung, die einen zweiten Distanzring 94 enthält, ist zwischen den ersten und zweiten Prallplatten 30 und 40 angeordnet. Die ersten und zweiten Prallplatten 30 und 40 können durch Steuern der Dicke der ersten und zweiten Distanzringe 92 und 94 nach oben oder unten bewegt werden, wodurch die relativen Positionen der ersten und zwei ten Prallplatten 30 und 40 eingestellt werden. Die Bewegung der ersten und zweiten Prallplatten 30 und 40 wird im Folgenden eingehender beschrieben.
  • Die erste Prallplatte 30 ist aus einem einzigen scheibenförmigen Element mit einer gleichförmigen Dicke über seiner gesamten Oberfläche ausgebildet. Eine Vielzahl von ersten Durchgangsöffnungen 32 und eine Vielzahl von zweiten Durchgangsöffnungen 34 sind in der ersten Prallplatte 30 ausgebildet, wie in 3 gezeigt. Die Vielzahl der ersten Durchgangsöffnungen 32 sind an einer Position ausgebildet, welche sich in der Nähe der Mittelachse 31 der ersten Prallplatte 30 befindet und die in einer radialen Richtung von der Mittelachse 31 durch einen ersten Abstand d1 getrennt ist. Die Vielzahl der zweiten Durchgangsöffnungen 34 sind an einer zweiten Position ausgebildet, die sich in der Nähe eines Randes der ersten Prallplatte 30 befindet und die in einer radialen Richtung von ihrer Mittelachse 31 durch einen zweiten Abstand d2, der größer als der erste Abstand d1 ist, getrennt ist. Wie in 4 gezeigt, sind eine Vielzahl von Durchgangsöffnungen 42 in einer gleichförmigen Dichte über der gesamten Oberfläche der zweiten Prallplatte 40 ausgebildet. Die ersten und zweiten Prallplatten 30 und 40 können aus Aluminium ausgebildet sein.
  • Wie in 1 gezeigt, ist eine Führungsprallplatte 50 koaxial bezüglich der ersten Prallplatte 30 auf der ersten Prallplatte 30 angeordnet. Der Aufbau der Führungsprallplatte 50 wird in den 5A5C schematisch gezeigt. Gemäß 5A5C ist ein Einlaß 52, durch welchen ein Reaktionsgas in die Führungsprallplatte 50 eindringt, auf einer oberen Oberfläche 50a der Führungsprallplatte 50 ausgebildet. Das Reaktionsgas, welches in die Führungsprallplatte 50 durch den Einlaß 52 eingebracht wird, strömt durch eine Vielzahl von Pfaden 53 zu einer Vielzahl von Auslässen 54, die an einem Boden 50b der Führungsprallplatte 50 ausgebildet sind.
  • Wie in 1 gezeigt, wird bei dem so aufgebauten Sprühkopf ein erster Zwischenraum 70, der einen ersten lateralen Strömungspfad für ein Reaktionsgas bildet, das in die Reaktionskammer eingebracht wird, zwischen der ersten Prallplatte 30 und der Führungsprallplatte 50 ausgebildet. Die Breite des ersten Zwischenraums 70 ist durch den Boden 50b der Führungsprallplatte 50 und der oberen Oberfläche der ersten Prallplatte 30 begrenzt. Überdies ist ein zweiter Zwischenraum 80, der einen zweiten lateralen Strömungspfad für das Reaktionsgas bildet, zwischen den ersten und zweiten Prallplatten 30 und 40 ausgebildet. Die Breite des zweiten Zwischenraums 80 wird durch den Boden der ersten Prallplatte 30 und der oberen Oberfläche der zweiten Prallplatte 40 begrenzt.
  • 6 stellt eine Positionsbeziehung zwischen den Durchgangsöffnungen 54, 32 und 34, und 42 dar, die jeweils auf der Führungsprallplatte 50, der ersten Prallplatte 30 und der zweiten Prallplatte 40 ausgebildet sind. Gemäß 6 sind die Vielzahl der Auslässe 54 an einer Position auf der Führungsprallplatte 50 ausgebildet, welche in einer radialen Richtung von der Mittelachse der Führungsprallplatte durch einen dritten Abstand d3 getrennt ist. Der dritte Abstand d3 ist größer als der erste Abstand d1, durch welchen die ersten Durchgangsöffnungen 32 von der Mittelachse 51 der Führungsprallplatte 50 getrennt sind, und kleiner als der zweite Abstand d2, durch welchen die zweiten Durchgangsöffnungen 34 von der gleichen Achse 51 getrennt sind. Vorzugsweise ist ein Abstand zwischen dem Auslaß 54 der Führungsprallplatte 50 und der ersten Durchgangsöffnung 32 der ersten Prallplatte 30 kleiner als der zwischen dem Auslaß 54 und der zweiten Durchgangsöffnung 34. Dies macht es möglich, die Gasmenge selektiv derart zu steuern, daß die Gasmenge, die in die ersten Durchgangsöffnungen 32 strömt, größer ist als die Gasmenge, die in die zweiten Durchgangsöffnungen 34 strömt, oder daß die Strömungsmenge bei den ersten und zweiten Durchgangsöffnungen 32 und 34 durch Einstellen der Breite des ersten Zwischenraums 70, der zwischen der Führungsprallplatte 50 und der ersten Prallplatte 30 ausgebildet ist, konstant gehalten wird. Das heißt, da der Auslaß 54 näher an den ersten Durchgangsöffnungen 32 liegt, ist es einfacher, ein Reaktionsgas von dem Auslaß 54 in die ersten Durchgangsöffnungen 32 einzubringen, wenn der erste Zwischenraum 70 enger wird, so daß die Gasmenge, die durch die ersten Durchgangsöffnungen 32 strömt, größer ist als die Gasmenge, die durch die zweiten Durchgangsöffnungen 34 strömt. Somit kann eine größere Reaktionsgasmenge zu einem zentralen Abschnitt auf dem Wafer zugeführt werden, als an seinem Rand. Wenn andererseits die Breite des ersten Zwischenraums 70 sich vergrößert, er höht sich die Reaktionsgasmenge, die zu den zweiten Durchgangsöffnungen 34 durch den Auslaß 54 entladen und diffundiert wird, wodurch die Reaktionsgasmenge, die durch die zweiten Durchgangsöffnungen 34 strömt, sich erhöht.
  • Um den Sprühkopf elektrisch zu stabilisieren wird eine dritte Prallplatte 60 zwischen der zweiten Prallplatte 40 und der Frontplatte 20 angeordnet. Die dritte Prallplatte 60 kann aus einem hochwiderstandsfähigen Material ausgebildet sind, dessen Widerstandsfähigkeit ausreichend hoch ist, um den Sprühkopf elektrisch zu stabilisieren, z.B. aus Siliziumcarbid (SiC). Wie in 7 gezeigt, sind eine Vielzahl von Durchgangsöffnungen 62 mit einer gleichförmigen Dichte über die gesamten Oberfläche der dritten Prallplatte 60 ausgebildet.
  • Die Breite des ersten Zwischenraums 70 wird durch den ersten Distanzring 92 eingestellt, welcher die Zwischenraum-Steuervorrichtung ist, die auf dem oberen Rand der ersten Prallplatte 30 zwischen der Führungsprallplatte 50 und der ersten Prallplatte 30 angeordnet ist. Die Breite des zweiten Zwischenraums 80 wird durch den zweiten Distanzring 94 eingestellt, welcher die Zwischenraum-Steuervorrichtung ist, die auf dem oberen Rand der zweiten Prallplatte 40 zwischen den ersten und zweiten Prallplatten 30 und 40 angeordnet ist.
  • 8 stellt eine perspektivische Ansicht eines Rings 90 dar, welches ein Umsetzungsbeispiel für den ersten oder zweiten Distanzring 92 bzw. 94 ist. Die Dicke des ersten bzw. zweiten Distanzrings 92 bzw. 94 wird durch die Dicke t des Rings 90 eingestellt. Um die Breiten der ersten und zweiten Zwischenräume 70 und 80 auf einen gewünschten Wert einzustellen, können die ersten oder zweiten Distanzringe 92 und 94 nur einen Ring 90 mit einer erwünschten Dicke aufweisen, oder aber zwei oder mehr Ringe 90 mit einer vorbestimmten Dicke, die einander um eine unerwünschte Dicke überlappen.
  • Die Position der ersten Prallplatte 30 und die Breite des ersten Zwischenraums 70 können durch die Dicke des ersten Distanzrings eingestellt werden. Wenn die Breite des ersten Zwischenraums 70 sich verringert, wird die Reaktionsgasmenge, die durch die ersten Durchgangsöffnungen 32 passiert, größer als die Reaktionsgasmenge, die durch die zweiten Durchgangsöffnungen 34 in der ersten Prallplatte 30 passiert. Wenn im Gegensatz dazu die Breite des ersten Zwischenraums 70 zunimmt, wird die Reaktionsgasmenge, die durch die zweiten Durchgangsöffnungen 34 in der ersten Prallplatte 30 passieren, vergrößert.
  • Überdies wird die Breite des zweiten Zwischenraums 80, der zwischen den ersten und zweiten Prallplatten 30 und 40 ausgebildet ist, durch die Dicke des zweiten Distanzrings 94 eingestellt. Wenn die Breite des zweiten Zwischenraums 80 sich verringert, wird die Reaktionsgasmenge, die durch die Durchgangsöffnungen 42 passiert, die unter der Vielzahl der Durchgangsöffnungen 42 nahe der ersten und zweiten Durchgangsöffnungen 32 oder 34 der ersten Prallplatte 30 positioniert sind, vergrößert, wodurch die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen 42 passiert, abhängig von einer Position innerhalb des Prozeßbereichs selektiv ungleichmäßig gemacht wird. Wenn im Gegensatz dazu die Breite des zweiten Zwischenraums 80 sich bis zu einem ausreichenden Maß vergrößert, kann die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen 42 passiert, gleichförmig über den gesamten Prozeßbereich eingestellt werden.
  • 9A stellt eine perspektivische Ansicht eines Rings 190 mit einem ringförmigen Kontaktabschnitt 194 dar, in welchem eine Vielzahl von Sägezähnen 192 ausgebildet sind, was ein anderes Umsetzungsbeispiel für den ersten und zweiten Distanzring 92 oder 94 ist. 9B stellt eine Seitenansicht des Rings 190 entlang seiner vollen Länge zwischen 9B-9B der 9A.
  • Gemäß 9A und 9B sind die Sägezähne 192 so entworfen, daß sie eine Zahnweite aufweisen, die der Bodenlänge 1 eines Zentralwinkels (θ) 90° entspricht. Die Höhe h der Sägezähne 192, die auf dem ringförmigen Kontaktabschnitt 194 ausgebildet sind, sind in der Größenordnung von ungefähr 0,01-0,5 mm.
  • Falls der erste Distanzring 92 in dem ersten Zwischenraum 70 aus dem Ring 190 besteht, kann der ringförmige Kontaktabschnitt 194, auf welchen die Vielzahl der Sägezähne 192 ausgebildet sind, gegenüberliegend zu der ersten Prallplatte 30 oder der Führungsprallplatte 50 angeordnet sein. Falls der ringförmige Kontaktabschnitt 194 gegenüberliegend der ersten Prallplatte 30 innerhalb des ersten Zwischenraums 70 angeordnet ist, wird ein Distanzringkoppler, der mit den Sägezähnen 192 in Eingriff steht, auf der Oberfläche der ersten Prallplatte 30 gegenüberliegend dem ersten Distanzring 92, der aus dem Ring 190 besteht, ausgebildet.
  • 10 stellt eine modifizierte erste Prallplatte 130 dar, auf welcher ein Distanzringkoppler 132 zum Verbinden mit dem ringförmigen Kontaktabschnitt 194 ausgebildet ist. Eine Vielzahl von Sägezähnen (nicht gezeigt), die mit der Vielzahl der Sägezähne 192 des ringförmigen Kontaktabschnitts 194 in Eingriff stehen, sind auf dem Distanzringkoppler 132 ausgebildet. Wie bei dem Ring 190, sind die Sägezähne, die auf dem Distanzringkoppler 132 ausgebildet sind, so entworfen, daß sie eine Zahnweite aufweisen, die der Bogenlänge 1 eines Zentralwinkels von 90° entspricht. Die Höhe der Sägezähne, die auf dem Distanzringkoppler 132 ausgebildet sind, liegt in der Größenordnung von 0,01-0,5 mm.
  • Falls überdies der zweite Distanzring 94 in dem zweiten Zwischenraum 80 aus dem Ring 190 besteht, kann der ringförmige Kontaktabschnitt 194, auf welchen die Vielzahl der Sägezähne 192 ausgebildet sind, gegenüberliegend der ersten oder zweiten Prallplatte 30 oder 40 angeordnet sein. Falls der ringförmige Kontaktabschnitt 194 gegenüberliegend der zweiten Prallplatte 40 nahe des zweiten Zwischenraums 80 angeordnet ist, ist ein Distanzringkoppler, der mit den Sägezähnen 192 in Eingriff steht, auf der Oberfläche der zweiten Prallplatte 40 gegenüberliegend im zweiten Distanzring 94, der aus dem Ring 190 besteht, ausgebildet.
  • 11 stellt eine modifizierte zweite Prallplatte 140 dar, auf welcher der Distanzringkoppler 142 zum Verbinden mit dem ringförmigen Kontaktabschnitt 194 ausgebildet worden ist. Eine Vielzahl von Sägezähnen (nicht gezeigt), die mit der Vielzahl der Sägezähnen 192 des ringförmigen Kontaktabschnitts 194 in Eingriff stehen, sind auf dem Distanzringkoppler 142 ausgebildet. Ähnlich wie der Ring 190, sind die Sägezähne, die auf dem Distanzringkoppler 142 ausgebildet sind, so entworfen, daß sie eine Zahnweite aufweisen, die der Bogenlänge eines Zentralwinkels von 90° entspricht. Die Höhe der Sägezähne, die auf dem Distanzringkoppler 142 ausgebildet sind, liegt in der Größenordnung von ungefähr 0,01-0,5 mm.
  • 12A und 12B stellen diagrammartige Teilansichten eines Sprühkopfs zum Erläutern eines Verfahrens zum Steuern der Breite eines zweiten Zwischenraums 80 unter Verwendung des Rings 190 dar, wenn der zweite Distanzring 94, der zwischen der ersten Prallplatte 130 und der modifizierten zweiten Prallplatte 140 angeordnet ist, aus dem Ring 190 besteht. 12A stellt einen Zustand dar, in welchen der zweite Zwischenraum 80 eine kleinste Breite aufweist. Falls der Ring 190 in einer Richtung rotiert, die durch einen Pfeil "a" angedeutet ist, oder die modifizierte zweite Prallplatte 140 in dem Zustand, der in 12A gezeigt ist, in einer Richtung rotiert, die durch einen Pfeil "b" angedeutet ist, wird die Breite des zweiten Zwischenraums 80 um Δw vergrößert gemäß seiner Rotationsstrecke, wie in 12B gezeigt. Somit wird die Breite des zweiten Zwischenraums 80 bis zu einem erwünschten Maß durch eine Steuerung der Rotationsstrecke des Rings 190 oder der modifizierten zweiten Prallplatte 140 eingestellt.
  • 13A und 13B stellten Querschnittsansichten entlang einer Linie 13A-13A der 11 zur Erläuterung des Distanzringkopplers 142 der modifizierten zweiten Prallplatte 140 dar. Gemäß 13A ist ein niedrig gestufter Abschnitt 142a des Distanzringkopplers 142 auf der modifizierten zweiten Prallplatte 140, bei welchen zwei benachbarte Sägezähne ineinander greifen, dünner als ein oberer zentraler Abschnitt 140a der modifizierten zweiten Prallplatte 140. Gemäß 13B ist ein am höchsten gezahnter Abschnitt 142b jedes Sägezahns des Distanzringkopplers 142 auf der modifizierten zweiten Prallplatte 140 dicker als der obere zentrale Abschnitt 140a der modifizierten zweiten Prallplatte 140.
  • Falls der ringförmige Kontaktabschnitt 194 des Rings 190 gegenüberliegend der ersten Prallplatte 30 angeordnet ist, ist ein Distanzringkoppler mit dem gleichen Aufbau wie der Distanzringkoppler 142 auf dem oberen Rand der modifizierten zweiten Prallplatte 140 auf einem Bodenrand der ersten Prallplatte 30 ausgebildet, um die Breite des zweiten Zwischenraums 80 unter Verwendung des Rings 190 zu steuern. Eine Erläuterung des detaillierten Aufbaus des Distanzringkopplers wird weggelassen, da er ähnlich dem des Distanzringkopplers 142 der modifizierten zweiten Prallplatte 140 ist. Der Unterschied besteht darin, daß falls der ringförmige Kontaktabschnitt 194 des Rings gegenüberliegend der ersten Prallplatte 30 angeordnet ist, der ringförmige Kontaktabschnitt 194 den Boden der ersten Prallplatte kontaktiert und der zweite Distanzringkoppler der ersten Prallplatte 30 einen Abschnitt aufweist, mit einer Dicke, die kleiner ist als die Dicke eines zentralen Bodenabschnitts der ersten Prallplatte 30.
  • Obgleich die vorliegende Erfindung bezüglich der Steuerung der Breite des zweiten Zwischenraums 80 unter Verwendung des Rings 190 beschrieben worden ist, ist es für den Fachmann ersichtlich, daß voranstehende Aufbauten und Anordnungen auf die gleiche Art und Weise zum Steuern der Breite des ersten Zwischenraums 70 unter Verwendung des Rings 190 angewendet werden können.
  • Bei der obigen Ausführungsform ist die erste Prallplatte 30 an einem einzigen scheibenförmigen Element mit einer gleichförmigen Dicke über der gesamten Oberfläche ausgebildet. Jedoch kann die erste Prallplatte 30 auf zahlreiche Arten abhängig von der Art der Anwendung aufgebaut sein.
  • 14A und 14B stellen eine Aufbau einer modifizierten ersten Prallplatte 230 dar. 14A stellt eine Querschnittsansicht entlang einer Mittelachse 231 der modifizierten ersten Prallplatte 230 dar. 14B zeigt eine perspektivische Explosionsansicht der modifizierten ersten Prallplatte 230 dar.
  • Gemäß 14A und 14B enthält die modifizierte erste Prallplatte 230 eine scheibenähnliche Basisplatte 232 mit einer Nut 236 zum Vorsehen eines kreisförmigen Raums in der Mitte ihrer oberen Oberfläche, und eine scheibenähnliche Einfüge- bzw. Einlageplatte 235, die in die erste Nut 236 eingefügt ist, so daß sie um die Mittelachse 231 der modifizierten ersten Prallplatte 230 innerhalb der Nut 236 rotieren kann. Die Einlageplatte 234 ist mit einer Antriebsvorrichtung (nicht gezeigt) zum Drehen der Einlageplatte 234 mit einem vorbestimmten Winkel verbunden. Die Basisplatte 232 weist eine Vielzahl von ersten Durchgangsöffnungen 237 und eine Vielzahl von zweiten Durchgangsöffnungen 238 auf. Die Vielzahl der ersten Durchgangsöffnungen 237 sind an einer ersten Position ausgebildet, welche sich in der Nähe der Mittelachse 231 der modifizierten ersten Prallplatte 230 befindet und die in einer radialen Richtung von der Mittelachse 231 durch einen ersten Abstand d1, der kleiner als der Radius der Einlageplatte 234 ist, getrennt ist. Die Vielzahl der zweiten Durchgangsöffnungen 238 sind an einer zweiten Position ausgebildet, welche sich in der Nähe eines Randes der Basisplatte 232 befindet und die in einer radialen Richtung von der Mittelachse 231 durch einen zweiten Abstand d2, der größer als der Radius der Einlageplatte 234 ist, getrennt ist. Die Einlageplatte 234 weist eine Vielzahl von Durchgangsöffnungen 235 auf, die in Verbindung mit der Vielzahl der ersten Durchgangsöffnungen 237 stehen, die auf der Basisplatte 232 ausgebildet sind. Um das Öffnungsverhältnis der ersten Durchgangsöffnungen 237 abhängig von einer Drehstrecke der Einlageplatte 234 zu verändern, ist die Vielzahl der Durchgangsöffnungen 235 in der Einlageplatte 234 und die Vielzahl der ersten Durchgangsöffnungen 237 in der Basisplatte 232 selektiv lediglich in einigen Winkelbereichen bezüglich der Mittelachse 231 der modifizierten ersten Prallplatte 230 ausgebildet. Das heißt, alle oder einige der Durchgangsöffnungen 235, die in der Einlageplatte 234 ausgebildet sind, können mit den ersten Durchgangsöffnungen 237, die in der Basisplatte 232 ausgebildet sind, abhängig von der Drehstrecke der Einlageplatte 234 in Verbindung stehen.
  • Durch Einsatz der modifizierten Prallplatte 230 mit dem vorhergehend beschriebenen Aufbau wird das Öffnungsverhältnis der ersten Durchgangsöffnungen 237, die auf der Basisplatte 232 ausgebildet sind, abhängig von der Drehstrecke der Einlageplatte 234 verändert, wodurch die von dem Prozeßbereich der Reaktionskammer zu einem zentralen Abschnitt auf dem Wafer zugeführte Reaktionsgasmenge eingestellt wird.
  • 15 stellt schematisch einen Aufbau der Hauptteile eines Sprühkopfes gemäß einer zweiten Ausführungsform der vorliegenden Erfindung dar. Die zweite Ausführungsform ist ähnlich zu der ersten Ausführungsförm, mit Ausnahme der Tatsache, daß die ersten und zweiten Antriebswellen 292 und 294 als eine Zwischenraum-Steuervorrichtung zum Einstellen der ersten und zweiten Zwischenräume 70 und 80 verwendet werden. Bei der in 15 gezeigten Ausführungsform enthält die Zwischenraum-Steuervorrichtung erste und zweite Antriebswellen 292 und 294. Die erste Antriebswelle 292 bewegt selektiv die Führungsprallplatte 50 nach oben und unten, um die Breite des ersten Zwischenraums 70 einzustellen. Die zweite Antriebswelle 294 bewegt selektiv die erste Prallplatte 30 nach oben oder unten, um die Breite des zweiten Zwischenraums 80 einzustellen. Die zweite Antriebswelle 294 ist koaxial bezüglich der ersten Antriebswelle 292 angeordnet. Der Abstand, um welchen die Führungsprallplatte 50 oder die erste Prallplatte 30 nach oben oder unten bewegt wird, wird relativ zueinander eingestellt, wodurch die Breite des ersten oder zweiten Zwischenraums 70 oder 80 eingestellt wird. Die Breite des ersten oder zweiten Zwischenraums 70 oder 80 wird unter Berücksichtigung der Reaktionsgasmenge, die dem Mittenabschnitt oder dem Rand des Wafers von dem Prozeßbereich der Reaktionskammer zugeführt werden soll, eingestellt. Die ersten und zweiten Antriebswellen 292 und 294 werden zum Einstellen der Breiten der ersten und zweiten Zwischenräume 70 bzw. 80 verwendet, wodurch die Reaktionsgasmenge, die von dem Prozeßbereich zu dem Zentralabschnitt oder dem Rand des Wafers zugeführt wird, frei eingestellt werden kann. Dies führt überdies dazu, daß die zugeführte Reaktionsgasmenge gleichmäßig oder ungleichmäßig über der gesamten Waferoberfläche abhängig von der Art der Anwendung sein kann.
  • 16A16C stellen schematisch einen Aufbau der Hauptteile eines Sprühkopfs gemäß einer dritten Ausführungsform der vorliegenden Erfindung dar. Gemäß 16A werden ein Hebemechanismus 392 und ein Rotationsmechanismus 394 als eine Zwischenraum-Steuervorrichtung zum Einstellen der ersten und zweiten Zwischenräume 70 und 80 verwendet. Die Teile des Sprühkopfes bei dieser Ausführungsform, die nicht zum Hebemechanismus 392 und zum Rotationsmechanismus 394 gehören, weisen den gleichen Aufbau auf, wie bei dem vorherigen Ausführungsformen beschrieben worden ist. Der Hebemechanismus 392 treibt die erste Prallplatte 30 unter Verwendung eines ersten Schrittmotors nach oben oder unten an, um die Breite des zweiten Zwischenraums 80 einzustellen. Der Rotationsmechanismus 394 treibt die Führungsprallplatte 50 mittels eines Getriebeantriebs unter Verwendung des zweiten Schrittmotors 314 nach oben oder unten an.
  • Der Hebemechanismus 392 ist integral mit dem Rotationsmechanismus 394 ausgebildet, wie in 16A gezeigt. Der Hebemechanismus 392 wird durch eine von dem Schrittmotor 312 übertragene Leistung nach oben oder unten bewegt. Der Hebemechanismus 392 enthält eine Welle 382, die sich derart erstreckt, daß sie die erste Führungsprallplatte 50 und die erste Prallplatte 30 durchdringt, sowie einen Außenflansch 384, der an einem Ende der Welle 382 zum Antreiben der ersten Prallplatte 30 nach oben oder unten ausgebildet ist, um der Aufwärts- bzw. Abwärtsbewegung der Welle 382 zu folgen.
  • Der Rotationsmechanismus 394 enthält die Welle 382, welche durch die von dem zweiten Schrittmotor 314 übertragene Leistung drehbar ist, sowie eine externe Schraube 372, die an einer Position an einem äußeren Umfang der Welle 382 ausgebildet ist, bei der sie mit der Führungsprallplatte 50 verbunden ist, zum Antreiben der Führungsprallplatte 50 nach oben oder unten entsprechend der Drehung der Welle 382.
  • Wie 16B gezeigt, ist eine zentrale Öffnung 350, durch welche die Welle 382 passiert, an einem zentralen Abschnitt der Führungsprallplatte 50 ausgebildet. Ein Innengewinde 350, das zu dem externen Gewinde der Schraube 372 paßt, ist auf der Innenwand der zentralen Öffnung 350 ausgebildet.
  • Wie in 16C gezeigt, steht eine zentrale Öffnung 332, die von der Welle 382 durchdrungen wird, an einem zentralen Abschnitt der ersten Prallplatte 30 in Verbindung mit einem kreisförmigen Raum 334 zum Aufnehmen des Außenflansches 384, der an einem Ende der Welle 382 ausgebildet ist.
  • Die Breite des zweiten Zwischenraums 80 wird unter Verwendung des Hebemechanismus 392 eingestellt. Falls in diesem Fall die Welle 382 durch den Hebemechanismus 392 nach oben oder unten bewegt wird, um die erste Prallplatte 30 anzuheben bzw. abzusenken, wird die Führungsprallplatte 50 angehoben bzw. abgesenkt, um der Aufwärts- oder Abwärtsbewegung der Welle 382 zu folgen, da das Innengewinde 352, das mit dem Außengewinde der Schraube 372 in Eingriff steht, in der Führungsprallplatte 50 ausgebildet ist. Somit wird die erste Prallplatte 30 und die Führungsprallplatte 50 gleichzeitig nach oben oder unten bewegt, wenn die Welle 382 sich nach oben oder unten bewegt.
  • Die Breite des ersten Zwischenraums 70 wird unter Verwendung des Rotationsmechanismus 394 eingestellt. Falls der Rotationsmechanismus 394 zum Drehen der Welle 382 verwendet wird, wird die Führungsprallplatte 50 durch ein Zusammenspiel von dem Außengewinde der Schraube 372 der Welle 382 mit dem Innengewinde 352, das in der zentralen Öffnung 350 der Führungsprallplatte ausgebildet ist, angehoben bzw. abgesenkt. Wenn die Welle 382 durch den Rotationsmechanismus 394 auf diese Art und Weise gedreht wird, dreht sich die erste Prallplatte 30 nicht, sondern bleibt stationär, da der kreisförmige Raum 334 zum Aufnehmen des Außenflansches 384 in der ersten Prallplatte 30 derart ausgebildet ist, daß die Drehung des Außenflansches 384 die erste Prallplatte 30 nicht beeinflußt. Um hierbei die Führungsprallplatte 50 nach oben oder unten zu bewegen anstelle sie zu drehen, wenn die Welle 382 durch den Rotationsmechanismus 394 gedreht wird, ist ein Stopper 354 zum Verhindern der Drehung der Führungsprallplatte 50 mit der Führungsprallplatte 50 verbunden.
  • Bei dem voranstehenden Aufbau wird der Hebemechanismus 392 und der Rotationsmechanismus 394 zum Einstellen der Breiten der zweiten bzw. ersten Zwischenräume 80 bzw. 70 verwendet, wodurch die Reaktionsgasmenge, die von dem Prozeßbereich zu dem Zentralabschnitt oder dem Rand des Wafers zugeführt werden soll wie erwünscht eingestellt werden kann oder die zugeführte Reaktionsgasmenge gleichmäßig oder ungleichmäßig über die ganze Waferoberfläche abhängig von der Art der Anwendung eingestellt werden kann.
  • 17 stellt schematisch einen Aufbau der Hauptteile eines Sprühkopfes gemäß einer vierte Ausführungsform der vorliegenden Erfindung dar. Bei 17 sind die gleichen Elemente mit den gleichen Bezugszeichen bezeichnet, und ihre detaillierte Erläuterung wird daher weggelassen.
  • Bei der in 17 gezeigten Ausführungsform steht eine erste Prallplatte 430 in Kontakt mit einer zweiten Prallplatte 440. Somit ist die Breite des zweiten Zwischenraums 80, der zwischen der ersten und der zweiten Prallplatte 430 und 440 angeordnet ist, effektiv null. Eine Antriebswelle 480 zum gleichzeitigen Antreiben der ersten und zweiten Prallplatten 430 und 440 nach oben oder unten ist angeordnet, um die Breite des ersten Zwischenraums zwischen der Führungsprallplatte 50 und der ersten Prallplatte 430 einzustellen. Wenn die zweite Prallplatte 440 durch die Antriebswelle 480 nach oben oder unten angetrieben wird, wird die erste Prallplatte 430 nach oben oder unten bewegt, um der Aufwärts- oder Abwärtsbewegung der zweiten Prallplatte 440 zu folgen, wodurch die Breite des ersten Zwischenraums 70 durch den Boden der Prallplatte 50 und der oberen Oberfläche der ersten Prallplatte 430 begrenzt wird. Ein detaillierter Aufbau der Führungsprallplatte 50 ist wie vorangehend beschrieben.
  • Ein Rotationsmechanismus 390 ist mit der ersten Prallplatte 430 verbunden. Die erste Prallplatte 430 ist bezüglich der zweiten Prallplatte 440 um einen vorbestimmten Winkelbereich durch den Rotationsmechanismus 390 drehbar. Insbesondere variiert der Rotationsmechanismus 390 einen Drehwinkel der ersten Prallplatte 430 derart, daß die ersten und zweiten Prallplatten 430 und 440 unter zahlreichen Drehwinkeln miteinander kontaktierten.
  • 18 stellt eine Draufsicht auf die erste Prallplatte 430 dar. Die erste Prallplatte 430 weist eine Vielzahl von Durchgangsöffnungen 432 auf. Die Vielzahl der Durchgangsöffnungen 432 sind derart verteilt, daß sie verschiedene Öffnungsverhältnisse ab hängig von einem Radius von einer Mittelachse 431 der ersten Prallplatte 430 aufweisen.
  • Die erste Prallplatte 430 ist in eine Vielzahl von sektormäßigen Bereichen 435a, 435b und 435c eingeteilt, welche sich radial von ihrer Mittelachse 431 aus erstrecken. Jede der Vielzahl der sektormäßigen Bereiche 435a, 435b und 435c weist eine Vielzahl von Durchgangsöffnungen 432 auf, welche lediglich in einem vorbestimmten Bereich, der von der Mittelachse durch einen ausgewählten Radius getrennt ist, ausgebildet sind. D. h. der sektorfömige Bereich 435a weist eine Vielzahl von Durchgangsöffnungen 432 auf, die lediglich in einem ersten Bereich 436a ausgebildet sind, der von der Mittelachse 432 durch einen ersten Radius R1 getrennt ist. Der sektorförmige Bereich 435b weist die Vielzahl der Durchgangsöffnungen 432 auf, die lediglich in einem zweiten Bereich 435b ausgebildet sind, der von der Mittelachse 432 durch einen zweiten Radius R2 getrennt ist. Der sektorförmige Bereich 435c weist eine Vielzahl von Durchgangsöffnungen 432 auf, die lediglich in einem dritten Bereich 436c ausgebildet sind, der von der Mittelachse 432 durch einen dritten Radius R3 getrennt ist.
  • 19 stellt eine Draufsicht auf die zweite Prallplatte 440 dar. Die zweite Prallplatte 440 weist einen Vielzahl von Durchgangsöffnungen 442 auf. Die Vielzahl der Durchgangsöffnungen 442 sind derart verteilt, daß sie verschiedene Öffnungsverhältnisse abhängig von der Strecke, um welche die erste Prallplatte 430 um eine Mittelachse 441 der zweiten Prallplatte 440 gedreht ist, aufweisen.
  • Die zweite Prallplatte 440 ist in eine Vielzahl von sektormäßigen Bereichen 445a, 445b und 445c eingeteilt, die sich radial von ihrer Mittelachse aus erstrecken. Jede der Vielzahl der sektorförmigen Bereiche 445a, 445b und 445c, die in der zweiten Prallplatte 440 ausgebildet sind, weist eine Größe auf, die jeder der Vielzahl der sektormäßigen Bereiche 435a, 435b und 435 entspricht, die in der ersten Prallplatte 430 ausgebildet sind. Die sektorförmigen Bereiche 445b und 445c weisen ein Öffnungsverhältnis von null auf (d.h., keine Öffnungen). Die sektorförmigen Bereiche 445a weisen eine Viel zahl von Durchgangsöffnungen 442 auf, die in regelmäßigen Abständen angeordnet sind.
  • Da die ersten und zweiten Prallplatten 430 und 440 einander wie in 17 gezeigt, kontaktieren, stehen ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen 432, die auf der ersten Prallplatte 430 ausgebildet sind, in Verbindung mit ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen 442 in Verbindung, um somit ausgerichtete Öffnungen bzw. Ausrichtöffnungen auszubilden. Die Öffnungsposition der Ausrichtöffnungen wird abhängig von einer Strecke, um welche die erste Prallplatte 430 durch den Rotationsmechanismus 390 gedreht wird, geändert.
  • 20A20C stellen Ansichten von dem Boden der zweiten Prallplatte 440 dar, wenn die ersten und zweiten Prallplatten 430 und 440 einander mit verschiedenen Drehabständen kontaktieren. Das heißt, 20A20C zeigen Positionsveränderungen der Ausrichtöffnungen, die ausgebildet werden, wenn die erste Prallplatte 430 die zweite Prallplatte 440 kontaktiert, während die erste Prallplatte 430 um zahlreiche Winkel durch den Rotationsmechanismus 390 gedreht wird.
  • Insbesondere zeigt 20A einen Zustand, bei welchem die erste Prallplatte 430 um einen vorbestimmten Winkelabstand durch den Rotationsmechanismus 390 derart gedreht worden ist, daß der sektorförmige Bereiche 335a der ersten Prallplatte 430 und der sektorförmige Bereiche 445a der zweiten Prallplatte 440 einander überlappen. In diesem Fall stehen lediglich die Vielzahl der Durchgangsöffnungen 432, die in dem ersten Bereich 436a innerhalb des sektorförmigen Bereichs 435a der ersten Prallplatte 430 ausgebildet sind, mit der Vielzahl der Durchgangsöffnungen 442 in Verbindung, die mit dem sektorförmigen Bereich 445a der zweiten Prallplatte 440 ausgebildet sind. Folglich werden Ausrichtöffnungen 452 lediglich in dem ersten Bereich 436a ausgebildet, und die verbleibenden Durchgangsöffnungen 442, die in der zweiten Prallplatte 440 ausgebildet sind, werden durch die erste Prallplatte 430 blockiert. Wenn somit die erste Prallplatte 430 die zweite Prallplatte 440 kontaktiert, wie in 20 gezeigt, wird eine größere Reaktionsgasmenge von dem Prozeßbereich innerhalb der Reaktionskammer zu einem Rand auf dem Wafer zugeführt.
  • 20B stellt einen Zustand dar, bei welchem die erste Prallplatte 430 um eine vorbestimmte Winkelstrecke durch den Rotationsmechanismus 390 derart gedreht worden ist, daß der sektorförmige Bereiche 435b der ersten Prallplatte 430 und der sektorförmige Bereiche 445a der zweiten Prallplatte 440 einander überlappen. In diesem Fall stehen lediglich die Vielzahl der Durchgangsöffnungen 442, die in dem zweiten Bereich 436b innerhalb des sektorförmigen Bereichs 435a der ersten Prallplatte 430 ausgebildet sind, in Kontakt mit der Vielzahl der Durchgangsöffnungen 442, die in dem sektorförmigen Bereich 445a der zweiten Prallplatte 440 ausgebildet sind. Folglich sind Ausrichtöffnungen 452 lediglich in dem zweiten Bereich 436b ausgebildet, und die verbleibenden Durchgangsöffnungen 442, die in der zweiten Prallplatte 440 ausgebildet sind, werden durch die erste Prallplatte 430 blockiert. Wenn somit die erste Prallplatte 430 die zweite Prallplatte 440 kontaktiert, wie in 20B gezeigt, wird eine größere Reaktionsgasmenge von dem Prozeßbereich innerhalb der Reaktionskammer zu einem mittleren Bereich zwischen dem Zentralbereich und dem Rand des Wafers zugeführt.
  • 20C stellt einen Zustand dar, bei welchem die erste Prallplatte 430 um eine vorbestimmte Winkelstrecke durch den Rotationsmechanismus 390 derart gedreht worden ist, daß der sektorförmige Bereich 435c der ersten Prallplatte 430 und der sektorförmige Bereich 445a der zweiten Prallplatte 440 einander überlappen. In diesem Fall stehen lediglich die Vielzahl der Durchgangsöffnungen 432, die in dem dritten Bereich 436c unterhalb des sektorförmigen Bereich 435c der ersten Prallplatte 430 ausgebildet sind, in Verbindung mit der Vielzahl der Durchgangsöffnungen 442, die in dem sektorförmigen Bereich 445a der zweiten Prallplatte 440 ausgebildet sind. Folglich sind die Ausrichtöffnungen 450 lediglich in dem dritten Bereich 436c ausgebildet, und die verbleibenden Durchgangsöffnungen 442, die in der zweiten Prallplatte 440 ausgebildet sind, werden durch die erste Prallplatte 430 blockiert. Wenn somit die erste Prallplatte 430 die zweite Prallplatte wie in 20C gezeigt kontaktiert, wird eine größere Reakti onsgasmenge von dem Prozeßbereich zu einem Bereich nahe dem Zentralbereich auf dem Wafer innerhalb der Reaktionskammer zugeführt.
  • Wie vorhergehend beschrieben variiert die Öffnungsposition der Ausrichtöffnungen 452, die durch die ersten und zweiten Prallplatten 430 und 440 ausgebildet werden, mit der Drehstrecke der ersten Prallplatte, welche durch den Rotationsmechanismus 390 variiert wird. Um somit die Reaktionsgasmenge einzustellen, die zu einer bestimmten Position auf dem Wafer innerhalb des Prozeßbereichs zugeführt wird, wird der Rotationsmechanismus 490 verwendet, um den Drehwinkel der ersten Prallplatte 430 zu steuern und somit die Öffnungsposition der Ausrichtöffnungen 452 auszuwählen.
  • 21 zeigt eine Querschnittsansicht zum Erläutern des Aufbaus der Hauptteile einer Sprühvorrichtung gemäß einer fünften Ausführungsform der vorliegenden Erfindung. In 21 werden die gleichen Elemente durch die gleichen Bezugszeichen verwendet und ihre detaillierte Erklärung wird weggelassen.
  • Ähnlich der in 1 gezeigten ersten Ausführungsform weist der Sprühkopf gemäß der in 21 gezeigten fünften Ausführungsform eine erste Prallplatte 530 auf, die zwischen der oberen Platte 10 und der Frontplatte 20 angeordnet ist, sowie eine zweite Prallplatte 540, die zwischen der ersten Prallplatte 530 und der Frontplatte 20 angeordnet ist. Die zweite Prallplatte 540 weist eine obere Oberfläche auf, die den zweiten Zwischenraum 80 zum Ausbilden eines Strömungskanals für des Reaktionsgas zwischen den ersten und zweiten Prallplatten 530 und 540 begrenzt. Um die Reaktionsgasmenge durch den zweiten Zwischenraum 80 zu steuern, der zwischen den ersten und zweiten Prallplatten 530 und 540 ausgebildet ist, werden eine Vielzahl von piezoelektrischen Elementen 582, 584 und 586 auf der oberen Oberfläche der zweiten Prallplatte 540 angeordnet.
  • 22 stellt eine Draufsicht auf die erste Prallplatte 530 dar. Wie in 22 gezeigt, weist die erste Prallplatte 530 eine Vielzahl von ersten, zweiten und dritten Durchgangsöffnungen 532, 534 bzw. 536 auf. Die Vielzahl der ersten Durchgangsöff nungen 532 sind an einer Position ausgebildet, die von der Mittelachse 531 der ersten Prallplatte 530 durch einen ersten Radius R1 getrennt ist. Die Vielzahl der zweiten Durchgangsöffnungen 534 sind an einer Position ausgebildet, die von ihrer Mittelachse 531 durch einen zweiten Radius R2 getrennt sind, welcher größer als der erste Radius R1 ist. Die Vielzahl der dritten Durchgangsöffnungen 536 sind an einer Position ausgebildet, die von der Mittelachse 531 durch einen dritten Radius R3 getrennt sind, welcher größer als der zweite Radius R2 ist.
  • 23 ist eine Draufsicht auf die zweite Prallplatte 540. Wie in 23 gezeigt, weist die zweite Prallplatte 540 eine vierte Durchgangsöffnungen 542 und eine Vielzahl von fünften, sechsten und siebten Durchgangsöffnungen 544, 546 bzw. 548 auf. Die vierte Durchgangsöffnungen 542 ist an einer Position einer Mittelachse 541 der zweiten Prallplatte 540 ausgebildet. Die Vielzahl der fünften Durchgangsöffnungen 544 sind an einer Position ausgebildet, die von der Mittelachse 541 durch einen vierten Radius R4 getrennt sind. Die Vielzahl der sechsten Durchgangsöffnungen 546 sind an einer Position ausgebildet, die von der Mittelachse 541 durch einen fünften Radius R5 getrennt sind, welcher größer als der vierte Radius R4 ist. Die Vielzahl der siebten Durchgangsöffnungen 548 sind an einer Position ausgebildet, die von der Mittelachse 541 durch einen sechsten Radius R6 getrennt sind, welcher größer als der fünfte Radius R5 ist.
  • Die Vielzahl der piezoelektrischen Elemente 582, 584, 586 enthalten ein erstes ringförmiges piezoelektrisches Element 582, das zwischen den vierten und fünften Durchgangsöffnungen 542 und 544 auf der zweiten Prallplatte 540 angeordnet ist, ein zweites piezoelektrisches Element 584, das zwischen den fünften und sechsten Durchgangsöffnungen 544 und 546 auf der zweiten Prallplatte 540 angeordnet ist, und ein drittes piezoelektrisches Element 586, das zwischen dem sechsten und siebten Durchgangsöffnungen 546 und 548 auf der zweiten Prallplatte 540 angeordnet ist. Die ersten bis dritten piezoelektrischen Elemente 582, 584 und 586 sind auf die zweite Prallplatte 540 gebondet bzw. geklebt. Die Position, an welcher das erste piezoelektrische Element 582 auf der zweiten Prallplatte 540 lokalisiert ist, entspricht der Position, an welcher die Vielzahl der ersten Durchgangsöffnungen 532 der erste Prallplatte 530 ausgebildet sind. Die Position, an welche das zweite piezoelektrische Element 584 auf der zweiten Prallplatte 540 lokalisiert ist, entspricht der Position, an welcher die Vielzahl der zweiten Durchgangsöffnungen 534 der ersten Prallplatte 530 ausgebildet sind. Die Position, an welche das dritte piezoelektrische Element 586 auf der zweiten Prallplatte 540 lokalisiert ist, entspricht der Position, an welcher die Vielzahl der dritten Durchgangsöffnungen 536 der ersten Prallplatte 530 ausgebildet sind.
  • 24 stellt eine vergrößerte Ansicht eines Abschnitts "A" der 21. Gemäß 2124 enthält jedes der Vielzahl der piezoelektrischen Elemente 582, 584 und 586 eine piezoelektrische Schicht 572, die entsprechend einer Spannungsanwendung in einer Dickenausdehnungsbetriebsweise vibriert. Das piezoelektrische Element 572 kann aus Bleizirkontitan (PZT), PbTiO3, BaTiO3 oder einem Polymer aus Polyvenylidenfluorid (PVDF) ausgebildet sein. Die piezoelektrische Schicht 572 weist zwei Hauptflächen an jeder seiner Seiten auf, auf welcher erste und zweite Elektroden 574 bzw. 576 ausgebildet sind. Eine Isolationsschicht 578 ist auf der ersten Elektrode 574 benachbart zu der ersten Prallplatte 530 ausgebildet. Die zweite Elektrode 576 wird durch die zweite Prallplatte 540 gebildet. Das heißt, die zweite Prallplatte 540 dient außerdem als eine zweite Elektrode 576. Somit enthält das piezoelektrische Element 582 eine Bonding- bzw. Haftoberfläche zwischen der piezoelektrischen Schicht 572 und der zweiten Prallplatte 540. In diesem Fall wird die zweite Prallplatte 540 vorzugsweise aus Aluminium ausgebildet.
  • Von einer Leistungsversorgungseinheit 540 wird eine Spannung an die piezoelektrischen Elemente 582, 584 und 586 angelegt. Die Dickenausdehnungsrate der piezoelektrischen Schicht 572 jedes der piezoelektrischen Elemente 582, 584 und 586 kann durch den von der Leistungsversorgungseinheit 590 angelegten Spannungspegel gesteuert werden. Die Dickenausdehnungsrate der piezoelektrischen Schicht 572 stellt den Abstand zwischen den ersten piezoelektrischen Element 582 und der Durchgangsöffnung 532 ein und folglich die Reaktionsgasmenge 510, die durch die erste Durchgangsöffnung 532 der ersten Prallplatte 530 in den zweiten Zwischenraum 80 strömt.
  • Da die Dickenausdehnungsrate der piezoelektrischen Schicht 572 durch Einstellen des von der Leistungsversorgungseinheit 590 zugeführten Spannungspegels gesteuert wird, öffnet bzw. schließt die zugeführte Spannung selektiv die ersten Durchgangsöffnungen 532 der ersten Prallplatte 530. Der voranstehende Aufbau des ersten piezoelektrischen Elements 582 ist in ähnlicher Weise auf die zweiten und dritten piezoelektrischen Elemente 584 und 586 angewendet. Der Einsatz dieses Aufbaus kann nicht nur die Durchgangsöffnungen unter den ersten bis dritten Durchgangsöffnungen 532, 534 und 536 selektiv öffnen oder schließen, welche von der Mittelachse 531 der ersten Prallplatte 530 durch einen gewünschten Radius beabstandet sind, die in der ersten Prallplatte 530 ausgebildet sind, sondern kann ebenso die Reaktionsgasmenge einstellen, die durch die Durchgangsöffnungen strömt. Somit werden die piezoelektrischen Elemente 582, 584 und 586, von denen jedes eine Dickenausdehnungsrate aufweist, die abhängig von dem angelegten Spannungspegel variiert, verwendet, um selektiv die Reaktionsgasmenge zu steuern, die durch die Vielzahl der ersten bis dritten Durchgangsöffnungen 532, 534 und 536 strömt, die in der ersten Prallplatte 530 ausgebildet sind, entsprechend der Reaktionsgasmenge, die an einer bestimmten Position auf dem Wafer innerhalb des Prozeßbereichs der Reaktionskammer erforderlich ist.
  • Obgleich nicht gezeigt, kann der Sprühkopf mit dem unter Bezugnahme auf 21 vorangehend beschriebenen Aufbau ferner die Führungsprallplatte 50 enthalten, die auf der ersten Prallplatte 530 wie vorangehend unter Bezugnahme auf die 5A5C beschrieben angeordnet ist. In diesem Fall wird ein Zwischenraum, der dem ersten Zwischenraum 70 entspricht, zwischen der Führungsprallplatte 50 und der ersten Prallplatte 530 ausgebildet, wodurch ein lateraler Strömungskanal für das Reaktionsgas vorgesehen wird.
  • Der Sprühkopf kann ferner eine dritte Prallplatte 60 enthalten, die zwischen der zweiten Prallplatte 540 und der Frontplatte 20 angeordnet ist, wie unter Bezugnahme auf 7 vorangehend beschrieben.
  • Falls, wie unter Bezugnahme auf 2124 beschrieben, die piezoelektrischen Elemente 582, 584 und 586 verwendet werden, um die Reaktionsgasmenge zwischen den ersten und zweiten Prallplatten 530 und 540 einzustellen, wird die zugeführte Reaktionsgasmenge in einer radialen Richtung von dem Mittelpunkt des Sprühkopfes entsprechend dem von der Leistungsversorgungseinheit 590 angelegten Spannungspegel eingestellt. Demgemäß ist keine mechanische Bewegung in dem Sprühkopf erforderlich, während die Steuerungsleistungsfähigkeit zum Einstellen der zugeführten Reaktionsgasmenge verbessert wird.
  • Wie vorangehend beschrieben enthält ein Sprühkopf gemäß der vorliegenden Erfindung eine Zwischenraum-Steuervorrichtung zum Einstellen der Breite eines Zwischenraums zum Ausbilden eines Strömungskanals für das Reaktionsgas zwischen zwei benachbarten Prallplatten. Die Breite des Zwischenraums wird durch die Zwischenraum-Steuervorrichtung selektiv verringert oder vergrößert, wodurch die zu einer bestimmten Position auf dem Wafer in einem Prozeßbereich einer Reaktionskammer zugeführte Reaktionsgasmenge eingestellt wird und die zu einer Position auf den Wafer zugeführte Reaktionsgasmenge abhängig von der Art der Anwendung gleichmäßig oder ungleichmäßig eingestellt wird.
  • Gemäß der vorliegenden Erfindung ist es daher leichter, die Verteilung der Reaktionsgasmenge abhängig von einer Position auf dem Wafer einzustellen, um eine optimierte Ätzratengleichförmigkeit über der gesamten Waferoberfläche während des Herstellungsverfahrens einer Halbleitervorrichtung zu erzielen. Überdies macht es die vorliegende Erfindung möglich, die zugeführte Reaktionsgasmenge frei einzustellen, wodurch eine Verschlechterung bei der Ätzratengleichförmigkeit, die teilweise auf dem Wafer während eines Ätzschrittes auftreten kann, im voraus kompensiert werden kann und folglich die Ätzratengleichförmigkeit optimiert werden kann. Die vorliegende Erfindung optimiert somit nicht nur frei die Mustergleichförmigkeit abhängig von einer Position auf dem Wafer, sondern macht es außerdem nicht erforderlich, die Gleichförmigkeit über der gesamten Waferoberfläche erheblich zu berücksichtigen, wodurch die Verringerung der Zeit und der Kosten beim Entwickeln einer Halbleitervorrichtungsherstellungsvorrichtung verringert wird.
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung wurden hierin offenbart, und obgleich bestimmte Ausdrücke benutzt worden sind, wurden sie lediglich in einem gattungsgemäßen und beschreibenden Sinn verwendet und nicht zum Zwecke der Beschränkung und sollten daher auch so interpretiert werden. Demgemäß ist es für den Fachmann ersichtlich, daß zahlreiche Abänderungen in Form und Detail vorgenommen werden können, ohne von dem gedanklichen Grundkonzept und dem Umfang der vorliegenden Erfindung, wie er in den folgenden Ansprüchen dargelegt ist, abzuweichen.

Claims (107)

  1. Sprühkopf zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer während der Herstellung einer Halbleitervorrichtung, wobei der Sprühkopf aufweist: eine obere Platte (10) mit einem Gasanschluß (12) zum Einbringen des von einer äußeren Quelle zugeführten Reaktionsgases in die Reaktionskammer; eine Frontplatte (20), die dem Prozeßbereich gegenüberliegend angeordnet ist, wobei die Frontplatte (20) eine Vielzahl von Durchgangsöffnungen aufweist; eine erste Prallplatte (30, 130, 230, 430, 530) mit einer Vielzahl von Durchgangsöffnungen, wobei die erste Prallplatte (30, 130, 230, 430, 530) zwischen der oberen Platte (10) und der Frontplatte (20) so angeordnet ist, daß sie in der Lage ist, sich nach oben oder unten zu bewegen, wobei die erste Prallplatte (30, 130, 230, 430, 530) eine obere Oberfläche aufweist, die einen ersten Zwischenraum (70) zum Ausbilden eines ersten lateralen Strömungskanals für das Reaktionsgas definiert; eine zweite Prallplatte (40, 140, 440, 540) mit einer Vielzahl von Durchgangsöffnungen, wobei die zweite Prallplatte (40, 140, 440, 540) zwischen der ersten Prallplatte (30, 130, 230, 430, 530) und der Frontplatte (20) so angeordnet ist, daß sie in der Lage ist, sich nach oben oder unten zu bewegen, wobei die zweite Prallplatte (40, 140, 440, 540) eine obere Oberfläche aufweist, die einen zweiten Zwischenraum (80) zum Ausbilden eines zweiten lateralen Strömungskanals für das Reaktionsgas zwischen den ersten und zweiten Prallplatten definiert; und eine Zwischenraum-Steuervorrichtung zum Einstellen einer Breite des ersten Zwischenraums (70) und einer Breite des zweiten Zwischenraums (80).
  2. Sprühkopf nach Anspruch 1, wobei die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte (30, 130, 230, 430, 530) ausgebildet sind, aufweisen: eine Vielzahl von ersten Durchgangsöffnungen (32, 237), die an einer ersten Position ausgebildet sind, welche sich in der Nähe der Mittelachse (31, 231) der ersten Prallplatte (30, 130, 230, 430, 530) befindet und mit einem ersten Abstand in einer radialen Richtung von der Mittelachse (31, 231) beabstandet ist; und eine Vielzahl von zweiten Durchgangsöffnungen (34, 238), die an einer zweiten Position ausgebildet sind, welche sich in der Nähe eines Randes der ersten Prallplatte (30, 130, 230, 430, 530) befindet und mit einem zweiten Abstand, der größer als der erste Abstand ist, in einer radialen Richtung von der Mittelachse (31, 231) beabstandet ist.
  3. Sprühkopf nach Anspruch 2, der ferner die Zwischenraum-Steuervorrichtung, welche die Position der ersten Prallplatte (30, 130, 230, 430, 530) einstellt, aufweist, um die Breite des ersten Zwischenraums (70) zu verringern, so daß die Reaktionsgasmenge, die durch die Vielzahl der ersten Durchgangsöffnungen (32, 237) strömt, größer ist, als die Reaktionsgasmenge, die durch die Vielzahl der zweiten Durchgangsöffnungen (34, 238) strömt.
  4. Sprühkopf nach Anspruch 2, der ferner die Zwischenraum-Steuervorrichtung, welche die Position der ersten Prallplatte (30, 130, 230, 430, 530) einstellt, aufweist, um die Breite des ersten Zwischenraums (70) zu vergrößern, so daß die Reaktionsgasmenge, die durch die Vielzahl der zweiten Durchgangsöffnungen (34, 238) strömt, vergrößert ist.
  5. Sprühkopf nach Anspruch 1, der fernerdie Zwischenraum-Steuervorrichtung, welche die Position der zweiten Prallplatte (40, 140, 440, 540) einstellt, aufweist, um die Breite des zweiten Zwischenraums (80) zu vergrößern, so daß die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen strömt, die in der zweiten Prallplatte (40, 140, 440, 540) ausgebildet sind, gleichförmig über den gesamten Prozeßbereich ausgebildet ist.
  6. Sprühkopf nach Anspruch 1, der fernerdie Zwischenraum-Steuervorrichtung, welche die Position der zweiten Prallplatte (40, 140, 440, 540) einstellt, aufweist, um die Breite des zweiten Zwischenraums (80) zu verringern, so daß die Reaktionsgasmenge, die durch die Vielzahl der Durchgangsöffnungen strömt, die in der zweiten Prallplatte (40, 140, 440, 540) ausgebildet sind, abhängig von einer Position in dem Prozeßbereich selektiv variiert.
  7. Sprühkopf nach Anspruch 1, wobei die Zwischenraum-Steuervorrichtung aufweist: einen ersten Distanzring (92), der auf der Oberseite der ersten Prallplatte (30, 130, 230, 430, 530) zum Einstellen der Breite des ersten Zwischenraums (70) angeordnet ist; und einen zweiten Distanzring (94), der zwischen den ersten und zweiten Prallplatten zum Einstellen der Breite des zweiten Zwischenraums (80) angeordnet ist.
  8. Sprühkopf nach Anspruch 7, wobei der erste Distanzring (92) an einem oberen Rand der ersten Prallplatte (30, 130, 230, 430, 530) angeordnet ist, und der zweite Distanzring (94) an einem oberen Rand der zweiten Prallplatte (40, 140, 440, 540) angeordnet ist.
  9. Sprühkopf nach Anspruch 7, wobei der erste Distanzring (92) aus einem oder mehreren Ringen (90, 190) aufgebaut ist.
  10. Sprühkopf nach Anspruch 7, wobei der zweite Distanzring (94) aus einem oder mehreren Ringen (90, 190) aufgebaut ist.
  11. Sprühkopf nach Anspruch 7, wobei zumindest einer der ersten und zweiten Distanzringe (92, 94) einen ringförmigen Kontaktabschnitt (194) aufweist, in welchem eine Vielzahl von Sägezähnen (192) ausgebildet sind.
  12. Sprühkopf nach Anspruch 11, wobei jede der Vielzahl der Sägezähne (192) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  13. Sprühkopf nach Anspruch 7, wobei die Höhe jedes Sägezahns (192) (192) des ringförmigen Kontaktabschnitts (194) in dem Bereich von ungefähr 0,01-0,5 mm liegt.
  14. Sprühkopf nach Anspruch 7, wobei der erste Distanzring (92) einen ringförmigen Kontaktabschnitt (194) aufweist, der aus einer Vielzahl von Sägezähnen (192) besteht, die gegenüberliegend der ersten Prallplatte (30, 130, 230, 430, 530) ausgebildet sind, und wobei die erste Prallplatte (30, 130, 230, 430, 530) einen Distanzringkoppler (132, 142) mit einer Vielzahl von Sägezähnen (192) enthält, die gegenüberliegend dem ersten Distanzring (92) ausgebildet sind, um mit der Vielzahl der Sägezähne (192) des ringförmigen Kontaktabschnitts (194) in Eingriff zu stehen.
  15. Sprühkopf nach Anspruch 14, wobei jede der Vielzahl der Sägezähne (192) des Distanzringkopplers (132, 142) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  16. Sprühkopf nach Anspruch 14, wobei die Höhe jedes Sägezahns (192) des Distanzringkopplers (132, 142) in dem Bereich von ungefähr 0,01 – 0,5 mm liegt.
  17. Sprühkopf nach Anspruch 7, wobei der zweite Distanzring (94) einen ringförmigen Kontaktabschnitt (194) aufweist, der aus einer Vielzahl von Sägezähnen (192) besteht, die gegenüberliegend der zweiten Prallplatte (40, 140, 440, 540) ausgebildet sind, und wobei die zweite Prallplatte (40, 140, 440, 540) einen Distanzringkoppler (132, 142) mit einer Vielzahl von Sägezähnen (192) enthält, die gegenüberliegend den zweiten Distanzring (94) ausgebildet sind, um mit der Vielzahl der Sägezahnstufen des ringförmigen Kontaktabschnitts (194) in Eingriff zu stehen.
  18. Sprühkopf nach Anspruch 17, wobei jede der Vielzahl der Sägezähne (192) des Distanzringkopplers (132, 142) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  19. Sprühkopf nach Anspruch 17, wobei die Höhe jedes Sägezahns (192) des Distanzringkopplers (132, 142) in dem Bereich von ungefähr 0,01 – 0,5 mm liegt.
  20. Sprühkopf nach Anspruch 1, wobei die erste Prallplatte (30, 130, 230, 430, 530) aus einem einzigen scheibenförmigen Element mit einer gleichförmigen Dicke über seiner gesamten Oberfläche besteht.
  21. Sprühkopf nach Anspruch 1, wobei die erste Prallplatte (30, 130, 230, 430, 530) aufweist: eine scheibenähnliche Basisplatte (232) mit einer Vielzahl von Durchgangsöffnungen und einer Nut (236) zum Vorsehen eines kreisförmigen Raums in der Mitte ihrer oberen Oberfläche; und eine scheibenähnliche Einageplatte (234), die zum Drehen um den Mittelpunkt (231) der ersten Prallplatte (230) innerhalb der Nut (236) eingefügt ist, wobei die scheibenähnliche Einlageplatte (234) eine Vielzahl von Durchgangsöffnungen aufweist, die mit ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen, die in der Basisplatte (232) ausgebildet sind, in Verbindung stehen.
  22. Sprühkopf nach Anspruch 21, wobei die Vielzahl der Durchgangsöffnungen, die in der Basisplatte (232) ausgebildet sind, aufweist: eine Vielzahl von ersten Durchgangsöffnungen (237), die an einer ersten Position ausgebildet sind, die sich in der Nähe der Mittelachse (231) der ersten Prallplatte (230) befindet und mit einem ersten Abstand, der weniger als der Radius der Einlageplatte (234) ist, in einer radialen Richtung von der Mittelachse (231) beabstandet ist; und eine Vielzahl von zweiten Durchgangsöffnungen (238), die an einer zweiten Position ausgebildet sind, die sich in der Nähe des Randes der Basisplatte (232) befindet und die mit einem zweiten Abstand, der größer als der Radius der Einlageplatte (234) ist, in einer radialen Richtung von der Mittelachse (231) beabstandet ist, und wobei die Vielzahl der ersten Durchgangsöffnungen (237) mit der Vielzahl der Durchgangsöffnungen (235), die in der Einlageplatte (234) ausgebildet sind, abhängig von einer Rotationsstrecke der Einlageplatte (234) in Verbindung stehen.
  23. Sprühvorrichtung nach Anspruch 22, wobei die Vielzahl der Durchgangsöffnungen (235) in der Einlageplatte (234) und die Vielzahl der ersten Durchgangsöffnungen (237) in der Basisplatte (232) selektiv nur in einigen Winkelbereichen bezüglich der Mittelachse (231) der ersten Prallplatte (230) ausgebildet sind, um das Öffnungsverhältnis der ersten Durchgangsöffnungen (237) abhängig von der Rotationsstrecke der Einlageplatte (234) zu verändern.
  24. Sprühkopf nach Anspruch 1, der ferner eine Führungsprallplatte (50) aufweist, die auf der ersten Prallplatte (30, 130, 230, 430, 530) koaxial bezüglich der ersten Prallplatte (30, 130, 230, 430, 530) ausgebildet ist, wobei die Führungsprallplatte (50) einen Einlaß (52) zum Einbringen des durch die obere Platte (10) zugeführten Reaktionsgases sowie eine Vielzahl von Auslässen (54) zum Ausströmen des durch den Einlaß (52) eingebrachten Reaktionsgases in den ersten Zwischenraum (70) durch eine Vielzahl von Kanälen aufweist, wobei die Breite des ersten Zwischenraums (70) durch einen Boden der Führungsprallplatte (50) und einer oberen Oberfläche der ersten Prallplatte (30, 130, 230, 430, 530) definiert ist.
  25. Sprühkopf nach Anspruch 24, wobei die Vielzahl der Auslässe (54), die in der Führungsprallplatte (50) ausgebildet sind, an einer Position ausgebildet sind, die mit einem vorbestimmten Abstand in einer radialen Richtung von einer Mittelachse der Führungsprallplatte (50) beabstandet ist.
  26. Sprühkopf nach Anspruch 25, wobei die Vielzahl der Durchgangsöffnungen aufweist: eine Vielzahl von ersten Durchgangsöffnungen (237), die an einer ersten Position ausgebildet sind, welche sich in der Nähe einer Mittelachse (231) der ersten Prallplatte (230) befindet und die mit einem ersten Abstand in einer radialen Richtung von der Mittelachse (231) beabstandet ist; und eine Vielzahl von zweiten Durchgangsöffnungen (238), die an einer zweiten Position ausgebildet sind, welche sich an einem Rand der ersten Prallplatte (230) befindet und die mit einem zweiten Abstand, der größer als der erste Abstand ist, in einer radialen Richtung von der Mittelachse (231) beabstandet ist, und wobei die Vielzahl der Auslässe (54), die in der Führungsprallplatte (50) ausgebildet sind, an einer Position ausgebildet sind, die mit einem dritten Abstand, der größer als der erste Abstand und kleiner als der zweite Abstand ist, in einer radialen Richtung von der Mittelachse der Führungsprallplatte (50) beabstandet ist.
  27. Sprühkopf nach Anspruch 26, wobei ein Abstand zwischen jedem der Vielzahl der Auslässe (54) und jeder der Vielzahl der ersten Durchgangsöffnungen (237) kleiner ist als der Abstand zwischen jeder der Vielzahl der Auslässe (54) und jeder der Vielzahl der zweiten Durchgangsöffnungen (238).
  28. Sprühkopf nach Anspruch 24, wobei die Zwischenraum-Steuervorrichtung aufweist: einen ersten Distanzring (92), der zwischen der Führungsprallplatte (50) und der ersten Prallplatte (30) zum Einstellender Breite des ersten Zwischenraums (70) angeordnet ist; und einen zweiten Distanzring (94), der zwischen den ersten und zweiten Prallplatten (30, 40) zum Einstellender Breite des zweiten Zwischenraums (80) angeordnet ist.
  29. Sprühkopf nach Anspruch 28, wobei die ersten bzw. zweiten Distanzringe (92, 94) aus einem oder mehreren Ringen (90, 190) aufgebaut sind.
  30. Sprühkopf nach Anspruch 28, wobei zumindest einer der ersten und zweiten Distanzringe (92, 94) einen ringförmigen Kontaktabschnitt (194) aufweist, in welchem eine Vielzahl von Sägezähnen (192) ausgebildet sind.
  31. Sprühkopf nach Anspruch 30, wobei jede der Vielzahl der Sägezähne (192) eine Zahnweite aufweisen, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  32. Sprühkopf nach Anspruch 30, wobei die Höhe jedes Sägezahns (192) des ringförmigen Kontaktabschnitts (194) in dem Bereich von ungefähr 0,01 – 0,5 mm liegt.
  33. Sprühkopf nach Anspruch 28, wobei der erste Distanzring (92) einen ringförmigen Kontaktabschnitt (194) aufweist, der aus einer Vielzahl von Sägezähnen (192) besteht, die gegenüberliegend der ersten Prallplatte (30, 130) ausgebildet sind, und wobei die erste Prallplatte (30, 130) einen Distanzringkoppler (132) mit einer Vielzahl von Sägezähnen (192) aufweist, die gegenüberliegend dem ersten Distanzring (92) ausgebildet sind, um mit der Vielzahl der Sägezähne (192) des ringförmigen Kontaktabschnitts in Eingriff zu stehen.
  34. Sprühkopf nach Anspruch 33, wobei jeder der Vielzahl der Sägezähne des Distanzringkopplers (132) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  35. Sprühkopf nach Anspruch 33, wobei die Höhe jedes Sägezahns des Distanzringkopplers (132) in einem Bereich von ungefähr 0,01 – 0,5 mm liegt.
  36. Sprühkopf nach Anspruch 28, wobei der zweite Distanzring (94) einen ringförmigen Kontaktabschnitt (194) aufweist, der aus einer Vielzahl von Sägezähnen besteht, die gegenüberliegend der zweiten Prallplatte (40, 140) ausgebildet sind, und wobei die zweite Prallplatte (40, 140) einen Distanzringkoppler (142) enthält, der eine Vielzahl von Sägezähnen aufweist, die gegenüberliegend dem zweiten Distanzring (94) ausgebildet sind, um mit der Vielzahl der Sägezähne (192) des ringförmigen Kontaktabschnitts in Eingriff zu stehen.
  37. Sprühkopf nach Anspruch 36, wobei jeder der Vielzahl der Sägezähne des Distanzringkopplers (142) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  38. Sprühkopf nach Anspruch 36, wobei die Höhe jedes Sägezahns des Distanzringkopplers (142) in dem Bereich von ungefähr 0,01 – 0,5 mm liegt.
  39. Sprühkopf nach Anspruch 24, wobei die Zwischenraum-Steuervorrichtung aufweist: eine erste Antriebswelle (292) zum selektiven Bewegen der Führungsprallplatte (50) nach oben oder unten, um die Breite des ersten Zwischenraums (70) einzustellen; und einen zweite Antriebswelle (294) zum selektiven Bewegen der ersten Prallplatte (30) nach oben oder unten, um die Breite des zweiten Zwischenraums (80) einzustellen.
  40. Sprühkopf nach Anspruch 39, wobei die erste Antriebswelle (292) koaxial zu der zweiten Antriebswelle (294) installiert ist.
  41. Sprühkopf nach Anspruch 24, wobei die Zwischenraum-Steuervorrichtung aufweist: einen Hebemechanismus (392) zum Bewegen der ersten Prallplatte (30) unter Verwendung eines ersten Schrittmotors (312) nach oben oder unten, um die Breite des zweiten Zwischenraums (80) einzustellen; und einen Rotationsmechanismus (394) zum Bewegen der Führungsprallplatte (50) durch einen Zahnantrieb unter Verwendung eines zweiten Schrittmotors (314) nach oben oder unten, um die Breite des ersten Zwischenraums (70) einzustellen, und wobei der Hebemechanismus (392) mit dem Drehmechanismus (394) integriert ausgebildet sind.
  42. Sprühkopf nach Anspruch 41, wobei der Hebemechanismus (392) eine Welle (382), welche sichdurch die Führungsprallplatte (50) und die erste Prallplatte (30) hindurcherstreckt, sowie einen Außenflansch (384) aufweist, der an einem Ende der Welle (382) zum Bewegen der ersten Prallplatte (30) nach oben oder unten angeordnet ist, um der Aufwärts- oder Abwärtsbewegung der Welle (382) zu folgen, und wobei der Rotationsmechanismus (394) die Welle (382) enthält, welche durch eine von dem zweiten Schrittmotor (314) übertragene Leistung drehbar ist, sowie eine externe Schraube (372), die an einem Außenumfang der Welle (382) ausgebildet ist, wo sie mit der Führungsprallplatte (50) verbunden ist, zum Anheben oder Absenken der Führungsprallplatte (50) gemäß der Drehung der Welle (382).
  43. Sprühkopf nach Anspruch 42, wobei ein ringförmiger Raum (334) zum Aufnehmen des Außenflansches (384), der an dem Ende der Welle (382) ausgebildet ist, an dem Zentralabschnitt der ersten Prallplatte (30) ausgebildet ist.
  44. Sprühkopf nach Anspruch 42, wobei eine Zentralöffnung (332), durch welche sich die Welle (382) erstreckt, an einem Zentralabschnitt der Führungsprallplatte (50) ausgebildet ist, und ein Innengewinde (352), das zu dem Außengewinde der Schraube der Welle (382) paßt, ist auf einer Innenwand der Zentralöffnung (332) ausgebildet.
  45. Sprühkopf nach Anspruch 42, wobei ein Innengewinde (352), das zu dem Außengewinde der Schraube paßt, in der Führungsprallplatte (50) ausgebildet ist, so daß die Führungsprallplatte (50) sich nach oben oder unten bewegt, um der Bewegung der Welle (382) zu folgen, wenn die Welle (382) durch den Hebemechanismus (392) nach oben oder unten bewegt wird, um die erste Prallplatte (30) anzuheben bzw. abzusenken.
  46. Sprühkopf nach Anspruch 42, wobei ein kreisförmiger Raum (334) zum Aufnehmen des Außenflansches (384) ohne Reibung in der ersten Prallplatte (30) ausgebildet wird, so daß die Drehung des Außenbereichs die erste Prallplatte (30) nicht beeinflußt, wenn die Welle (382) durch den Rotationsmechanismus (394) gedreht wird, um die Führungsprallplatte (50) anzuheben bzw. abzusenken.
  47. Sprühkopf nach Anspruch 42, der ferner einen Stopper (354) aufweist, der die Führungsprallplatte (50) an einer Drehung hindert, wenn die Welle (382) durch den Rotationsmechanismus (394) gedreht wird.
  48. Sprühkopf nach Anspruch 1, der ferner einen Rotationsmechanismus (394) aufweist, der mit der ersten Prallplatte (30) verbunden ist, so daß die erste Prallplatte (30) bezüglich der zweiten Prallplatte (40) in einem vorbestimmten Winkelbereich rotiert, wobei die erste Prallplatte (30) die zweite Prallplatte (40) kontaktiert, so daß ausgewählte Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte (30) ausgebildet sind, in Verbindung mit ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen stehen, die in der zweiten Prallplatte (40) ausgebildet sind, wodurch Ausrichtöffnungen ausgebildet werden.
  49. Sprühkopf nach Anspruch 48, wobei die Breite des zweiten Zwischenraums (80) effektiv null ist.
  50. Sprühkopf nach Anspruch 48, wobei die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte (30) ausgebildet sind, derart verteilt sind, daß sie abhängig von einem Radius von der Mittelachse (31) der ersten Prallplatte (30) verschiedene Öffnungsverhältnisse aufweisen, wobei die Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte (40) ausgebildet sind, derart verteilt sind, daß sie abhängig von der Strecke verschiedene Öffnungsverhältnisse aufweisen, um welche die erste Prallplatte (30) um die Mittelachse der zweiten Prallplatte (40) rotiert, und wobei der Rotationsmechanismus (394) die Rotationsstrecke der ersten Prallplatte (30) verändert, um die Öffnungsposition der Ausrichtöffnungen zu verändern.
  51. Sprühkopf nach Anspruch 50, wobei die erste Prallplatte (430) in eine Vielzahl von sektorförmigen Bereichen (435) aufgeteilt ist, die sich in einer radialen Richtung von ihrer Mittelachse (431) aus erstrecken, und wobei jeder sektorförmige Bereich (435) eine Vielzahl von Durchgangsöffnungen aufweist, die lediglich in einem vorbestimmten Bereich ausgebildet sind, der um einen ausgewählten Radius von der Mittelachse (431) aus beabstandet ist.
  52. Sprühkopf nach Anspruch 50, wobei die zweite Prallplatte (440) in eine Vielzahl von sektorförmigen Bereichen (445) aufgeteilt ist, die sich in einer radialen Richtung von ihrer Mittelachse (441) aus erstrecken, und wobei die Vielzahl der sektorförmigen Bereiche (445), die die Vielzahl der Durchgangsöffnungen aufweisen, in regelmäßigen Abständen angeordnet sind.
  53. Sprühkopf nach Anspruch 48, wobei die Zwischenraum-Steuervorrichtung eine Antriebswelle (480) zum gleichzeitigen Bewegen der ersten und zweiten Prallplatten (430, 440) nach oben oder unten aufweist, um die Breite des ersten Zwischenraums (70) einzustellen.
  54. Sprühkopf nach Anspruch 53, der ferner eine Führungsprallplatte (50) aufweist, die auf der ersten Prallplatte (430) koaxial bezüglich der ersten Prallplatte (430) angeordnet ist, wobei die Führungsprallplatte (50) einen Einlaß (52) zum Einbringen des durch die obere Platte (10) zugeführten Reaktionsgases sowie eine Vielzahl von Auslässen (54) zum Ausströmen des durch den Einlaß (52) eingebrachten Reaktionsgases in den ersten Zwischenraum (70) durch die Vielzahl der Kanäle aufweist, wobei die Breite des ersten Zwischenraums (70) durch einen Boden der Führungsprallplatte (50) und einer oberen Oberfläche der ersten Prallplatte (430) definiert ist.
  55. Sprühkopf nach Anspruch 54, wobei die Vielzahl der Auslässe (54) der Führungsprallplatte (50) an einer Position ausgebildet sind, die mit einem vorbestimmten Abstand in einer radialen Richtung von einer Mittelachse der Führungsprallplatte (50) beabstandet ist.
  56. Sprühkopf zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer, wobei der Sprühkopf aufweist: eine obere Platte (10) mit einem Gasanschluß (12) zum Einbringen des von einer äußeren Quelle zugeführten Reaktionsgases in die Reaktionskammer; eine Frontplatte (20), die dem Prozeßbereich gegenüberliegend angeordnet ist, wobei die Frontplatte (20) eine Vielzahl von Durchgangsöffnungen aufweist; eine erste Prallplatte (530), die zwischen der oberen Platte (10) und der Frontplatte (20) angeordnet ist, wobei die erste Prallplatte (530) eine Vielzahl von Durchgangsöffnungen aufweist; eine zweite Prallplatte (540), die eine Vielzahl von Durchgangsöffnungen aufweist, die zwischen der ersten Prallplatte (530) und der Frontplatte (20) angeordnet ist, wobei die zweite Prallplatte (540) eine obere Oberfläche aufweist, die einen Zwischenraum zum Ausbilden eines lateralen Strömungskanals für das Reaktionsgas zwischen den ersten und zweiten Prallplatten (530, 540) definiert; eine Vielzahl von piezoelektrischen Elementen (582, 584, 586), die auf der zweiten Prallplatte (540) gegenüberliegend den Durchgangsöffnungen der ersten Prallplatte (530) zum Steuern der Reaktionsgasmenge durch den Zwischenraum angeordnet ist; und eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an jedes der Vielzahl der piezoelektrischen Elemente.
  57. Sprühkopf nach Anspruch 56, wobei jedes der Vielzahl der piezoelektrischen Elemente aufweist: eine piezoelektrische Schicht (572), die in einem Höheneinstellungsmodusgemäß der angelegten Spannung vibriert, wobei die piezoelektrische Schicht (572) zwei Hauptoberflächen aufweist; erste und zweite Elektrodenschichten, von denen jede auf einer der zwei Hauptoberflächen der piezoelektrischen Schicht (572) ausgebildet ist; und eine Isolationsschicht (578), die auf der ersten Elektrodenschicht benachbart zu der ersten Prallplatte (530) ausgebildet ist.
  58. Sprühkopf nach Anspruch 57, wobei die piezoelektrische Schicht (572) aus der Gruppe bestehend aus Bleizirkontitan (PZT), PbTiO3, BaTiO3 und einem Polymer aus Polyvinylidenfluorid (PVDF) ausgewählten Stoff ausgebildet ist.
  59. Sprühkopf nach Anspruch 57, wobei die zweite Elektrodenschicht aus der zweiten Prallplatte (540) besteht.
  60. Sprühkopf nach Anspruch 56, der fernerdie Vielzahl der piezoelektrischen Elemente, welche die Reaktionsgasmengesteuern, aufweist, die von den Durchgangsöffnungen der ersten Prallplatte (530) in den Zwischenraumströmt, unter Verwendung einer Höheneinstellungsrate des piezoelektrischen Elements, die entsprechend dem von der Leistungsversorgungseinheit (590) angelegten Spannungspegel eingestellt wird.
  61. Sprühkopf nach Anspruch 56, der ferner die Vielzahl der piezoelektrischen Elemente aufweist, welche die Vielzahl der Durchgangsöffnungen unter Verwendung einer Höheneinstellungsrate des piezoelektrischen Elements, die entsprechend dem von der Versorgungseinheit zugeführten Spannungspegel eingestellt wird, selektiv öffnet oder schließt.
  62. Sprühkopf nach Anspruch 56, wobei die Vielzahl der Durchgangsöffnungen der ersten Prallplatte (530) an einer ersten Position ausgebildet sind, die mit einem vorbestimmten Radius von der Mittelachse (531) der ersten Prallplatte (530) beabstandet ist, und wobei eines der Vielzahl der piezoelektrischen Elemente ein ringförmiges Element enthält, das an einer Position ausgebildet ist, das der ersten Position auf der zweiten Prallplatte (540) entspricht.
  63. Sprühkopf nach Anspruch 56, wobei die ersten und zweiten Prallplatten (530, 540) aus Aluminium ausgebildet sind.
  64. Sprühkopf nach Anspruch 56, der ferner eine Führungsprallplatte (50) aufweist, die auf der ersten Prallplatte (530) koaxial bezüglich der ersten Prallplatte (530) angeordnet ist, wobei die Führungsprallplatte (50) eine Bodenfläche aufweist, die der ersten Prallplatte (530) gegenüberliegt, wobei ein oberer Zwischenraum zum Vorsehen eines lateralen Strömungskanals für das Reaktionsgas zwischen der Führungsprallplatte (50) und der ersten Prallplatte (530) ausgebildet ist, und wobei die Führungsprallplatte (50) einen Einlaß (52) zum Einbringen des durch die obere Platte (10) zugeführten Reaktionsgases und eine Vielzahl von Auslässen (54) zum Auströmen des durch den Einlaß (52) eingebrachten Reaktionsgases in den oberen Zwischenraum durch die Vielzahl der Kanäle aufweist.
  65. Sprühkopf nach Anspruch 64, wobei die Vielzahl der Auslässe (54), die in der Führungsprallplatte (50) ausgebildet sind, an einer Position ausgebildet sind, die mit einem vorbestimmten Abstand in einer radialen Richtung von einer Mittelachse der Führungsprallplatte (50) beabstandet ist.
  66. Sprühkopf nach Anspruch 56, der ferner eine dritte Prallplatte (60) aufweist, die zwischen der zweiten Prallplatte (540) und der Frontprallplatte (20) angeordnet ist, wobei die dritte Prallplatte (60) eine Vielzahl von Durchgangsöffnungen aufweist.
  67. Sprühkopf nach Anspruch 66, wobei die dritte Prallplatte (60) aus einem hoch widerstandsfähigem Material ausgebildet ist, dessen Widerstandsfähigkeit ausreichend hoch ist, um den Sprühkopf elektrisch zu stabilisieren.
  68. Sprühkopf nach Anspruch 67, wobei die dritte Prallplatte (60) aus Siliziumkarbid (SiC) ausgebildet ist.
  69. Sprühkopf zum Zuführen eines Reaktionsgases zu einem Prozessbereich innerhalb einer Reaktionskammer, wobei der Sprühkopf aufweist: eine erste Prallplatte (30, 130, 230, 430, 530), die eine Vielzahl von ersten und zweiten Durchgangsöffnungen aufweist, um die von einer äußeren Quelle zugeführte Reaktionsgasmenge entsprechend einem Radius von der Mittelachse (31, 231, 431, 441, 531, 541) selektiv einzustellen, wobei die Vielzahl der ersten Durchgangsöffnungen mit einem ersten Radius von einer Mittelachse (31, 231, 431, 441, 531, 541) beabstandet sind und die Vielzahl der zweiten Durchgangsöffnungen mit einem zweiten Radius von der Mittelachse (31, 231, 431, 441, 531, 541) beabstandet sind; eine zweite Prallplatte (40, 140, 440, 540), die zwischen der ersten Prallplatte (30, 130, 230, 430, 530) und der Frontplatte (20) angeordnet ist, so daß ein Zwischenraum zum Vorsehen eines lateralen Strömungskanals zwischen den ersten und zweiten Prallplatten (40, 140, 440, 540) ausgebildet ist, wobei die zweite Prallplatte (40, 140, 440, 540) eine Vielzahl von Durchgangsöffnungen aufweist; und eine Zwischenraum-Steuervorrichtung zum Bewegen von zumindest der ersten und zweiten Prallplatte, um die Breite des Zwischenraums einzustellen.
  70. Sprühkopf nach Anspruch 69, wobei die Zwischenraum-Steuervorrichtung einen Distanzring mit einer vorbestimmten Dicke aufweist, der zwischen den ersten Prallplatten zum Einstellender Breite des Zwischenraums angeordnet ist.
  71. Sprühkopf nach Anspruch 70, wobei der Distanzring an einem oberen Rand der zweiten Prallplatte (40, 140, 440, 540) ausgebildet ist.
  72. Sprühkopf nach Anspruch 70, wobei der Distanzring aus einem oder mehreren Ringen (90, 190) aufgebaut ist.
  73. Sprühkopf nach Anspruch 70, wobei der Distanzring einen ringförmigen Kontaktabschnitt (194) aufweist, in welchen eine Vielzahl von Sägezähnen (192) ausgebildet sind.
  74. Sprühkopf nach Anspruch 73, wobei jeder der Vielzahl der Sägezähne (192) eine Zahnweite aufweist, die der Bogenlänge eines Zentralwinkels von 90° entspricht.
  75. Sprühkopf nach Anspruch 73, wobei die Höhe jedes Sägezahns (192) des ringförmigen Kontaktabschnitts (194) in dem Bereich von ungefähr 0,01-0,5 mm liegt.
  76. Sprühkopf nach Anspruch 73, wobei der ringförmige Kontaktabschnitt (194) des Distanzrings eine Bodenoberfläche der ersten Prallplatte (30, 130, 230, 430, 530) kontaktiert, und wobei ein Distanzringkoppler (132, 142), der eine Vielzahl von Sägezähnen (192) aufweist, die zum Ineingriffstehen mit der Vielzahl der Sägezähne (192) des ringförmigen Kontaktabschnitts (194) ausgebildet sind, an dem Rand der Bodenoberfläche der ersten Prallplatte (30, 130, 230, 430, 530) ausgebildet ist.
  77. Sprühkopf nach Anspruch 76, wobei der Distanzringkoppler der ersten Prallplatte (30, 130, 230, 430, 530) einen Abschnitt mit einer Dicke aufweist, die kleiner als eine Dicke eines zentralen Bodenabschnitts der ersten Prallplatte (30, 130, 230, 430, 530) ist.
  78. Sprühkopf nach Anspruch 73, wobei der ringförmige Kontaktabschnitt (194) des Distanzrings eine obere Oberfläche der zweiten Prallplatte (40, 140, 440, 540) kontaktiert, und wobei der Distanzringkoppler (132, 142) mit einer Vielzahl von Sägezähnen (192), die zum Ineingriffstehen mit der Vielzahl der Sägezähne (192) des ringförmigen Kontaktabschnitts (194) ausgebildet sind, auf der oberen Oberfläche der zweiten Prallplatte (40, 140, 440, 540) ausgebildet ist.
  79. Sprühkopf nach Anspruch 78, wobei der Distanzringkoppler (132, 142) der zweiten Prallplatte (40, 140, 440, 540) einen Abschnitt mit einer Dicke aufweist, die kleiner als die Dicke eines zentralen oberen Abschnitts der zweiten Prallplatte (40, 140, 440, 540) ist.
  80. Sprühkopf nach Anspruch 69, wobei die erste Prallplatte (30, 130, 230, 430, 530) aus einem einzigen scheibenförmigen Element mit einer gleichförmigen Dicke über der gesamten Oberfläche besteht.
  81. Sprühkopf nach Anspruch 69, wobei die erste Prallplatte (230) aufweist: eine scheibenähniche Basisplatte (232), die einen Zentralabschnitt, in welchem eine Nut (236) zum Vorsehen eines kreisförmigen Raums ausgebildet ist, und eine Vielzahl von Durchgangsöffnungen zum Ausbilden einiger der Vielzahl der ersten Durchgangsöffnungen (237) an ihrem Zentralabschnitt aufweist; und eine scheibenähnliche Einlageplatte (234), die derart eingefügt ist, daß sie um eine Mittelachse (231) der ersten Prallplatte (230) innerhalb der Nut (236) rotieren kann, wobei die scheibenähnliche Einlageplatte (234) eine Vielzahl von Durchgangsöffnungen aufweist, die mit der Vielzahl der an dem Zentralabschnitt der Basisplatte (232) ausgebildeten Durchgangsöffnungen in Verbindung steht, um die ersten Durchgangsöffnungen (237) auszubilden.
  82. Sprühkopf nach Anspruch 81, wobei die Vielzahl der Durchgangsöffnungen in der Einlageplatte (234) und die Vielzahl der Durchgangsöffnungen in der Basisplatte (232) lediglich selektiv in einigen Winkelbereichen bezüglich der Mittelachse (231) der ersten Prallplatte (230) ausgebildet sind, um das Öffnungsverhältnis der ersten Durchgangsöffnungen (237) abhängig von der Rotationsstrecke der Einlageplatte (234) zu verändern.
  83. Sprühkopf nach Anspruch 69, wobei die Zwischenraum-Steuervorrichtung eine Antriebswelle (480) zum selektiven Bewegen der ersten Prallplatte (430) nach oben oder unten aufweist, um die Breite des Zwischenraumseinzustellen.
  84. Sprühkopf nach Anspruch 69, wobei die erste Prallplatte (30, 130, 230, 430, 530) die zweite Prallplatte (40, 140, 440, 540) kontaktiert, so daß einige Durchgangsöffnungen, die innerhalb der Vielzahl der ersten und zweiten Durchgangsöffnungen, die in der ersten Prallplatte (30, 130, 230, 430, 530) ausgebildet sind, ausgewählt sind, mit ausgewählten Durchgangsöffnungen der Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte (40, 140, 440, 540) ausgebildet sind, in Verbindung stehen, um Ausrichtöffnungen auszubilden, und wobei die Breite des Zwischenraums effektiv Null ist.
  85. Sprühkopf nach Anspruch 84, der ferner einen Rotationsmechanismus (394) aufweist, der mit der ersten Prallplatte (30) verbunden ist, so daß die erste Prallplatte (30) bezüglich der zweiten Prallplatte (40) in einem vorbestimmten Winkelbereich rotiert.
  86. Sprühkopf nach Anspruch 85, wobei die Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte (40) ausgebildet sind, verteilt sind, so daß sie unterschiedliche Öffnungsverhältnisse abhängig von der Strecke aufweist, um welche die erste Prallplatte (30) um die Mittelachse der zweiten Prallplatte (40) rotiert, und wobei der Rotationsmechanismus (394) den Rotationswinkel der ersten Prallplatte (30) verändert, um die Öffnungsposition der Ausrichtlöcher zu verändern.
  87. Sprühkopf nach Anspruch 85, wobei die erste Prallplatte (30, 530) ferner eine Vielzahl von dritten Durchgangsöffnungen (536) aufweist, die bei einem dritten Radiusbereich ausgebildet sind, der größer als der zweite Radiusbereich ist, wobei die erste Prallplatte (30, 530) in eine Vielzahl von sektorförmigen Bereichen aufgeteilt ist, die sich in einer radialen Richtung von ihrer Mittelachse aus erstrecken, und wobei die Vielzahl der ersten, zweiten und dritten Durchgangsöffnungen (536) bei verschiedenen sektorförmigen Bereichen ausgebildet sind.
  88. Sprühkopf nach Anspruch 87, wobei die zweite Prallplatte (40, 540) in eine Vielzahl von sektorförmigen Bereichen aufgeteilt ist, die sich in einer radialen Richtung von ihrer Mittelachse aus erstrecken, und wobei die Vielzahl der sektoriellen Bereiche, die die Vielzahl der Durchgangsöffnungen aufweisen, in regelmäßigen Abständen angeordnet sind.
  89. Sprühkopf nach Anspruch 69, der ferner eine Frontplatte (20) aufweist, die gegenüberliegend dem Prozessbereich angeordnet ist, wobei die Frontplatte (20) eine Vielzahl von Durchgangsöffnungen aufweist.
  90. Sprühkopf nach Anspruch 89, der ferner eine dritte Prallplatte (60) aufweist, die zwischen der zweiten Prallplatte (40) und der Frontprallplatte (20) angeordnet ist, wobei die dritte Prallplatte (60) eine Vielzahl von Durchgangsöffnungen aufweist.
  91. Sprühkopf nach Anspruch 90, wobei die dritte Prallplatte (60) aus Siliziumkarbid ausgebildet ist.
  92. Sprühkopf zum Zuführen eines Reaktionsgases zu einem Prozeßbereich innerhalb einer Reaktionskammer während der Herstellung einer Halbleitervorrichtung, wobei der Sprühkopf aufweist: eine kreisförmige erste Prallplatte (530) mit einer Vielzahl von Durchgangsöffnungen; eine kreisförmige zweite Prallplatte (540), die unterhalb der ersten Prallplatte (530) mit einem Zwischenraum angeordnet ist, der eine vorbestimmte Breite zwischen den ersten und zweiten Prallplatten (540) aufweist, wobei die zweite Prallplatte (540) eine Vielzahl von Durchgangsöffnungen aufweist; und eine Vielzahl von piezoelektrischen Elementen, die zwischen den ersten und zweiten Prallplatten (530, 540) zum Steuern der Reaktionsgasmenge angeordnet ist, die durch die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte (530) ausgebildet sind, strömt.
  93. Sprühkopf nach Anspruch 92, wobei die Vielzahl der Durchgangsöffnungen, die in der ersten Prallplatte (530) ausgebildet sind, aufweist: eine Vielzahl von ersten Durchgangsöffnungen (532), die an einer Position ausgebildet sind, die mit einem ersten Radius von der Mittelachse (531) der ersten Prallplatte (530) beabstandet sind; eine Vielzahl von zweiten Durchgangsöffnungen (534), die an einer Position ausgebildet sind, die mit einem zweiten Radius, der größer als der erste Radius ist, von der Mittelachse (531) der ersten Prallplatte (530) beabstandet sind; und eine Vielzahl von dritten Durchgangsöffnungen (536), die an einer Position ausgebildet sind, die mit einem dritten Radius, der größer als der zweite Radius ist, von der Mittelachse (531) der ersten Prallplatte (530) beabstandet ist.
  94. Sprühkopf nach Anspruch 93, wobei die Vielzahl der Durchgangsöffnungen, die in der zweiten Prallplatte (540) ausgebildet sind, aufweist: eine vierte Durchgangsöffnung (542), die an einer Position ausgebildet ist, die einer Mittelachse (541) der zweiten Prallplatte (540) entspricht; eine Vielzahl von fünften Durchgangsöffnungen (544), die an einer Position ausgebildet sind, die mit einem vierten Radius von der Mittelachse (541) der zweiten Prallplatte (540) beabstandet ist; eine Vielzahl von sechsten Durchgangsöffnungen (546), die an einer Position ausgebildet sind, die mit einem fünften Radius, der größer als der vierte Radius ist, von der Mittelachse (541) der zweiten Prallplatte (540) beabstandet ist; und eine Vielzahl von siebten Durchgangsöffnungen (548), die an einer Position ausgebildet sind, die mit einem sechsten Radius, der größer als der fünfte Radius ist, von der Mittelachse (541) der zweiten Prallplatte (540) beabstandet ist.
  95. Sprühkopf nach Anspruch 92, wobei jedes der Vielzahl der piezoelektrischen Elemente aus einem ringförmigen Element aufgebaut ist, das auf der zweiten Prallplatte (540) angeordnet ist.
  96. Sprühkopf nach Anspruch 92, wobei die Vielzahl der piezoelektrischen Elemente an die zweite Prallplatte (540) gebondet sind.
  97. Sprühkopf nach Anspruch 93, wobei die Vielzahl der piezoelektrischen Elemente aufweist: ein erstes piezoelektrisches Element, das an einer Position auf der zweiten Prallplatte (540) angeordnet ist, die einer Position entspricht, bei welcher die Vielzahl der ersten Durchgangsöffnungen (532) der ersten Prallplatte (530) ausgebildet sind; ein zweites piezoelektrisches Element, das an einer Position auf der zweiten Prallplatte (540) angeordnet ist, die einer Position entspricht, bei welcher die Vielzahl der zweiten Durchgangsöffnungen (534) der ersten Prallplatte (530) ausgebildet sind; und ein drittes piezoelektrisches Element, das an einer Position auf der zweiten Prallplatte (540) angeordnet ist, die einer Position entspricht, bei welcher die Vielzahl der dritten Durchgangsöffnungen (536) der ersten Prallplatte (530) ausgebildet sind.
  98. Sprühkopf nach Anspruch 92, der ferner eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an jedes der Vielzahl der piezoelektrischen Elemente aufweist.
  99. Der Sprühkopf nach Anspruch 97, der ferner eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an jedes der ersten, zweiten und dritten piezoelektrischen Elemente aufweist, wobei die Leistungsversorgungseinheit (590) unterschiedliche Spannungspegel an jedes der ersten, zweiten und dritten piezoelektrischen Elemente anlegt.
  100. Sprühkopf nach Anspruch 97, der ferner eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an das erste piezoelektrische Element aufweist, wobei das erste piezoelektrische Element eine Höheneinstellungsrate aufweist, die entsprechend dem von der Leistungsversorgungseinheit (590) angelegten Spannungspegel eingestellt werden kann, um den Abstand zwischen der ersten Durchgangsöffnung (532) und dem ersten piezoelektrischen Element zu steuern.
  101. Sprühkopf nach Anspruch 97, der ferner eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an das zweite piezoelektrische Element aufweist, wobei das zweite piezoelektrische Element eine Höheneinstellungsrateaufweist, die entsprechend dem von der Leistungsversorgungseinheit (590) zugeführten Spannungspegel eingestellt werden kann, um einen Abstand zwischen der Vielzahl der zweiten Durchgangsöffnungen (534) und dem zweiten piezoelektrischen Element zu steuern.
  102. Sprühkopf nach Anspruch 97, der ferner eine Leistungsversorgungseinheit (590) zum Anlegen einer Spannung an das dritte piezoelektrische Element aufweist, wobei das dritte piezoelektrische Element eine Höheneinstellungsrate aufweist, die entsprechend dem von der Leistungsversorgungseinheit (590) zugeführten Spannungspegel eingestellt werden kann, tun einen Abstand zwischen der Vielzahl der dritten Durchgangsöffnungen (536) und dem dritten piezoelektrischen Element zu steuern.
  103. Sprühkopf nach Anspruch 92, wobei die ersten und zweiten Prallplatten (530, 540) aus Aluminium ausgebildet sind.
  104. Sprühkopf nach Anspruch 92, wobei jedes der Vielzahl der piezoelektrischen Elemente aufweist: eine piezoelektrische Schicht (572), welche mit einer Höheneinstellungsmodusentsprechend der angelegten Spannung vibriert, wobei die piezoelektrische Schicht (572) zwei Hauptoberflächen aufweist; erste und zweite Elektrodenschichten, die jeweils auf einer der zwei Hauptoberflächen der piezoelektrischen Schicht (572) ausgebildet sind; und eine Isolationsschicht (578), die auf der ersten Elektrodenschicht benachbart zu der ersten Prallplatte (530) ausgebildet ist.
  105. Sprühkopf nach Anspruch 104, wobei die piezoelektrische Schicht (572) aus einem aus der Gruppe bestehend aus Bleizirkontitan (PZT), PbTiO3, BaTiO3 und einem Polymer aus Polyvinylidenfluorid (PVDF) ausgewählten Mitglied ausgebildet ist.
  106. Sprühkopf nach Anspruch 104, wobei die zweite Elektrodenschicht durch die zweite Prallplatte (540) ausgebildet wird.
  107. Sprühkopf nach Anspruch 106, wobei jedes der Vielzahl der piezoelektrischen Elemente ferner eine Bonding-Oberfläche zwischen der piezoelektrischen Schicht (572) und der zweiten Prallplatte (540) aufweist.
DE10232206A 2001-07-16 2002-07-16 Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung Expired - Fee Related DE10232206B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2001-0042822 2001-07-16
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드

Publications (2)

Publication Number Publication Date
DE10232206A1 DE10232206A1 (de) 2003-02-27
DE10232206B4 true DE10232206B4 (de) 2006-02-09

Family

ID=36772450

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10232206A Expired - Fee Related DE10232206B4 (de) 2001-07-16 2002-07-16 Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung

Country Status (6)

Country Link
US (2) US6872258B2 (de)
JP (2) JP4246450B2 (de)
KR (1) KR100400044B1 (de)
CN (2) CN1265441C (de)
DE (1) DE10232206B4 (de)
TW (1) TW565903B (de)

Families Citing this family (479)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1361604B1 (de) * 2001-01-22 2009-03-18 Tokyo Electron Limited Einrichtung und verfahren zur behandlung
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR100562306B1 (ko) * 2004-09-13 2006-03-22 동부아남반도체 주식회사 화학기계적 연마장치
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
US20090181526A1 (en) * 2005-03-30 2009-07-16 Tomohiro Okumura Plasma Doping Method and Apparatus
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR100768673B1 (ko) * 2006-05-30 2007-10-22 (주)위지트 샤워헤드
KR101217522B1 (ko) * 2006-09-07 2013-01-02 주식회사 원익아이피에스 유량조절블럭을 구비한 샤워헤드
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR100901118B1 (ko) * 2007-08-24 2009-06-08 주식회사 케이씨텍 박막 증착장치의 분사유닛
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
JP4956469B2 (ja) * 2008-03-24 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5150461B2 (ja) * 2008-11-14 2013-02-20 芝浦メカトロニクス株式会社 プラズマ処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
KR101598332B1 (ko) * 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
DE102010000388A1 (de) * 2010-02-11 2011-08-11 Aixtron Ag, 52134 Gaseinlassorgan mit Prallplattenanordnung
CN102193565A (zh) * 2010-03-19 2011-09-21 上海微电子装备有限公司 气浴控温装置及方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931050B (zh) * 2011-08-10 2017-10-31 中国科学院微电子研究所 一种新型的常压等离子体自由基清洗喷枪进气方式
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
WO2013094200A1 (ja) * 2011-12-22 2013-06-27 キヤノンアネルバ株式会社 基板処理装置
CN103184432B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(北京)有限公司 注入装置及处理设备
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
WO2013183437A1 (ja) * 2012-06-08 2013-12-12 東京エレクトロン株式会社 ガス処理方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101505948B1 (ko) * 2013-12-16 2015-03-26 피에스케이 주식회사 배플 어셈블리 및 이를 가지는 기판 처리 장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104195525B (zh) * 2014-08-15 2016-08-24 沈阳拓荆科技有限公司 两种气体独立均匀喷气喷淋装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP1545606S (de) * 2015-08-26 2016-03-14
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105091506A (zh) * 2015-08-31 2015-11-25 武汉华星光电技术有限公司 真空干燥装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6868616B2 (ja) 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
WO2017149739A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR20180053491A (ko) * 2016-11-11 2018-05-23 삼성전자주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11383251B2 (en) 2017-05-31 2022-07-12 Shl Medical Ag Nozzle device and a method of manufacturing the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
SG11202010210VA (en) * 2018-05-03 2020-11-27 Applied Materials Inc Universal adjustable blocker plate for flow distribution tuning
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
KR102110232B1 (ko) * 2018-09-18 2020-05-13 주식회사 테스 가스공급유닛
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) * 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113924386A (zh) * 2019-05-15 2022-01-11 应用材料公司 用于处理系统的动态多区流动控制
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4268271A1 (de) * 2020-12-22 2023-11-01 Mattson Technology, Inc. Werkstückverarbeitungsvorrichtung mit gasduschkopfanordnung
CN114686853B (zh) * 2020-12-31 2023-09-01 拓荆科技股份有限公司 可控气流分布的气体喷头
CN112689376B (zh) * 2021-03-15 2021-06-18 四川大学 一种采用压电材料的微波等离子体射流激发装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11769659B2 (en) * 2021-08-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Showerhead assembly and method of servicing assembly for semiconductor manufacturing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3809050A (en) * 1971-01-13 1974-05-07 Cogar Corp Mounting block for semiconductor wafers
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
JPS61167364A (ja) * 1985-01-18 1986-07-29 Diesel Kiki Co Ltd 高速電磁弁
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE69213340T2 (de) * 1991-05-30 1997-03-27 Hitachi Ltd Ventil und seine Verwendung in einer Vorrichtung hergestellt aus Halbleitermaterial
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5432936A (en) * 1992-04-09 1995-07-11 Microsoft Corporation Method for implementing pointers to members in a compiler for an object-oriented programming language
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
EP0756593B1 (de) * 1994-04-20 2000-09-20 Lonza Ag Verfahren zur herstellung von 2-piperazincarbonsäurederivaten
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
WO1996015285A1 (en) * 1994-11-16 1996-05-23 The B.F. Goodrich Company Pressure gradient cvi/cvd apparatus, process and product
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6454864B2 (en) * 1999-06-14 2002-09-24 Cutek Research, Inc. Two-piece chuck
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN2399574Y (zh) * 1999-11-17 2000-10-04 吴忠仪表股份有限公司 压电双晶体阀
KR100338955B1 (ko) * 1999-12-31 2002-05-31 박종섭 반도체의 건식각 공정용 가스 공급 장치
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Also Published As

Publication number Publication date
JP2003051489A (ja) 2003-02-21
KR100400044B1 (ko) 2003-09-29
US20050145338A1 (en) 2005-07-07
JP4246450B2 (ja) 2009-04-02
DE10232206A1 (de) 2003-02-27
TW565903B (en) 2003-12-11
CN1781608A (zh) 2006-06-07
US20030010452A1 (en) 2003-01-16
CN100435274C (zh) 2008-11-19
JP2008300888A (ja) 2008-12-11
CN1397991A (zh) 2003-02-19
KR20030008068A (ko) 2003-01-24
US6872258B2 (en) 2005-03-29
CN1265441C (zh) 2006-07-19

Similar Documents

Publication Publication Date Title
DE10232206B4 (de) Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung
DE60028742T2 (de) Fluiddruckreduziervorrichtung
DE4014351C2 (de) Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern
DE60024146T2 (de) Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren
DE102009034230B4 (de) Frequenzeinstellvorrichtung
DE602004007598T2 (de) Polierkissen mit Rillenanordnung zur Minderung des Schlammverbrauchs
DE68908159T2 (de) Zentrifugalabscheider.
DE102005007112A1 (de) Beschichtungsbehandlungsvorrichtung und Beschichtungsbehandlungsverfahren
DE2807882C2 (de) Kreisförmiger Siebboden für Stoffaustauschkolonnen
DE102004058708A1 (de) Polierkopf und Poliervorrichtung
DE10229001B4 (de) Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
DE102006000766A1 (de) CMP Kissen, das eine radial abwechselnde Rillensegmentkonfiguration aufweist
DE69914251T2 (de) Verfahren und Vorrichtung zum Kleben von Platten
DE69108838T2 (de) Vorrichtung zum Ätzen von Plättchen.
DE3306870A1 (de) Vorrichtung zum herstellen von schichten mit rotationssymmetrischem dickenprofil durch katodenzerstaeubung
DE19708031B4 (de) Nichtflüchtiger Halbleiterspeicher und Verfahren zu dessen Herstellung
DE102012221945A1 (de) Abscheidungsmaske und Abscheidungsvorrichtung, die diese aufweist
DE112014003341B4 (de) Epitaxiereaktor
EP2025380A2 (de) Austauschböden für Stoffaustauschkolonnen im Off-Shore Einsatz
DE60102674T2 (de) Vorrichtung zum auftragen einer entwicklungslösung auf eine halbleiterscheibe
EP1523695B1 (de) Duesenanordnung zum aufbringen einer fluessigkeit auf ein substrat
EP0186761B1 (de) Einstellbare Wehrplatte für Vollmantel-Schneckenzentrifugen
EP4069882B1 (de) Gaseinlassvorrichtung für einen cvd-reaktor
EP0904164B1 (de) Vorrichtung zum behandeln von substraten in einem fluid-behälter
DE69331602T2 (de) Verfahren zur Herstellung einer hochintegrierten nichtflüchtigen Halbleiter-Speicheranordnung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20110201