TWI543253B - 用於製造半導體裝置之注入元件及包含它之電漿加工設備 - Google Patents

用於製造半導體裝置之注入元件及包含它之電漿加工設備 Download PDF

Info

Publication number
TWI543253B
TWI543253B TW101101374A TW101101374A TWI543253B TW I543253 B TWI543253 B TW I543253B TW 101101374 A TW101101374 A TW 101101374A TW 101101374 A TW101101374 A TW 101101374A TW I543253 B TWI543253 B TW I543253B
Authority
TW
Taiwan
Prior art keywords
plasma
electrodes
injection
processing apparatus
plasma generator
Prior art date
Application number
TW101101374A
Other languages
English (en)
Other versions
TW201243938A (en
Inventor
朴用城
李成光
金東烈
豐田一行
笠原修
稻田哲明
Original Assignee
國際電氣高麗股份有限公司
日立國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 國際電氣高麗股份有限公司, 日立國際電氣股份有限公司 filed Critical 國際電氣高麗股份有限公司
Publication of TW201243938A publication Critical patent/TW201243938A/zh
Application granted granted Critical
Publication of TWI543253B publication Critical patent/TWI543253B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

用於製造半導體裝置之注入元件及包含它之電漿加工設備
本發明之具體實施例係關於一種用於製造半導體裝置之薄膜處理設備,更具體而言為一種具有電漿產生器之注入元件、及一種包含該注入元件之電漿處理設備。
電漿處理設備已廣泛地用於許多製造半導體之方法,如乾燥蝕刻法、物理及化學沉積、以及表面處理法。
習知電漿加工設備係設計成包括連接一噴淋頭之第一電極、及連接一室之第二電極。另外,習知電漿加工設備可進一步包括週邊部分,如電互連部分、隔音部分、及對感受器施加電漿偏壓之部分。
由於習知電漿加工設備係具有單體型噴淋頭,故難以控制基板與該噴淋頭之間的空間。
雖然習知電漿加工設備係具有遠端電漿產生器,但在電漿來源與基板分開之情形,在該基板上形成薄膜仍有技術難度。例如游離氣體可能有嚴重的損失,如此導致方法時間延遲及薄膜品質退化。結果習知電漿加工設備之用途受限。
本發明之具體實施例提供一種注入元件,其可將複數個基板安裝在轉動大面積撐體元件上且穩定地對其產生電漿;及一種包含它之電漿加工設備。
本發明之其他具體實施例提供一種注入元件,其中可依基板狀態而控制基板與電漿產生區域之間的空間;及一 種包含它之電漿加工設備。
依照本發明之一個實例具體實施例,一種電漿加工設備可包括設計成實行使用電漿的程序且係含有複數個基板之加工室、提供於該加工室中之撐體元件(該基板係位於與該撐體元件相同的高度)、面對該撐體元件而提供且係包括複數個擋板室使得可將至少一種反應氣體及沖洗氣體以獨立方式注射在該基板上之注入元件、及設計成轉動該撐體元件或該注入元件使得該注入元件的擋板室可相對位於該撐體元件上的複數個基板而軌道式運轉之驅動部分。該注入元件可包括電漿產生器,其可提供於設計成注入該反應氣體之至少一個擋板室上,而將反應氣體變成電漿。
在實例具體實施例中,該注入元件可進一步包括設計成可控制該電漿產生器的垂直位置,因而選擇性地調整電漿產生器與該基板之間的空間之高度控制器。
在實例具體實施例中,該注入元件可設計成具有用於將該電漿產生器裝設在至少一個擋板室之開口,且該注入元件可進一步包括包圍電漿產生器以維持密封狀態之風箱。
在實例具體實施例中,該電漿產生器可包括具有面對該基板的底面之主體部分、提供於該主體部分的底面上且施加高頻電力以將氣體變成電漿之第一電極、及提供於該主體部分的底面上以及在第一電極之間且施加偏壓電力之第二電極。
在實例具體實施例中,第一電極及第二電極可為彼此同平面且形成放射狀組態,因而可在該撐體元件或注入元件轉動期間使該基板均勻地暴露於電漿存在區域。
在實例具體實施例中,第一電極及第二電極可排列形成梳型組態。
在實例具體實施例中,該電漿產生器可包括具有面對該基板的底面之主體部分、提供於該主體部分的底面上且施加高頻電力以將氣體變成電漿之第一電極、及提供於該主體部分的底面上以及在第一電極之間且施加偏壓電力之第二電極。第一電極及第二電極可排列在相同的高度而形成線圈狀組態。
在實例具體實施例中,該注入元件可包括如圓碟形之上板、及提供於該上板的底面上以將擋板室定界之隔板。
在實例具體實施例中,該注入元件可進一步包括提供於該上板的中心處,且係設計成將至少一種反應氣體及沖洗氣體各注射至對應擋板室中之噴嘴部分。
在實例具體實施例中,該注入元件可進一步包括面對該撐體元件而提供之噴淋頭板,及該噴淋頭板可裝設在具有電漿產生器之導板下方,且可與該電漿產生器分開。
依照本發明之實例具體實施例,電漿處理設備用注入元件可包括如圓碟形之上板、及提供於該上板的中心處而具有至少四個注入開口之噴嘴部分,該注入開口各可設計成以獨立方式注射對應的反應及沖洗氣體,提供於該上板上而形成圍繞該噴嘴部分的放射狀組態之至少四個擋板室,該至少四個擋板室各連接該至少四個注入開口之對應者而分別地容納對應氣體,及提供於該至少四個擋板室之一之上而將反應氣體變成電漿之電漿產生器。
現在參考其中顯示實例具體實施例之附圖而更完全地說明本發明之實例具體實施例。然而本發明之實例具體實施例可以許多種不同形式具體化,且不應視為限於在此所述的具體實施例;而是提供這些具體實施例以使本揭示徹底而完整,且對熟悉此技藝者完全地傳達實例具體實施例之概念。在圖式中,層及區域之厚度係為了明確起見而放大。圖式中同樣的元件符號表示同樣的元件,因此省略其說明。
應了解,在指稱一元件「連接」或「連結」另一元件時,其可直接連接或連結其他元件,或者可能存在中介件。相反地,在指稱一元件「直接連接」或「直接連結」另一元件時,其不存在中介元件。同樣的號碼均表示同樣的元件。在此使用的名詞「及/或」係包括一者或以上的附列項目之任何及所有組合。用於說明元件或層間關係之其他文字應以同樣的方式解讀(例如「之間」相對「直接之間」、「相鄰」相對「直接相鄰」、「之上」相對「直接之上」)。
應了解,雖然在此可使用名詞「第一」、「第二」等說明各元件、組件、區域、層、及/或段,但這些元件、組件、區域、層、及/或段不應受這些名詞限制。這些名詞僅用於區別一個元件、組件、區域、層、或段與其他之元件、組件、區域、層、或段。因此以下討論的第一元件、組件、區域、層、或段可稱為第二元件、組件、區域、層、或段而不背離實例具體實施例之教示。
為了敘述中之方便以說明圖中所描述的一個元件或特點對其他元件或特點之關係,在此可使用空間相對名詞,如「下方」、「之下」、「下」、「之上」、「上」等。應了解, 除了圖中所述定向,空間相對名詞係意圖包含裝置在使用或操作中之不同定向。例如如果將圖中之裝置反轉,則敘述為在其他元件或特點「之下」或「下方」的元件之定向會在其他元件或特點「之上」。因此例示名詞「之下」可包含上下之定向。該裝置可另行定向(轉動90度或其他定向),如此解讀在此使用的空間相對敘述詞。
在此使用的術語僅為了說明特定具體實施例之目的,且不意圖限制實例具體實施例。在此使用的單數形式「一(“a”、“an”及“the”)」係意圖亦包括複數形式,除非內文另行明確地指示。應進一步了解,如果使用名詞「包含(“comprises”及/或“comprising”)」、「包括(“include”及/或“including”)」,則係指示所述特點、個體、步驟、操作、元件、及/或組件之存在,但不排除一者或以上的其他特點、個體、步驟、操作、元件、組件、及/或其群組之存在或添加。
本發明之實例具體實施例在此係參考略示地描述實例具體實施例之理想具體實施例(及中間結構)的橫切面圖而說明。因此預期所描述的形狀因例如製造技術及/或容忍度而有所變動。因此本發明之實例具體實施例不應視為限於在此描述的特定區域形狀,而應包括因例如由於製造所造成的形狀變化。例如描述成長方形之植入區域可在其邊緣處具有修圓或彎曲特點及/或植入濃度之梯度,而非從植入至非植入區域之二分變化。同樣地,植入所形成的埋入區域可能在一些植入中生成埋入區域與經其發生植入的表面之間的區域。因此圖中所描述的區域為略示性質,及其形狀不意圖描述裝置區域之實際形狀,且不意圖限制實例 具體實施例之範圍。
除非另行定義,否則所有在此使用的名詞(包括技術及科學名詞)均具有熟悉本發明相關技藝者公認地了解之意義。應進一步了解,如常用字典中所定義,該名詞應解讀成具有與其在相關技藝內容中之意義一致的意義,且不應以理想化或過度正式地解讀,除非在此明確地定義。
〔具體實施例〕
圖1為依照本發明的實例具體實施例之沉積設備的略示圖,圖2A及2B為圖1之注入元件的正視及切面圖,以及圖3為圖1之撐體元件的平面圖。
參考圖1至3,沉積設備10可包括加工室100、撐體元件200、注入元件300、及供應元件500。
加工室100可包括提供於其一側之入口112。在加工期間可將晶圓(或基板)W經入口112從加工室100裝載或卸載。加工室100可包括通氣管120及通氣導管114,其係設計成排放供應至加工室100中的反應氣體及沖洗氣體、及在沉積程序期間所產生的反應之副產物。在實例具體實施例中,通氣管120及通氣導管114可提供於加工室100之上緣部分。通氣管120可為環形且可置於注入元件300外部。雖然圖式中未顯示,但可將通氣導管114連接真空泵,且可對通氣導管114配置壓力控制閥及流動控制閥等,對熟悉此技藝者為顯而易知。
如圖1至3所示,撐體元件200可提供於加工室100中。
撐體元件200可具有批式結構,其可例如設計成其上可裝載4個基板。撐體元件200可包括平台210,其可為圓 碟形且具有第一至第四階段212a-212d,及支撐平台210之撐體柱220。各基板W可分別地配置在第一至第四階段212a-212d上。第一至第四階段212a-212d可設計成具有與該基板相同或類似的形狀,例如圓碟形。第一至第四階段212a-212d可在撐體元件200的中心附近配置成例如彼此分開相同的90度角。
撐體元件200可設計成藉驅動部分290轉動。驅動部分290可設計成包括步進馬達,其中提供可控制驅動馬達的轉數及速度之編碼器,且在此實例中可藉該編碼器控制注入元件300之一個循環程序時間,其係包括有關第一反應氣體、沖洗氣體、第二反應氣體、及沖洗氣體時間之步驟。
雖然圖式中未顯示,但撐體元件200可包括複數個升降銷(未示),其各可用於將階段上之對應晶圓升高或下降。例如晶圓W之垂直位置可藉由垂直地移動該升降銷,使得晶圓W可從撐體元件200之階段分開或安裝而改變。另外,撐體元件200之各階段212a-212d可設計成包括加熱器(未示)而將所安裝的晶圓W加熱。該加熱器可設計成將晶圓W加熱至預定之程序溫度。
參考圖1及2B,供應元件500可包括第一氣體供應元件510a、第二氣體供應元件510b、及沖洗氣體供應元件520。第一氣體供應元件510a可設計成對第一氣體噴嘴部分之第一室311供應第一反應氣體,且第二氣體供應元件510b可設計成對第三室313供應第二反應氣體,及沖洗氣體供應元件520可設計成對第二室312及第四室314供應沖洗氣體。例如第一反應氣體及第二反應氣體可含有欲在 晶圓W上形成的薄膜之來源材料。在沉積程序中,薄膜可藉由將供應至晶圓表面上的複數種反應氣體彼此化學地反應,而在基板或晶圓W上形成。此外,為了沖洗殘留在反應室內的未反應氣體,在該沉積程序中可在供應反應氣體的程序步驟之間將沖洗氣體供應至反應室中。
本發明之實例具體實施例可不限於上述實例,其中兩種不同的反應氣體係使用兩個供應元件供應,且如果必要,則三者或以上的反應氣體可例如使用複數種氣體供應元件而供應,對熟悉此技藝者為顯而易知。
參考圖1、2A及2B,注入元件300可設計成將至少一種氣體注射在撐體元件200上之4個晶圓。
注入元件300可以可將第一及第二反應氣體及沖洗氣體從供應元件500供應至注入元件300之方式設計。注入元件300可包括環形上板302、噴嘴部分310、第一至第四擋板室320a-320d、電漿產生器340、及高度控制器350。
噴嘴部分310可配置在上板302的中心處。噴嘴部分310可設計成將從供應元件500所供應的第一及第二反應氣體及沖洗氣體個別地注射至第一至第四擋板室320a-320d。在實例具體實施例中,噴嘴部分310可包括4個室,第一室311、第二室312、第三室313、及第四室314。第一反應氣體可提供至第一室311中,及注射開口311a可形成於第一室311之側壁,而將第一反應氣體供應至第一擋板室320a中。第二反應氣體可提供於第三室313中,及注射開口313a可形成於第三室313之側壁,而將第二反應氣體供應至第三擋板室320c中。沖洗氣體可供應至第二室312及第四室314中,其可提供於第一室311與第三室313 之間。注射開口312a及314a可形成於第二室312及第四室314之側壁,而將沖洗氣體供應至第二擋板室320b及第四擋板室320d中。
第一至第四擋板室320a-320d各可包括用於將由噴嘴部分310供應的氣體提供至晶圓的全表面上之隔離空間。第一至第四擋板室320a-320d可藉提供於上板的底面上之隔板309定界。
第一至第四擋板室320a-320d可在上板302之下激發,且其各可具有以90度角圍繞噴嘴部分310之扇形結構。第一至第四擋板室320a-320d各可連接噴嘴部分310之注射開口311a、312a、313a、314a。第一至第四擋板室320a-320d各可具有面對撐體元件200之開放形底部。
由噴嘴部分310所提供的氣體各可供應至第一至第四擋板室320a-320d中。例如氣體可經第一至第四擋板室320a-320d之開放形底部而提供於晶圓W上。第一反應氣體可提供於第一擋板室320a中,及第二反應氣體可提供於第三擋板室320c中,且沖洗氣體可提供於第二及第四擋板室320b及320d中,其係位於第一與第三擋板室320a與320c之間,而防止第一反應氣體混合第二反應氣體,及沖洗殘留在第二及第四擋板室320b及320d內的未反應氣體。
同時,本發明之實例具體實施例不限於其中第一至第四擋板室320a-320d各具有90度角之扇形的實例。例如如果必要,則注入元件300中之擋板室可具有與上述實例不同的角度(例如45或180度)及/或不同的尺寸。
依照本發明之實例具體實施例,晶圓或基板可由於撐體元件200轉動而循序地通過提供於第一至第四擋板室 320a-320d之下的空間。如果晶圓W通過全部第一至第四擋板室320a-320d,則原子層可沉積在晶圓上。此外,重複此程序則可在晶圓W上形成具有預定厚度之層。
圖4A為將注入元件之電漿產生器放大的切面圖,及圖4B為描述其中藉高度控制器降低圖4A之電漿產生器的高度之組態的切面圖。
主要部分之一的電漿產生器340可配置在注入元件300之至少一個擋板室上,且係設計成可垂直地移動。在實例具體實施例中,電漿產生器340可提供於第三擋板室320c上,但本發明之實例具體實施例可不受其限制。換言之,顯然電漿產生器340可提供於其他擋板室上。
參考圖2A、2B、4A、及4B,電漿產生器340可裝配在提供於圍繞第三擋板室320c之區域處的上板302之開口304中。電漿產生器340可設計成與第三擋板室320c無關而可垂直地移動。為了維持密封狀態,電漿產生器340可被風箱380包圍。雖然圖式中未示,但在注入元件300係提供於加工室中之情形,電漿產生器340可連接分別的升降軸,其可經由加工室之上蓋而提供。位於加工室外部之一部分升降軸可藉高度控制器350升高或下降。風箱380可設計成包圍穿越加工室上蓋之升降軸。在實例具體實施例中,由於注入元件之上板係組成加工室上蓋之一部分,故風箱380可裝設在開口304上而包圍電漿產生器340。
電漿產生器340可配置在第三擋板室320c上而從第二反應氣體產生電漿,因此可改良第二反應氣體之反應性且增加第三擋板室320c中的電漿密度。如此可增加沉積速率及薄膜之層品質。
電漿產生器340可包括第一電極343,其可施加高頻電力而從氣體產生電漿,及第二電極344,其可插入第一電極343之間且施加偏壓電力。第一電極343及第二電極344可裝設在電漿產生器340之主體部分341的底面342上而為彼此同平面。第一電極343及第二電極344可彼此交替地排列且彼此分開相同的間隔,及其各可為條形。在實例具體實施例中,第一及第二電極343及344可設計成具有實質上正交注入元件300的切線方向之縱軸。例如第一電極343及第二電極344可排列形成梳型或放射型結構。第二電極344可施加其他之高頻電力。在實例具體實施例中,如圖8所示,第一電極343b及第二電極344b可為彼此同平面且形成線圈狀結構。
在又其他之實例具體實施例中,如圖9所示,第一電極343及第二電極344可設計成具有實直上平行注入元件300的切線方向之縱軸。在此情形,第一及第二電極343及344可對圖2為正交。
電漿產生器340之主體部分341的底面342可面對撐體元件200而形成。電漿產生器340之主體部分341可由絕緣、抗熱及抗化學材料(例如石英或陶瓷)形成,以防止加工室之內部環境被第一及第二電極343及344影響。
在實例具體實施例中,在晶圓W通過具有電漿產生器340的第三擋板室320c之下的空間時,晶圓W之表面可被由第二反應氣體所產生的電漿處理。例如如果對電漿產生器340之第一電極343及第二電極344施加RF及偏壓電力,且將第二反應氣體經噴嘴部分310之第三室313而施加於第三擋板室320c,則第二反應氣體可因感應磁場而變 成電漿,其可由在第三擋板室320c上所提供的電漿產生器340產生,然後可將由第二反應氣體所產生的電漿供應至晶圓W之表面上。
高度控制器350可提供於加工室外部,且係設計成可控制電漿產生器340之垂直位置。如此可控制電漿產生器340與晶圓W之間的垂直空間。換言之,依照本發明之實例具體實施例,使用可控制電漿產生器340的垂直位置之高度控制器350,則可考量薄膜形成期間之可變程序參數(如晶圓狀態、氣體種類、及/或程序環境)而控制晶圓與電漿存在區域(例如由第三擋板室提供)之間的空間。
圖5為描述注入元件之一個修改例的切面圖,其中係將噴淋頭板安裝在第三擋板室上。
如圖5所示,注入元件300可設計成具有提供於第三擋板室320c中/上之噴淋頭板390。在實例具體實施例中,噴淋頭板390可與第三擋板室320c之下的電漿產生器340分開而面對撐體元件200。噴淋頭板390可包括複數個注射孔。
圖6為描述具有噴淋頭型電漿產生器之注入元件的切面圖。
如圖6所示,電漿產生器340可為噴淋頭型結構。例如電漿產生器340可包括對其供應第二反應氣體之緩衝空間360、及配置在第一電極343與第二電極344之間而連接緩衝空間360與第三擋板室320c之注射孔362。在圖6所述的注入元件中,第二反應氣體可供應至緩衝空間360中然後經注射孔362供應至第三擋板室320c中。
圖7為描述注入元件之一個實例的切面圖,其中為了 改良基板存取性而將第一及第二電極裝設在電漿產生器之底面上。為了降低圖式之複雜性且較佳地了解本發明之實例具體實施例,圖7中未顯示高度控制器。
如圖7所示,其可提供第一電極343a及第二電極344a而穿越電漿產生器340a之底面341,且可將第一電極343a及第二電極344a從底面342突起之延伸部分以絕緣材料349覆蓋。
對於依照本發明的實例具體實施例之沉積設備,電漿產生器可以半遠端電漿方式裝設於注入元件,因此可在其中電漿產生器與晶圓之間的距離為數毫米至數公分之範圍的條件下,實行包括將反應氣體直接分解成自由基之薄膜形成法。電漿產生器可藉由同時使用第一電極與第二電極而產生電漿,因此不必對加工室提供額外部分。
習知單一設備係將感受器垂直地移動而控制電漿存在區域與晶圓之間的空間。相反地,上述本發明之具體實施例所例示的批式結構係考量可變程序參數(如晶圓狀態、氣體種類、及/或程序環境),在薄膜形成期間將電漿產生器垂直地移動而控制晶圓與電漿產生器之間的空間。
本發明可應用於為了以電漿處理晶圓或基板之表面而設計成將至少兩種不同的氣體連續地注射在晶圓或基板上之設備。雖然已說明批式沉積設備作為本發明之一個實例,但本發明之實例具體實施例可不受其限制。例如可應用本發明而實現使用高密度電漿(HDP)之沉積設備、或任何使用電漿之沉積或蝕刻設備。
依照本發明之實例具體實施例,電漿產生器之垂直位置係設計成可控制。如此可選擇性地調整電漿產生器與基 板之間的空間。
另外,電漿產生器可提供於擋板室上而將反應氣體變成電漿,因此可改良反應氣體之反應性,增加擋板室中電漿密度。如此可增加沉積速率及薄膜之層品質。
此外,依照本發明之實例具體實施例可將至少兩種不同的氣體注射在基板或晶圓上,因此可增加沉積程序或表面處理之效率。如此可在單位時間內以高信賴度增加欲處理基板或晶圓的數量,及改良製造半導體裝置之良率或生產力。
雖然已顯示及說明本發明之實例具體實施例,但熟悉此技藝者應了解,其中可進行形式及細節之變化而不背離所附申請專利範圍之精神及範圍。
10‧‧‧沉積設備
100‧‧‧加工室
112‧‧‧入口
114‧‧‧通氣導管
120‧‧‧通氣管
200‧‧‧撐體元件
210‧‧‧平台
212a-212d‧‧‧第一至第四階段
220‧‧‧撐體柱
290‧‧‧驅動部分
300‧‧‧注入元件
302‧‧‧上板
304‧‧‧開口
309‧‧‧隔板
310‧‧‧噴嘴部分
311‧‧‧第一室
311a‧‧‧注射開口
312‧‧‧第二室
312a‧‧‧注射開口
313‧‧‧第三室
313a‧‧‧注射開口
314‧‧‧第四室
314a‧‧‧注射開口
320a-320d‧‧‧第一至第四擋板室
340‧‧‧電漿產生器
340a‧‧‧電漿產生器
341‧‧‧主體部分
342‧‧‧底面
343‧‧‧第一電極
343a‧‧‧第一電極
343b‧‧‧第一電極
344‧‧‧第二電極
344a‧‧‧第二電極
344b‧‧‧第二電極
350‧‧‧高度控制器
360‧‧‧緩衝空間
362‧‧‧注射孔
380‧‧‧風箱
390‧‧‧噴淋頭板
500‧‧‧供應元件
510a‧‧‧第一氣體供應元件
510b‧‧‧第二氣體供應元件
520‧‧‧沖洗氣體供應元件
W‧‧‧晶圓(或基板)
由以上之簡要說明結合附圖而更清楚地了解實例具體實施例。附圖係代表在此說明的非限制實例具體實施例。
圖1為依照本發明的實例具體實施例之沉積設備的略示圖;圖2A及2B為圖1之注入元件的正視及切面圖;圖3為圖1之撐體元件的平面圖;圖4A為將注入元件之電漿產生器放大的切面圖,及圖4B為描述其中藉高度控制器降低圖4A之電漿產生器的高度之組態的切面圖;圖5為描述注入元件之一個修改例的切面圖,其中係將噴淋頭板安裝在第三擋板室上;圖6為描述具有噴淋頭型電漿產生器之注入元件的切 面圖;圖7為描述注入元件之一個實例的切面圖,其中係將第一及第二電極裝設在電漿產生器之底面上;圖8為描述電漿產生器中第一以及第二電極之修改例的圖形;及圖9為例示地描述由圖2B修改的注入元件中之電漿產生器的圖形。
應注意,這些圖係意圖例證用於特定實例具體實施例之方法、結構及/或材料的一般特徵,以及補充以上所提供的書面說明。然而這些圖式並未按比例且可能未精確地反映任何所示具體實施例之精確結構或表現特徵,及不應解讀成界定或限制實例具體實施例所包含之值或性質的範圍。例如分子、層、區域、及/或結構元件之相對厚度及位置為了明確起見而可縮小或放大。各圖式中使用類似或相同元件符號意圖表示類似或相同元件或特點之存在。
10‧‧‧沉積設備
100‧‧‧加工室
112‧‧‧入口
114‧‧‧通氣導管
120‧‧‧通氣管
200‧‧‧撐體元件
210‧‧‧平台
220‧‧‧撐體柱
290‧‧‧驅動部分
300‧‧‧注入元件
310‧‧‧噴嘴部分
340‧‧‧電漿產生器
350‧‧‧高度控制器
500‧‧‧供應元件
510a‧‧‧第一氣體供應元件
510b‧‧‧第二氣體供應元件
520‧‧‧沖洗氣體供應元件
W‧‧‧晶圓(或基板)

Claims (13)

  1. 一種電漿加工設備,其係包含:設計成實行使用電漿的程序且係含有複數個基板之加工室;提供於該加工室中之撐體元件,該基板係位於與該撐體元件相同的高度;面對該撐體元件而提供且係包括複數個擋板室,使得可將至少一種反應氣體及沖洗氣體以獨立方式注射在該基板上之注入元件;及設計成轉動該撐體元件或該注入元件,使得該注入元件的擋板室可相對位於該撐體元件上的複數個基板而軌道式運轉之驅動部分,其中該注入元件係包含電漿產生器,其係提供於至少一個擋板室上而設計成注射該反應氣體,以將反應氣體變成電漿;其中該電漿產生器包含:主體部分,具有底面面對該基板,該底面做電漿處理;複數個第一電極,提供於該主體部分的該底面上;複數個第二電極,提供於該主體部分的該底面上;其中,該複數個第一電極及該複數個第二電極彼此在同一面上並形成放射狀的組態。
  2. 如申請專利範圍第1項之電漿加工設備,其中該注入元件進一步包含高度控制器,其係設計成可控制該電漿產生器的垂直位置,因而選擇性地調整電漿產生器與該基板之間的空間。
  3. 如申請專利範圍第1或2項之電漿加工設備,其中該注入元件係設計成具有用於將該電漿產生器裝設於至少一個擋板室之開口,且該注入元件進一步包含包圍電漿產生器以維持密封狀態之風箱。
  4. 如申請專利範圍第1或2項之電漿加工設備,其中該複數個第一電極施以高頻電力以將氣體變成電漿;及該複數個第二電極被提供在該複數個第一電極之間,並施以偏壓電力。
  5. 如申請專利範圍第4項之電漿加工設備,其中該複數個第一電極及該複數個第二電極在該撐體元件或注入元件轉動期間使該基板均勻地暴露於電漿存在區域。
  6. 如申請專利範圍第4項之電漿加工設備,其中該複數個第一電極及該複數個第二電極係排列形成梳型組態。
  7. 如申請專利範圍第1或2項之電漿加工設備,其中該複數個第一電極及該複數個第二電極可排列在相同的高度而形成線圈狀組態。
  8. 如申請專利範圍第1或2項之電漿加工設備,其中該注入元件係包含:如圓碟形之上板;及提供於該上板的底面上以將擋板室定界之隔板。
  9. 如申請專利範圍第8項之電漿加工設備,其中該注入元件進一步包含噴嘴部分,其係提供於該上板的中心處,且係設計成將至少一種反應氣體及沖洗氣體各注射至對應擋板室中。
  10. 如申請專利範圍第1或2項之電漿加工設備,其中該注入元件進一步包含面對該撐體元件而提供之噴淋頭板, 及該噴淋頭板係裝設在具有電漿產生器之導板下方,且係與該電漿產生器分開。
  11. 一種電漿處理設備用注入元件,其係包含:如圓碟形之上板;及提供於該上板的中心處而具有至少四個注入開口之噴嘴部分,其係各設計成以獨立方式注射對應的反應及沖洗氣體;提供於該上板上而形成圍繞該噴嘴部分的放射狀組態之至少四個擋板室,該至少四個擋板室各連接該至少四個注入開口之對應者而分別地容納對應氣體;及提供於該至少四個擋板室之一之上而將反應氣體變成電漿之電漿產生器;其中該電漿產生器包含:主體部分,具有底面面對該基板,該底面做電漿處理;複數個第一電極,提供於該主體部分的該底面上;複數個第二電極,提供於該主體部分的該底面上;其中,該複數個第一電極及該複數個第二電極彼此在同一面上並形成放射狀的組態。
  12. 如申請專利範圍第11項之電漿處理設備用注入元件,其中該注入元件進一步包含高度控制器,其係設計成控制該電漿產生器的垂直位置。
  13. 如申請專利範圍第11項之電漿處理設備用注入元件,其中該注入元件係設計成具有用於將該電漿產生器裝設於至少一個擋板室之開口,且該注入元件進一步包含包圍電漿產生器以維持密封狀態之風箱。
TW101101374A 2011-01-13 2012-01-13 用於製造半導體裝置之注入元件及包含它之電漿加工設備 TWI543253B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110003681A KR101246170B1 (ko) 2011-01-13 2011-01-13 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
TW201243938A TW201243938A (en) 2012-11-01
TWI543253B true TWI543253B (zh) 2016-07-21

Family

ID=46507586

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101101374A TWI543253B (zh) 2011-01-13 2012-01-13 用於製造半導體裝置之注入元件及包含它之電漿加工設備

Country Status (6)

Country Link
US (1) US20130276983A1 (zh)
JP (2) JP5788992B2 (zh)
KR (1) KR101246170B1 (zh)
CN (1) CN103329633A (zh)
TW (1) TWI543253B (zh)
WO (1) WO2012096529A2 (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103997843B (zh) * 2013-02-17 2017-02-15 中微半导体设备(上海)有限公司 一种改进气体分布的等离子体反应器
CN107180738B (zh) * 2013-03-15 2019-08-27 应用材料公司 用于旋转压板式ald腔室的等离子体源
KR102137998B1 (ko) * 2013-11-05 2020-07-28 주성엔지니어링(주) 기판 처리 장치
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
KR101980246B1 (ko) * 2014-02-18 2019-05-21 주식회사 원익아이피에스 기판 처리 장치
JP6383674B2 (ja) * 2014-02-19 2018-08-29 東京エレクトロン株式会社 基板処理装置
JP6541374B2 (ja) * 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6224266B2 (ja) * 2014-10-29 2017-11-01 東芝三菱電機産業システム株式会社 放電発生器とその電源装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10096495B2 (en) 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR101702869B1 (ko) * 2015-09-10 2017-02-06 주식회사 케이씨텍 원자층 식각장치
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106191816B (zh) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 一种热丝化学气相沉积炉进出气气路装置及方法
KR102634044B1 (ko) * 2016-09-06 2024-02-06 주성엔지니어링(주) 기판 처리 장치용 가스 분사 장치 및 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018093874A1 (en) * 2016-11-15 2018-05-24 Applied Materials, Inc. Dynamic phased array plasma source for complete plasma coverage of a moving substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110600355B (zh) * 2018-06-13 2021-12-24 财团法人工业技术研究院 等离子体处理装置
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109451644A (zh) * 2018-12-24 2019-03-08 四川大学青岛研究院 等离子体喷射装置、喷头切换方法及低温等离子体设备
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN112490107B (zh) * 2021-01-14 2022-02-08 亦亨电子(上海)有限公司 一种等离子体刻蚀机及其刻蚀方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JP3174438B2 (ja) * 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
JP2002173779A (ja) * 2000-12-05 2002-06-21 Sekisui Chem Co Ltd 常圧プラズマガスノズル体
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JPWO2006118161A1 (ja) * 2005-04-28 2008-12-18 株式会社日立国際電気 基板処理装置および電極部材
KR101200372B1 (ko) * 2005-06-15 2012-11-12 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
JP2007059735A (ja) * 2005-08-26 2007-03-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8920600B2 (en) * 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
JP2008050662A (ja) * 2006-08-25 2008-03-06 Hitachi Kokusai Electric Inc 基板処理装置
KR101255719B1 (ko) * 2006-09-18 2013-04-17 주성엔지니어링(주) 플라즈마 전극과 인젝터가 일체형으로 제조된 가스분사장치및 이를 포함하는 기판처리장치
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100872994B1 (ko) * 2007-04-30 2008-12-09 주식회사 케이씨텍 플라즈마 발생장치
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
KR100931329B1 (ko) * 2007-11-06 2009-12-11 주식회사 케이씨텍 분사노즐유닛 및 이를 구비하는 플라즈마 기판 처리 장치
WO2009098662A1 (en) * 2008-02-08 2009-08-13 Ecole Polytechnique Federale De Lausanne (Epfl) Long lifetime system for the generation of surface plasmas
JP2009260199A (ja) * 2008-03-28 2009-11-05 Toray Ind Inc プラズマcvd装置及びプラズマcvd法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
KR20100077828A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 원자층 증착장치
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
KR20120082282A (ko) 2012-07-23
WO2012096529A3 (ko) 2012-11-15
JP5788992B2 (ja) 2015-10-07
CN103329633A (zh) 2013-09-25
TW201243938A (en) 2012-11-01
WO2012096529A2 (ko) 2012-07-19
KR101246170B1 (ko) 2013-03-25
JP2014509066A (ja) 2014-04-10
JP2016028425A (ja) 2016-02-25
US20130276983A1 (en) 2013-10-24

Similar Documents

Publication Publication Date Title
TWI543253B (zh) 用於製造半導體裝置之注入元件及包含它之電漿加工設備
US10475641B2 (en) Substrate processing apparatus
TWI541891B (zh) A plasma reactor and a method for fabricating a semiconductor substrate
JP5818288B2 (ja) 半導体製造に使用される噴射部材及びそれを有する基板処理装置
KR20220017968A (ko) 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
TWI407497B (zh) 多區域處理系統及處理頭
TW201318024A (zh) 改進等離子均勻性和效率的電感耦合等離子裝置
KR102313812B1 (ko) 성막 장치
KR20170074755A (ko) 샤워헤드 어셈블리
JP2022133280A (ja) 空間分離を伴う単一ウエハの処理環境
KR20150101785A (ko) 기판 처리 장치
JP6767844B2 (ja) 成膜装置及び成膜方法
KR102028237B1 (ko) 기판 처리 장치
TW201523773A (zh) 用以控制感應耦合電漿室中邊緣表現的設備與方法
JP2013125762A (ja) 成膜装置、および成膜方法
KR102449791B1 (ko) 기판 처리장치
KR101426432B1 (ko) 기판 처리 장치 및 방법
US20230230817A1 (en) Deposition method and deposition apparatus
TW201318063A (zh) 改進等離子均勻性和效率的電感耦合等離子裝置
KR101552667B1 (ko) 배플 어셈블리 및 이를 가지는 기판 처리 장치
TWI780369B (zh) 操作空間沉積工具的方法
TW202000975A (zh) 基板處理裝置及噴頭
KR101183616B1 (ko) 기판처리장치
JP2022108645A (ja) 成膜装置及び成膜方法
TW202334494A (zh) 用於處理腔室的注入模組