WO2012096529A3 - 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치 - Google Patents

반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치 Download PDF

Info

Publication number
WO2012096529A3
WO2012096529A3 PCT/KR2012/000297 KR2012000297W WO2012096529A3 WO 2012096529 A3 WO2012096529 A3 WO 2012096529A3 KR 2012000297 W KR2012000297 W KR 2012000297W WO 2012096529 A3 WO2012096529 A3 WO 2012096529A3
Authority
WO
WIPO (PCT)
Prior art keywords
support member
plasma treatment
spray member
substrates
treatment apparatus
Prior art date
Application number
PCT/KR2012/000297
Other languages
English (en)
French (fr)
Other versions
WO2012096529A2 (ko
Inventor
박용성
이성광
김동렬
토요다카즈유키
카사하라오사무
이나다테츠아키
Original Assignee
국제엘렉트릭코리아 주식회사
가부시키가이샤 히타치코쿠사이덴끼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 국제엘렉트릭코리아 주식회사, 가부시키가이샤 히타치코쿠사이덴끼 filed Critical 국제엘렉트릭코리아 주식회사
Priority to CN2012800052217A priority Critical patent/CN103329633A/zh
Priority to JP2013546047A priority patent/JP5788992B2/ja
Priority to US13/993,277 priority patent/US20130276983A1/en
Publication of WO2012096529A2 publication Critical patent/WO2012096529A2/ko
Publication of WO2012096529A3 publication Critical patent/WO2012096529A3/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

본 발명은 플라즈마 처리 장치에 관한 것으로, 복수의 기판이 수용되어 플라즈마 처리 공정이 수행되는 공정 챔버; 공정 챔버에 설치되고 동일 평면상에 복수의 기판이 놓여지는 지지부재; 및 지지부재와 대향되게 설치되고, 적어도 하나 이상의 반응가스 및 퍼지가스를 지지부재에 놓여진 복수의 기판들 각각에 대응하는 위치에서 독립적으로 분사할 수 있도록 독립된 복수개의 배플들을 갖는 분사부재; 및 분사부재의 배플들이 지지부재에 놓여진 복수의 기판들 각각에 순차적으로 선회하도록 지지부재 또는 상기 분사부재를 회전시키는 구동부를 포함하되; 분사부재는 복수개의 배플들 중 반응가스를 분사하는 적어도 하나의 배플에 설치되어 기판으로 분사되는 반응가스를 플라즈마화하는 플라즈마 발생기를 포함한다.
PCT/KR2012/000297 2011-01-13 2012-01-12 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치 WO2012096529A2 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2012800052217A CN103329633A (zh) 2011-01-13 2012-01-12 用于半导体制造的喷射件及具有该喷射件的等离子体处理装置
JP2013546047A JP5788992B2 (ja) 2011-01-13 2012-01-12 半導体製造に使用される噴射部材、それを有するプラズマ処理装置、および半導体装置の製造方法
US13/993,277 US20130276983A1 (en) 2011-01-13 2012-01-12 Injection member for manufacturing semiconductor device and plasma processing apparatus having the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020110003681A KR101246170B1 (ko) 2011-01-13 2011-01-13 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR10-2011-0003681 2011-01-13

Publications (2)

Publication Number Publication Date
WO2012096529A2 WO2012096529A2 (ko) 2012-07-19
WO2012096529A3 true WO2012096529A3 (ko) 2012-11-15

Family

ID=46507586

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2012/000297 WO2012096529A2 (ko) 2011-01-13 2012-01-12 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치

Country Status (6)

Country Link
US (1) US20130276983A1 (ko)
JP (2) JP5788992B2 (ko)
KR (1) KR101246170B1 (ko)
CN (1) CN103329633A (ko)
TW (1) TWI543253B (ko)
WO (1) WO2012096529A2 (ko)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103997843B (zh) * 2013-02-17 2017-02-15 中微半导体设备(上海)有限公司 一种改进气体分布的等离子体反应器
CN107180738B (zh) * 2013-03-15 2019-08-27 应用材料公司 用于旋转压板式ald腔室的等离子体源
KR102137998B1 (ko) * 2013-11-05 2020-07-28 주성엔지니어링(주) 기판 처리 장치
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
KR101980246B1 (ko) * 2014-02-18 2019-05-21 주식회사 원익아이피에스 기판 처리 장치
JP6383674B2 (ja) * 2014-02-19 2018-08-29 東京エレクトロン株式会社 基板処理装置
JP6541374B2 (ja) * 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
JP6224266B2 (ja) * 2014-10-29 2017-11-01 東芝三菱電機産業システム株式会社 放電発生器とその電源装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10096495B2 (en) 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
KR102400863B1 (ko) * 2015-07-27 2022-05-24 삼성디스플레이 주식회사 플라즈마 처리 장치 및 이를 이용하여 기판을 플라즈마 처리하는 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR101702869B1 (ko) * 2015-09-10 2017-02-06 주식회사 케이씨텍 원자층 식각장치
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN106191816B (zh) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 一种热丝化学气相沉积炉进出气气路装置及方法
KR102634044B1 (ko) * 2016-09-06 2024-02-06 주성엔지니어링(주) 기판 처리 장치용 가스 분사 장치 및 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018093874A1 (en) * 2016-11-15 2018-05-24 Applied Materials, Inc. Dynamic phased array plasma source for complete plasma coverage of a moving substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110600355B (zh) * 2018-06-13 2021-12-24 财团法人工业技术研究院 等离子体处理装置
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109451644A (zh) * 2018-12-24 2019-03-08 四川大学青岛研究院 等离子体喷射装置、喷头切换方法及低温等离子体设备
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN112490107B (zh) * 2021-01-14 2022-02-08 亦亨电子(上海)有限公司 一种等离子体刻蚀机及其刻蚀方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR20060131119A (ko) * 2005-06-15 2006-12-20 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR20080025587A (ko) * 2006-09-18 2008-03-21 주성엔지니어링(주) 플라즈마 전극과 인젝터가 일체형으로 제조된 가스분사장치및 이를 포함하는 기판처리장치
KR20090046551A (ko) * 2007-11-06 2009-05-11 주식회사 케이씨텍 분사노즐유닛 및 이를 구비하는 플라즈마 기판 처리 장치
KR20100077828A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 원자층 증착장치

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
JP3144664B2 (ja) * 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
JP3174438B2 (ja) * 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
JP2002173779A (ja) * 2000-12-05 2002-06-21 Sekisui Chem Co Ltd 常圧プラズマガスノズル体
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
JPWO2006118161A1 (ja) * 2005-04-28 2008-12-18 株式会社日立国際電気 基板処理装置および電極部材
JP2007059735A (ja) * 2005-08-26 2007-03-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8920600B2 (en) * 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
JP2008050662A (ja) * 2006-08-25 2008-03-06 Hitachi Kokusai Electric Inc 基板処理装置
DE102007009145A1 (de) * 2007-02-24 2008-08-28 Aixtron Ag Vorrichtung zum Abscheiden kristalliner Schichten wahlweise mittels MOCVD oder HVPE
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
KR100872994B1 (ko) * 2007-04-30 2008-12-09 주식회사 케이씨텍 플라즈마 발생장치
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
WO2009098662A1 (en) * 2008-02-08 2009-08-13 Ecole Polytechnique Federale De Lausanne (Epfl) Long lifetime system for the generation of surface plasmas
JP2009260199A (ja) * 2008-03-28 2009-11-05 Toray Ind Inc プラズマcvd装置及びプラズマcvd法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR20060131119A (ko) * 2005-06-15 2006-12-20 주성엔지니어링(주) 박막 제조 장치 및 이를 이용한 박막 증착 방법
KR20080025587A (ko) * 2006-09-18 2008-03-21 주성엔지니어링(주) 플라즈마 전극과 인젝터가 일체형으로 제조된 가스분사장치및 이를 포함하는 기판처리장치
KR20090046551A (ko) * 2007-11-06 2009-05-11 주식회사 케이씨텍 분사노즐유닛 및 이를 구비하는 플라즈마 기판 처리 장치
KR20100077828A (ko) * 2008-12-29 2010-07-08 주식회사 케이씨텍 원자층 증착장치

Also Published As

Publication number Publication date
KR20120082282A (ko) 2012-07-23
JP5788992B2 (ja) 2015-10-07
CN103329633A (zh) 2013-09-25
TW201243938A (en) 2012-11-01
WO2012096529A2 (ko) 2012-07-19
TWI543253B (zh) 2016-07-21
KR101246170B1 (ko) 2013-03-25
JP2014509066A (ja) 2014-04-10
JP2016028425A (ja) 2016-02-25
US20130276983A1 (en) 2013-10-24

Similar Documents

Publication Publication Date Title
WO2012096529A3 (ko) 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
WO2012176996A3 (ko) 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
WO2010101369A3 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
WO2010067974A3 (ko) 복수기판 처리장치
WO2012099681A3 (en) Semiconductor processing system and methods using capacitively coupled plasma
WO2017115147A3 (en) Vapor disposition of silicon-containing films using penta-substituted disilanes
WO2010022871A8 (en) Non-thermal plasma for wound treatment and associated apparatus and method
WO2011159615A3 (en) Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
WO2012148801A3 (en) Semiconductor substrate processing system
WO2012118953A3 (en) Atomic layer deposition carousel with continuous rotation and methods of use
JP2013513239A5 (ko)
JP2009084693A5 (ko)
WO2011031556A3 (en) Gas distribution showerhead and method of cleaning
WO2013012549A3 (en) Multi-chamber cvd processing system
JP2013229608A5 (ko)
WO2011109266A3 (en) Method and apparatus for single step selective nitridation
WO2011029096A3 (en) Plasma enhanced chemical vapor deposition apparatus
WO2011034751A3 (en) Hot wire chemical vapor deposition (cvd) inline coating tool
WO2012134070A3 (ko) 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
WO2012170511A3 (en) Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
WO2011037377A3 (ko) 배치식 에피택셜층 형성장치 및 그 형성방법
BRPI0923092A2 (pt) dispositivo e método para tratamento e/ou revestimento de superfícies de componentes de substrato por deposição a partir da fase gasosa.
WO2013154504A3 (en) Microwave plasma chemical vapour deposition apparatus
WO2012036499A3 (ko) 박막 증착 장치
EA201290598A1 (ru) Устройство и способ для покрытия подложки

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12734743

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 13993277

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2013546047

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12734743

Country of ref document: EP

Kind code of ref document: A2