WO2010101369A3 - 가스 분배 장치 및 이를 구비하는 기판 처리 장치 - Google Patents

가스 분배 장치 및 이를 구비하는 기판 처리 장치 Download PDF

Info

Publication number
WO2010101369A3
WO2010101369A3 PCT/KR2010/001209 KR2010001209W WO2010101369A3 WO 2010101369 A3 WO2010101369 A3 WO 2010101369A3 KR 2010001209 W KR2010001209 W KR 2010001209W WO 2010101369 A3 WO2010101369 A3 WO 2010101369A3
Authority
WO
WIPO (PCT)
Prior art keywords
gas distribution
substrate
processing apparatus
same
distribution unit
Prior art date
Application number
PCT/KR2010/001209
Other languages
English (en)
French (fr)
Other versions
WO2010101369A2 (ko
Inventor
최선홍
이승호
이영희
Original Assignee
주성엔지니어링㈜
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020090018083A external-priority patent/KR20100099535A/ko
Priority claimed from KR1020100014446A external-priority patent/KR20110021624A/ko
Application filed by 주성엔지니어링㈜ filed Critical 주성엔지니어링㈜
Priority to JP2011552876A priority Critical patent/JP2012519956A/ja
Priority to US12/746,505 priority patent/US20110048325A1/en
Priority to CN2010800033950A priority patent/CN102239543A/zh
Publication of WO2010101369A2 publication Critical patent/WO2010101369A2/ko
Publication of WO2010101369A3 publication Critical patent/WO2010101369A3/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Abstract

본 발명은 가스 분배 장치 및 이를 구비하는 기판 처리 장치에 관한 것으로, 적어도 2가지 이상의 공정 가스를 각기 다른 루트를 통해 기판 상으로 분사하는 제 1 가스 분배부와, 적어도 2가지 이상의 공정 가스들의 분해 온도의 평균보다 그 분해 온도가 높은 공정 가스를 기판 상으로 분사하는 제 2 가스 분배부를 포함하고, 제 1 가스 분배부는 적어도 둘 이상으로 분할되어 제 2 가스 분배부를 중심으로 배치되고 결합 및 분리가 가능한 가스 분배 장치 및 이를 구비하는 기판 처리 장치를 제공한다.
PCT/KR2010/001209 2009-03-03 2010-02-26 가스 분배 장치 및 이를 구비하는 기판 처리 장치 WO2010101369A2 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2011552876A JP2012519956A (ja) 2009-03-03 2010-02-26 ガス分配装置およびこれを備える基板処理装置
US12/746,505 US20110048325A1 (en) 2009-03-03 2010-02-26 Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
CN2010800033950A CN102239543A (zh) 2009-03-03 2010-02-26 气体分配装置及具有其的基板处理装置

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR1020090018083A KR20100099535A (ko) 2009-03-03 2009-03-03 기판처리장치 및 그의 제조방법
KR10-2009-0018083 2009-03-03
KR10-2009-0079174 2009-08-26
KR20090079174 2009-08-26
KR10-2010-0014446 2010-02-18
KR1020100014446A KR20110021624A (ko) 2009-08-26 2010-02-18 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치

Publications (2)

Publication Number Publication Date
WO2010101369A2 WO2010101369A2 (ko) 2010-09-10
WO2010101369A3 true WO2010101369A3 (ko) 2010-11-25

Family

ID=42710086

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2010/001209 WO2010101369A2 (ko) 2009-03-03 2010-02-26 가스 분배 장치 및 이를 구비하는 기판 처리 장치

Country Status (2)

Country Link
US (1) US20110048325A1 (ko)
WO (1) WO2010101369A2 (ko)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008129977A1 (ja) * 2007-04-17 2008-10-30 Ulvac, Inc. 成膜装置
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI372081B (en) * 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
KR101503512B1 (ko) * 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
JP5875155B2 (ja) * 2012-07-27 2016-03-02 国立大学法人大阪大学 成膜装置
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
TWI646690B (zh) 2013-09-13 2019-01-01 半導體能源研究所股份有限公司 半導體裝置及其製造方法
WO2015132697A1 (en) 2014-03-07 2015-09-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR102229761B1 (ko) * 2014-03-17 2021-03-23 삼성디스플레이 주식회사 원자층 증착 장치
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9741537B1 (en) * 2016-02-19 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for supplying ion beam in ion implantation process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190127075A (ko) * 2018-05-03 2019-11-13 주성엔지니어링(주) 기판 처리 장치
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11110425B2 (en) * 2018-07-27 2021-09-07 Applied Materials, Inc. Gas distribution plate for thermal deposition
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
JP2021141285A (ja) 2020-03-09 2021-09-16 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645266A (ja) * 1991-12-30 1994-02-18 Texas Instr Inc <Ti> 単一ウエーハ半導体処理装置用プログラム可能な多ゾーンガス注入器
KR20020031380A (ko) * 1999-07-08 2002-05-01 볼랜드 존. 오 화학증착(cvd) 및 플라즈마강화 화학증착(pecvd)공정에서 기질에 균일한 가스공급을 제공하는 방법 및 장치
JP2007042890A (ja) * 2005-08-03 2007-02-15 Hitachi Kokusai Electric Inc 基板処理装置
KR20080078310A (ko) * 2007-02-23 2008-08-27 주성엔지니어링(주) 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR20080097505A (ko) * 2007-05-02 2008-11-06 주성엔지니어링(주) 박막 증착 장치

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645266A (ja) * 1991-12-30 1994-02-18 Texas Instr Inc <Ti> 単一ウエーハ半導体処理装置用プログラム可能な多ゾーンガス注入器
KR20020031380A (ko) * 1999-07-08 2002-05-01 볼랜드 존. 오 화학증착(cvd) 및 플라즈마강화 화학증착(pecvd)공정에서 기질에 균일한 가스공급을 제공하는 방법 및 장치
JP2007042890A (ja) * 2005-08-03 2007-02-15 Hitachi Kokusai Electric Inc 基板処理装置
KR20080078310A (ko) * 2007-02-23 2008-08-27 주성엔지니어링(주) 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR20080097505A (ko) * 2007-05-02 2008-11-06 주성엔지니어링(주) 박막 증착 장치

Also Published As

Publication number Publication date
WO2010101369A2 (ko) 2010-09-10
US20110048325A1 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
WO2010101369A3 (ko) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
WO2007106690A3 (en) Degenerate nucleobase analogs
WO2011126606A3 (en) Vapor-liquid contacting apparatuses having a secondary absorption zone with vortex contacting stages
WO2012096529A3 (ko) 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
EA201170630A1 (ru) Гибкое изолирующее изделие
WO2011103035A3 (en) Integrated process and methods of producing (e)-1-chloro-3,3,3-trifluoropropene
WO2012170511A3 (en) Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
ATE435315T1 (de) Hybridplasmakaltgasspritzenverfahren und vorrichtung
EA201100568A1 (ru) Способ получения карбида кремния высокой чистоты из углеводородов и оксида кремния с помощью прокаливания
MY165009A (en) Process for separation of gases
WO2011133876A3 (en) Oligonucleotides comprising acyclic and abasic nucleosides and analogs
EA200970977A1 (ru) Способ удаления целевого газа из смеси газов с помощью адсорбции за счет термического перепада
NO20081469L (no) Fremgangsmåte for fremstilling, erstatning eller utvinning av gasshydrat
EP2248574A4 (en) METHOD AND DEVICE FOR PROCESSING EXHAUST GASES
TWI349964B (en) Exhaust equipment, substrate process equipment installed the exhaust equipment and method of exhausting
WO2008000960A3 (fr) Procédé et système de torréfaction d&#39;une charge de biomasse
NZ607801A (en) Gas stream purification apparatus and method
WO2011077099A3 (en) Methods for the purification of cucurbituril
EP2261461A4 (en) COOLING CHANNEL COVER, METHOD OF MANUFACTURING THE COVER AND GAS TURBINE
PL118471U1 (pl) Urządzenie do obróbki gazów, zwłaszcza do suszenia gazu ziemnego lub biogazu
WO2011081385A3 (ko) 트리클로로실란의 정제 방법 및 정제 장치
SG11201401771TA (en) PROCESS FOR THE CATALYTIC REMOVAL OF CARBON DIOXIDE, NO&lt;sb&gt;X&lt;/sb&gt; FROM EXHAUST GASES
WO2011136525A3 (ko) 인라인 기판 처리 장치
FI20115321A0 (fi) Menetelmä yhden tai useamman monikiteisen piikerroksen kerrrostamiseksi substraatille
UA104171C2 (ru) Способ и устройство для изготовления полифосфорной кислоты

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080003395.0

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2011552876

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10748911

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10748911

Country of ref document: EP

Kind code of ref document: A2