TWI407497B - 多區域處理系統及處理頭 - Google Patents

多區域處理系統及處理頭 Download PDF

Info

Publication number
TWI407497B
TWI407497B TW097134166A TW97134166A TWI407497B TW I407497 B TWI407497 B TW I407497B TW 097134166 A TW097134166 A TW 097134166A TW 97134166 A TW97134166 A TW 97134166A TW I407497 B TWI407497 B TW I407497B
Authority
TW
Taiwan
Prior art keywords
processing
substrate
processing head
head
wall
Prior art date
Application number
TW097134166A
Other languages
English (en)
Other versions
TW200939323A (en
Inventor
Rick Endo
Kurt Weiner
Indranil De
James Tsung
Maosheng Zhao
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of TW200939323A publication Critical patent/TW200939323A/zh
Application granted granted Critical
Publication of TWI407497B publication Critical patent/TWI407497B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/02Processes for applying liquids or other fluent materials performed by spraying
    • B05D1/08Flame spraying
    • B05D1/10Applying particulate materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/30Arrangements for collecting, re-using or eliminating excess spraying material comprising enclosures close to, or in contact with, the object to be sprayed and surrounding or confining the discharged spray or jet but not the object to be sprayed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B16/00Spray booths
    • B05B16/80Movable spray booths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Description

多區域處理系統及處理頭
本發明係有關於半導體處理操作,尤有關於半導體處理操作中之多區域處理系統及處理頭。
半導體處理操作包括透過沉積處理以形成層及移除層、定義特徵部(如蝕刻)、製備層(如清潔)、摻雜或其他不需在基板上形成層的處理。此外,類似的處理技術應用於下列各裝置的製造:積體電路(IC)半導體裝置、平板顯示裝置、光電子裝置、資料儲存裝置、磁電子裝置、封裝裝置及其他類似裝置。因特徵部的尺寸持續縮小,吾人對於沉積處理不斷在追求無論是在材料、單元處理或處理次序上的進步。然而,半導體廠商透過分批處理對整片晶圓處理進行研發,因沉積系統係設計用以支援此處理方案。此種方式造成研發成本持續上升,且無法適時又符合成本效益地進行全面的實驗。
雖然梯度處理嘗試提供額外資訊,但梯度處理具有數個缺點。梯度處理依賴所定義的非均勻性,然而非均勻性不能反映習知處理操作,因此梯度處理不能模仿習知處理。此外,在梯度處理之下,一移動的光罩或遮板一般用以跨整個基板或部分基板沉積不同數量的材料(或摻質)。此方式亦用於也許可或不可被用於共同濺鍍之目的而具有載置數標的物之一旋轉輸送帶的沉積系統。上述每個系統中,沉積區域的均勻性,以及執行超過一個沉積處理之交互污染的問題,皆使這些技術於組合式處理時相對地無效。
因此,對於單一基板上之多個不同處理變化的評估,一改進技術便用來提供以更有效率地評估不同材料、單元處理或處理次序的可行性。
本發明之數個實施例提供組合式處理之沉積系統及方法。以下敘述本發明之數個實施例。
在本發明之一實施態樣中,提供有一沉積系統,該沉積系統具有設置在沉積系統內之徑向移動的處理頭。徑向移動的處理頭能夠將一層材料沉積在基板的區域上。在一實施例中,區域是基板的位置隔離區域。沉積系統可包括多個設置在基板表面上方徑向移動的沉積頭。為了接近到整個基板表面,置放基板的支座用以旋轉或線性移動基板。若沉積頭之一基部作為陰極,且一圍繞從基部延伸之側牆的遮罩作為陽極,則處理頭能夠用於冷電漿操作。在另一實施例中,沉積頭的一噴淋頭可相對於離一基板表面之距離調整。亦即,噴淋頭可在Z方向調整,不依賴沉積頭的移動而調整一處理容積。
在本發明之另一個實施態樣中,一處理頭具有以同心設置的數個通道,該等通道用以透過一內通道傳送一沉積流體到一基板表面,並透過一定義為介於第一通道之外牆與第二通道之內牆之間的空腔提供沉積流體的排出。在一實施例中,內通道之底部表面及第二通道之底部表面是共平面的。處理頭有選擇性地包括一圍繞第二通道的第三通道。第三通道提供一流體阻障,防止沉積流體流到第三通道之周圍的外部。在一實施例中,作為流體阻障的流體經由第二通道排出。第一、第二及第三通道可以一共軸同心。
又在本發明之另一實施態樣中,提供有位置隔離沉積的數個方法。該等方法透過在此描述之處理頭將材料沉積在基板的區域上。在一實施例中,一氣態沉積流體流過設置於基板一部分上方的內通道。同時間,在一所定義之圍繞內通道的空腔中可施加一真空,以跨內通道一底部表面收回流體而進入該所定義空腔。在一實施例中,一侷限流體可選擇性地流過一外通道,該外通道圍繞內通道及包圍內通道之區域兩者。一膜沉積在基板之部分或區域上,此步驟可重複在基板其他部分或區域上進行。在另一實施例中,提供有一在基板位置隔離區域上沉積一膜的方法。在此實施例中,噴淋頭組件內的一噴淋頭是可移動的,俾使調整一定義為介於噴淋頭組件與基板之位置隔離區域之間的一處理區域的容積。一沉積流體流過調整後的噴淋頭,以沉積一膜在基板之位置隔離區域上。在一實施例中,多餘的沉積流體及沉積副產物會被移除,其係藉由在一圍繞噴淋頭組件的侷限區域設有一真空。據此,透過在此描述之數個實施例,基板上的多個位置可接受組合式處理,不論是以平行、序列或平行與序列的組合來組處理,以提供替代性處理次序、材料、處理參數等的資料。
本發明之其他實施態樣將從下述詳細說明及參照隨附圖式後更能明白。圖式係基於本發明之原理而例示性地繪製。
在此描述之實施例提供以組合方式處理基板的方法及系統。然而,吾人當了解到,對於習知本技術領域者而言,本發明並不需這些特定細節之部分或全部來實施。在其他情況下,習知處理操作將不再詳述,以避免不必要地混淆本發明。
以下數個實施例詳細描述關於一多區域處理系統,以及能以組合方式處理基板之相關的處理頭。據此,基板的不同區域可能具有不同屬性,其可能是因材料、單元處理(例如,處理狀況或參數)、處理次序等之變化之故。各區域內的狀況較佳為實質上均勻,俾使在各區域內模仿傳統式整片晶圓處理;然而,特定實驗不需此要求便可得到有效的結果。在一實施例中,不同的區域受到隔離,俾使不同區域間不會有彼此間的擴散作用。
此外,基板的組合式處理可以結合傳統式處理技術,其中實質上整個基板受到均勻處理(例如,使用相同之材料、單位處理、處理次序)。因此,本說明書中敘述的實施例能從製造處理流程中抽出一基板,對其執行組合式沉積處理後,並將其送回製造處理流程以進行後續處理。或者,基板能在如群集工具之整合式工具中處理,該工具容許在中央腔室周遭連接之各種腔室中進行組合式及傳統式兩者處理。因此在一基板中,有關變動的處理及變動處理與傳統處理之互動的資訊便能加以評估。據此,針對一期望處理而言,大量的資料便可從單一基板獲得。
在此之數個實施例係針對各種應用描述,該等應用包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、反應性離子蝕刻(RIE)、冷電漿沉積之沉積,以及其他應用,如蝕刻、摻雜、表面修改或製備(例如,清潔處理或單原子層沉積)等。吾人更當了解的是,於下描述之實施例係組合式基板處理之最佳化技術。經由處理頭定義之相對小(與基板整體區域相比較之下)區域的移動,伴隨著基板的旋轉,能夠接近到基板整個表面。或者,處理頭可以圓形方式旋轉,而基板可在相對之x-y方向下移動,以使處理頭能夠接近整個表面。在其他實施例中,處理頭與基板皆可繞著一軸線旋轉,該軸線可以是或可能不是一公軸線,或是處理頭與基板皆可能作直線運動(XY平面)。一移動式臂桿可能包括單一處理頭或多個處理頭,並能跨基板表面徑向掃描,以促成序列式處理(一次一個處理頭)、序列-平行式處理或快速序列式處理(一次多個處理頭進行重複處理,覆蓋基板上各區域)或平行式處理(使用足夠的處理頭一次處理全部的區域)。在平行式處理的實施例中,旋轉能使不同區域受到輪流處理,其中各處理頭在不同區域實行不同處理,或者,各處理頭執行相同處理,依賴基板旋轉來產生基板上不同處理的區域。
在一實施例中,一移動式處理頭用以在基板上方一隔離區域產生一電漿,以免除遮罩的需要,而該隔離區域可稱為處理區。雖然通常不需要遮罩,本發明之各種實施態樣亦可使用遮罩,並在部分情況下可如下述般改善隔離能力及公差。在另一實施例中,提供有一移動式處理頭,其用以使基板受到位置隔離的ALD處理。習知本技術領域者將瞭解到,ALD、CVD、PVD並不侷限於沉積處理。舉例而言,在一實施例中,ALD能被用來執行摻雜處理。更詳細而言,藉由在各沉積循環中沉積一或更少單原子層,ALD處理能作為一種摻雜方式。在另一實施例中,PVD及/或ALD處理能夠「蝕刻」。習知本技術領域者將瞭解到,相較於處理氣體沉積材料在基板上,藉由改變處理氣體,例如當一處理氣體與基板反應時,一蝕刻處理便可加以執行。
圖1係一簡化概略圖,繪示根據本發明之一實施例之反應室。反應室100包括基板支座102及處理頭104。基板支座102可為靜電夾頭或其它夾頭,其設定來旋轉。在另一實施例中,基板支座102在反應室100中線性移動。處理頭104用以在安置於基板支座102上之基板112的一表面上方徑向地線性移動。在一實施例中,處理頭104可在基板112上方之平面以二個維度移動。在另一實施例中,當處理頭104移動時,伸縮密封部(bellows)106提供為一密封部,以維持反應室的完整性。習知本技術領域者將會明白,藉由將基板支座102設定為旋轉至少180度,並藉由使處理頭104能夠跨基板112的半徑移動,基板112上所有位置皆可被處理頭104接近而執行組合式處理。在一實施例中,基板支座102旋轉至少185度,以確保完整覆蓋。在另一實施例中,基板支座102旋轉360度。沉積頭104除了平行一基板112表面而線性移動之外,其能以與擱置在基板支座102上之基板表面正交的Z方向移動,以將處理頭放在欲處理之區域上方及/或變化處理頭對於基板的高度,如此進而變動處理區域的容積,如下所述。依此方式,處理頭104可用來調整一定義於處理頭與基板一表面之間的處理容積。
流體供應部108用以將流體傳送至處理頭104。實質上,流體供應部108傳送的氣體為適合經過處理頭104執行任何沉積處理的氣體。當然,為了因應處理頭104的移動,從流體供應部108發出的傳送管線可以是靈活的。驅動器114提供了內處理頭104在反應室100的線性(XY)與正交(Z)移動。習知本技術領域者將明白,驅動器114可以是任何適當的驅動器,如線性驅動器、蝸輪等。此外,驅動器114或另一分開之驅動器可以控制不依賴線性移動的正交移動。例示性的驅動器包括由導螺桿上之步進馬達、氣動驅動器、伺服驅動器、齒條組件等驅動的線性滑軌。為了產生電漿,如無線射頻(RF)、DC脈衝、微波等之電源116連接到處理頭104。而包括中央處理器(CPU)、記憶體及輸入/輸出能力之控制器110控制反應室100內的處理。在一實施例中,儲存在控制器110之記憶體內的一處方會被CPU執行,以用於反應室100內的處理。針對而言,控制器110用以控制電源116、驅動器114、流體供應部108及反應室執行組合式處理操作用的其他實施態樣。在另一實施例中,各元件可使用分開的控制器,而一具有通用目的電腦能透過一處理處方控制該等分開控制器的操作。
根據本發明一實施例,圖2為一簡化概略圖,繪示處理頭移動之額外細節。圖2中設有一與圖1之伸縮密封部不同之密封機構。圖2中,處理頭104由臂桿120(亦作支桿)支撐,而臂桿120固著在一移動式頂板124。在一實施例中,臂桿120延伸穿過頂板124,臂桿之一端連接到一提供Z方向移動的驅動器。臂桿120與移動式頂板124之間維持有一密封部,以在臂桿相對於基板一表面上升或下降或作其他移動時,維持處理室的完整性。移動式頂板124可以移動式地設置在軸承支座表面128及數個O形環126上。軸承支座表面128為一設置在反應室頂部122上的表面,導引移動式頂板124,以不至於對O形環126造成過度壓力。圖2雖繪示一種軸承表面,另一種軸承表面可跨反應室頂部122上的開口設置,以在開口兩側支撐移動式頂板124。軸承表面可以包括滾珠軸承、氣動元件、液壓元件等。在一實施例中,反應室內為一超高真空,例如10-8 或10-9 torr,而介於數個O形環126之間的區域則泵抽到milliTorr的範圍。在數個O形環126之間空間的泵抽能夠經過通道130完成,通道130使一泵能存取定義於數個O形環126之間的空間。舉例而言,通道130可穿鑿過反應室的上板,以使泵能存取定義於數個O形環126之間的空間。
根據本發明之一實施例,圖3是圖2中反應室的俯視圖。在圖3中,移動式頂板124包括用以在反應室內支撐處理頭的數個支桿120。雖圖3中移動式頂板124有二個支桿120,吾人當了解到,任何數量的支桿及對應之處理頭能設置於該滑動式板上。數個O形環126為反應室內真空與外部大氣之間提供一密封部。移動式頂板124的驅動器114可包括任何線性驅動器、蝸輪等,以在晶圓一表面上移動支桿120及對應之處理頭,進行組合式處理。用以進行Z方向移動之連接到數個支桿120頂端的額外驅動器則因為了簡化圖式而未繪示。處理頭104可為任何數量之針對各種應用的不同處理頭,包過應用於沉積操作之物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD),及其他應用如蝕刻、摻雜、表面修改或製備(如清潔處理或單層沉積)等。以下將詳述處理頭的數個不同實施例。
根據本發明之一實施例,圖4A為一簡化概略圖,繪示一系統具有一旋轉式處理頭及一移動式基板支座。處理頭104在反應室100內由臂桿120所支撐。臂桿120用以在一垂直方向移動(Z移動),並繞著一軸旋轉。處理頭104的軸偏移其繞著旋轉的軸。基板112置放於基板支座102上。基板支座102用以在一垂直方向移動(Z移動),以及在XY平面上線性移動。依此方式,基板112的隔離區域可接受組合式處理。在一實施例中,多個處理頭可連接到臂桿120。在另一實施例中,反應室100內可設置有多個臂桿。
圖4B為一簡化概略圖,繪示一經由圖4A之實施例產生的例示性組合式區域圖案。基板112在其之上定義有數個隔離區域109。隔離區域109可接受組合式處理,其中跨數個區域有不同之一種或組合的材料、單位處理或處理次序。如圖4B,軌道111是圖4A之處理頭104遵循之例示性路徑。然而,習知本技術領域者能瞭解到,描述於本說明書之數個實施例將能透過處理頭104的旋轉式移動及基板支座102的平面移動產生其他眾多圖案,如圖8之例示性圖案。
根據本發明之實施例,圖5A及5B是簡化概略圖,繪示用於組合式處理之處理頭。處理頭104能促使數個乾式處理,包括如圖5B所示之電漿式系統(如PVD或其變化或冷電漿),或如圖5A所示之其他氣態流體式系統(如ALD、CVD或類似變化)。除了這些種類的處理頭會詳述外,其他支持額外處理方案的處理頭能夠依前述種類之處理頭來調整適用,或能取代這些處理頭。根據一實施例,處理頭104是圓柱狀,但可以是其他幾何形狀,如四邊形、卵圓形、五角形等。基板上之處理區域,亦能稱作位置隔離區域,能由反應室所定義,如透過處理頭定義於一毯覆層或圖案化基板上,或處理區域能在基板上預先定義(如透過測試結構、晶粒、多晶或其他技術)。
如圖5A所示,側牆152定義處理頭或沉積頭的一外牆,且在處理頭104之一頂部區域中,閥154提供流體到充氣室156,充氣室156將流體分配給噴淋頭158。噴淋頭158及充氣室156的組合可稱作噴淋頭組件或處理頭組件。O形環160提供了介於噴淋頭組件與側牆152之間的一密封部,O形環亦能使噴淋頭組件移動而不破壞真空。一實施例中,噴淋頭組件亦能永久性地固定於側牆152。在此實施例中,側牆152能與外牆170移動式密封,如透過一O形環或其他適合密封部,致使容許在Z方向移動之滑動式傳動。真空可透過空腔168施加,以從處理區域162移除處理副產物。充氣室156及噴淋頭158兩者皆可以相對垂直於基板164之一表面的方向移動,以改變處理區域162內之處理容積。當噴淋頭組件移動時,外牆170與側牆152保持靜止,俾設阻障而將電漿隔離在基板164之一區域中。當然,噴淋頭組件為靜止或移動時,側牆152是可移動的。在一替代的實施例中,惰性氣體如氬、氮等可被送入一空腔168,以幫助維持定義在側牆152、噴淋頭組件及基板一頂部表面之間的處理區域162的處理隔離。在此替代的實施例中,排氣將會透過如開口之另一機構,如噴淋頭組件之入口或其他適當技術提供。
根據本發明之一實施例,圖5B繪示一處理頭用於PVD處理中。處理頭104包括類似如圖5A中描述之特徵,但為了避免重複,部份這些特徵將不會再詳述。處理頭組件157可包括PVD處理之一標的物,而進氣口149將處理氣體從氣體源151送入,以用於PVD處理。區域162內可濺擊一電漿,以將一材料沉積於基板164一表面上,而該基板164置放於靜電夾頭166上,或其他已知基板支座上。習知本技藝者當了解到,區域162內之電漿可由直流電(DC)、DC脈衝、無線射頻(RF)、電感耦合、微波等維持。一空腔168定義於外牆170之內,維持在一比沉積反應室中電漿區域低的壓力,以使電漿捕獲或收集未使用或未反應的材料及氣體。
在一實施例中,舉例而言,當執行冷電漿處理操作時,且一穩定氣體電漿噴流在近室溫、大氣壓下產生時,處理頭104內包含有一中心陰極,如處理頭組件157之一基極作為陰極,而外牆170將作為陽極。在此實施例中,電漿區域內並不必要為真空。此外,吾人當可了解到,在一實施例中,外牆170內之空腔168並不需施加為真空,因為整個反應室104可能在一合適的操作氣壓下,且外牆170防止處理材料從處理頭104之外部散佈進入主要反應室內,以避免影響其他區域。外牆170可因組合式處理需要而電性浮接或接地。
又在另一實施例中,外牆170可抵著基板164一表面而置放,以對基板一表面提供密封,隔離基板一區域以供處理,且防止沉積材料在區域間相互擴散。當然,外牆170可相對於基板表面進行正交移動,俾使修改區域162之容積。此外,在一實施例中,基板支座可垂直移動基板,並可旋轉基板。因此,區域162的容積係透過在此描述之各種實施例中的眾多技術調整。
根據本發明之一實施例,圖6是用於組合式處理之一處理/沉積頭的俯視圖。處理頭104包括二個同心圓環,或可選擇性包括三個同心圓環。一選擇性的外環180圍繞一中間環182,中間環182又圍繞內環184,內環亦稱作通道。參考圖7將更詳述,定義於內環184內之區域使一處理氣體流到一安置於處理頭下方之基板一區域上,以在組合式處理操作時沉積一層在基板一部分上。在一實施例中,內環184內的區域有約43公厘寬的直徑,以容納典型的測試晶片,但其可以是依據已知測試晶片或其他設計參數的任何尺寸。定義於中間環182與內環184之間的區域用以抽空或將氣體從定義於內環184內之沉積區域內抽出。亦即,一真空源可連接來抽空介於中間環182一內表面與內環184通道一外牆之間的區域。
為了侷限產物並防止汙染安置於處理頭下之基板的其他區域,介於選擇性外環180與中間環182之間的區域可用於流動如氬之惰性氣體。若不包括外環180,由中間環182與內環184定義的環形空間中的真空便防止由處理頭104定義區域中執行的處理影響晶圓其他區域。雖然一些氣體或其他流體可能溢漏,所溢出氣體的量不會影響實驗。若不包括外環180,基板上的數個區域將會比處理頭本身內若設置有額外保護層的情況下相隔得還要遠。在一實施例中,介於中間環182一內表面與內環184一外表面之間的區域大約是介於一至十微米之間。在另一例示性實施例中,各同心圓環的厚度大約是介於一至五微米之間。然而,這些實施例並非具有限制性,而是各環的厚度與其之間的距離因應所執行之應用及處理而有任何適當的厚度。構構處理頭的材料為任何在沉積處理中適合使用的材料,如不鏽鋼、鋁等。
圖7為一簡化概略圖,繪示圖6中處理頭的橫剖面圖。圖7中,一較佳情況下,外環180比環182及184具有與基板較少的分隔,且可能接觸基板164的表面。如前述,相較於只有包括環182及184的情況,選擇性的外環180提供額外防護,防止從處理區域到基板其他區域的溢漏。如圖7所示,一處理氣體會在內環184內流動,並從中間環182與內環184之間的中間空間穿過而被抽出。因此,氣體會在由內環184定義的區域175內向基板164流動,在內環184下方流動,並從內環184與中間環182定義的區域內的真空所抽出。處理區域由內環184所定義,而中間環182與選擇性的外環180設有緩衝區。由內環184底部表面下與基板一頂部表面所定義的處理容積藉由垂直移動處理頭而調整。
若未包含外環180,中間環182可比內環184更接近基板,但這是選擇性的。或者,在一實施例中,中間環能接觸基板164。中間環182與基板164之間的間隔可能使處理流體(如氣體)溢漏。為更防止此情況發生,氬或其他惰性氣體能用來在最外側空腔或環形空間179內流動,以進一步地侷限處理副產物。此惰性氣體會向基板164在外部空腔179內移動,在中間環182下方移動,並被介於內環184與中間環182之間的區域177的真空所抽出。該惰性氣體將不會影響內環184內的處理,且選擇的流率應使任何擴散到該區域的擴散作用最小化。如前述,處理頭用的材料能是不鏽鋼、鋁或其他任何適用於在半導體晶圓上之處理層或沉基層所使用電漿及氣體的金屬。當處理頭表面會接觸基板表面時,如TEFLONTM 之聚四氟乙烯塗佈層或其他適當的非反應塗佈層可用於接觸基板164表面的處理頭表面上。在一實施例中,外環180的底部表面為一刀狀邊緣,以最小化與基板164的接觸面積。圖7-1繪示外環180的一底部表面,其底部邊緣181建構為刀狀邊緣。
根據本發明之一實施例,圖8是隔離區域已經接受組合式處理之基板的簡化概略圖。基板200包括複數個設置於該基板上的區域202。區域202各者以上述反應室內數個處理頭的一個處理。透過利用線性、徑向移動的臂桿及由基板支座旋轉(或處理頭的旋轉及基板的線性(x-y)移動,或基板及處理頭兩者的旋轉,或處理頭及基板兩者的線性移動),任何位置隔離沉積區域的圖案可定義在基板200表面上。所示之圖案為對稱,且確保基板的最大使用,以及處理頭的最簡易對準,但亦能實施其他圖案或其他數量的區域。吾人當了解到,針對基板202,因各區域202可能會使處理的屬性或特色受改變,單一基板上具有相當豐富程度的知識。因此,吾人可收集各區域有用的資訊,以及各區域與先前或後續處理操作或材料的互動,以高度有效率方式提供最佳材料、單位處理及/或處理次序的資料。雖然圖8繪示的區域202為隔離且不重疊,在一實施例中區域可以重疊。在另一實施例中,一區域作為現在被、曾經被或即將被用於處理或形成一選定材料之基板上的局部區域。區域能包括一區域及/或一系列之平常或週期性的區域預先形成在基板上。區域可以有任何適合形狀,如圓形、方形、橢圓形、錐形等等。在一實施例中,區域係預先定義於基板上。然而,在另一實施例中,處理則會定義區域。
根據本發明之一實施例,圖9為一簡化概略圖,繪示整合式高產量組合式(high productivity combinatorial,HPC)系統。HPC系統包括一支撐複數個處理模組的框架900。吾人當了解到,根據一實施例,框架900可以是單一框架。在一實施例中,框架900內的環境受到控制。承載閉鎖/廠房介面902提供進入存取HPC系統的複數個模組。機器人914提供基板(及遮罩)在模組間的移動,以及進出承載閉鎖902的移動。根據一實施例,模組904可為定位/除氣模組。根據本發明之一實施例,模組906可為電漿式或非電漿式其中之一者的乾淨模組。任何種類的反應室或反應室的組合皆可實施,在此的描述僅為一種組合的例示性說明,並不意味要現制用以支持來組合基板/晶圓的組合式處理或組合式加傳統處理的潛在反應室或處理。
根據本發明之一實施例,模組908稱作程式庫模組。在模組908中,儲存有一稱作處理遮罩的複數個遮罩。為了對在這些模組中受處理的基板施加特定圖案,該等模組可用於乾式組合式處理模組。根據本發明之一實施例,模組910包括一HPC物理氣相沉積模組。模組912為一沉積模組,如ALD或CVD模組。模組910及/或912可包括在此描述的處理頭。吾人當了解到,當所有處理頭都相似時,如圖5A、5B或6的處理頭或在此描述之不同處理頭的某些組合,模組910及912可用以包括多個處理頭。此外,多個處理頭可用於在處理模組中之受處理的基板上執行相同或不同的處理操作。舉例而言,當不同的處理頭在一處理模組內時,某些處理頭可能執行ALD操作,某些處理頭可能執行PVD操作等等。此外,執行相同操作的處理頭可變動處理狀況、參數、材料等。這些多個不同操作可平行或序列執行。據此,透過描述於此之利用移動式處理頭的組合式處理實施例,眾多實驗組合可在單一基板上的位置隔離區域執行。在一實施例中,集中化控制器,亦即運算裝置911,可控制HPC系統的處理。美國專利申請案第11/672478號及第11/672473號有HPC系統的更詳細描述。利用HPC系統,採用組合式處理的複數個方法可被採用來沉積材料在基板上。
總結而言,上述實施例可使組合式處理被應用於採用位置隔離方式的基板,並以平行、序列-平行或序列方式之其中一者進行。一處理頭對著基板表面設置於反應室內,其能跨基板表面徑向地掃描。一較佳情況為,該處理頭以實質上均勻方式,且不使用遮罩或光罩,用以處理基板的一部分(如位置隔離區域);然而,特定實施例中可使用遮罩。吾人當了解到,當基板並非為圓形時,如四邊形或其他形狀,一較佳情況為,處理頭將會掃描過四邊形最大的寬度,同時間基板旋轉以提供完全的可及性,然而處理頭並不一定要如此設置。此外,處理頭能線性移動,加上基板的正交移動,以最小化整體反應室的尺寸。處理頭的移動係以維持處理反應室完整性的方式執行。吾人當了解到,沉積可發生在毯覆式基板上,或發生在定義有結構、圖案、裝置或其他特徵部的基板上。此外,在進行上述組合式沉積技術後,基板更可透過完整基板傳統技術來處理。
下述實施例包括一反應室,該反應室中設置有徑向移動的處理頭,而該徑向移動的處理頭有能力處理基板的多個區域,且各區域實質上彼此隔離。反應室可具有多個處理頭,且多個區域可以序列、快速序列或平行方式接受處理。吾人當了解到,處理頭的內牆可定義區域,而外牆提供隔離區域的密封。在另一實施例中,區域可為在基板上預先定義的。反應室可包括在處理頭下方的旋轉式基板支座,該基板支座可旋轉超過約半個基板,如約185度。徑向移動的處理頭在基板半徑上有一移動範圍。此外,處理頭可相對於沉積系統之一基座而垂直移動。在一實施例中,處理頭附著於一臂桿上,該臂桿驅動處理頭於反應室內基板一頂部表面上方的徑向移動,以及處理頭相對於基板一頂部表面的正交移動。一差壓密封部由一第一O形環所定義,該第一O形環由一第二O形環所圍繞住。為了將反應室與一外部表面隔離,第一與第二O形環之間的空腔抽氣到一氣壓,該氣壓比沉積系統內的氣壓更高,但比一外部氣壓更低。處理頭包括一正對基板的基部,以及一將從基部延伸出側牆之下部圍繞住的屏蔽。在一實施例中,用於冷電漿操作時,基部作為陰極,屏蔽作為陽極。在一實施例中,處理頭之一下部由一污染物牆所包圍,該污染物牆用以在沉積操作期間排出沉積副產物。
在本發明之另一實施態樣中,提供有一具有一第一通道及一第二通道的處理頭。該第一通道用以將氣體傳送到一基板表面,而該第二通道由包圍第一通道的外牆所部分定義。第二通道用以排放氣體,其中第二通道的外牆作為一阻障,以將氣體侷限在由第二通道所定義的一內區域內。處理頭可包括一圍繞第二通道的第三通道,該第三通道用以提供一流體阻障,防止氣體流到第三通道的一周圍之外。第一、第二及第三通道為環繞共軸的同心圓。在一實施例中,相較於第一通道的底部表面,第二通道的底部表面較接近基板表面。在另一實施例中,底部表面可接觸基板。在一實施例中,處理頭在反應室內固著在一移動臂桿上,而基板在一基板支座上旋轉。在另一實施例中,第三通道的底部表面延伸超過第一及第二通道的底部表面。第三通道或第二通道的底部表面可接觸基板,並可建構為一刀狀邊緣。在一實施例中,第三通道的底部表面塗佈有一惰性膜。
在另一實施例中,提供有一用於位置隔離沉積的移動式處理頭。包括該移動式處理頭的一移動式組件包括一定義處理區域的內牆。在一實施例中,多個處理區域形成在一基板上,且一圍繞內牆之外部表面的一底部部分的外牆將處理元件侷限在處理區域內。在一實施例中,外牆一底部表面延伸超過內牆一底部表面。在另一實施例中,在沉積操作期間,外牆接觸到基板在主動沉積區周圍之外的表面。在此實施例中,外牆可接觸到設置於基板上的遮罩。本發明包括一與外牆開口有流體通連的真空源,透過該開口能接近到定義於外牆與內牆之間的空腔。在另一實施例中,該組件不依賴處理頭而會移動。舉例而言,在一例示性實施例中,處理頭做一維的移動,而組件做一或更多維的移動。移動式組件可包括標的物、噴淋頭、冷電漿頭之其中一者。外牆及內牆可彼此在Z方向獨立移動。在一實施例中,外牆接觸到設置於基板表面上之遮罩。
在本發明之一實施態樣中,提供有一用於基板上多區域處理的方法。該方法包括使一流體流動穿過設置於基板一區域上方而處理該區域的內通道,以及在流動的同時從包圍該內通道的一區域收回流體。一污染物流體流過一外通道,該外通道圍繞內通道及包圍內通道之區域兩者,同時間該外通道提供對基板上由內通道所定義之該區域的實質均勻處理。該方法包括針對基板上不同區域重複進行上述各方法操作,且以序列、序列-平行或平行之其中之一方式進行,並於後續透過傳統整片晶圓處理而處理基板。該方法能包括移動包含內通道與外通道的處理頭組件,俾使內通道設置於基板的下一個部分上,以及沉積頭的徑向移動及基板的旋轉。該方法能包括以外通道之底部表面接觸基板表面。在一實施例中,上述移動包括處理頭的旋轉及基板的線性移動,而區域可由處理頭定義。
在本發明之另一實施態樣,揭露有一處理基板之位置隔離區域的方法。該方法包括修改一定義於噴淋頭組件與基板位置隔離區域之間的處理區域容積,以及使一沉積流體流動穿過噴淋頭。一膜沉積在基板位置隔離區域,且於沉積同時間,多餘的沉積流體及沉積副產物穿過一圍繞噴淋頭組件的區域而移除。在一實施例中,藉由相對於基板一表面移動噴淋頭,處理區域之容積可加以調整。處理區域中可產生電漿,以產生用以沉積到基板表面上的材料。在一實施例中,圍繞噴淋頭之區域的真空可被施加來侷限流體或從處理區域移除流體。上述各方法操作可重複對下一位置隔離區域進行。在一實施例中,區域在噴淋頭與基板之間有著相似或不同之間隔的情況下接受處理,其中不同間隔係藉由下列之一決定:噴淋頭組件的移動,或在一例示性實施例中,支撐具有外牆圍繞內牆之噴淋頭組件的內牆的移動。
又在本發明之另一實施態樣中,提供有一包括設置在反應室內之旋轉處理頭的反應室。旋轉處理頭繞著一與處理頭之軸不同的軸旋轉,且一基板支座用以在旋轉處理頭下方支撐基板。基板支座用以在與處理頭之軸正交的平面方向移動基板。
又在另一實施例中,提供有一具有處理基板多個隔離區域的半導體處理室。該處理室包括處理裝置,用以使一處理流體穿過外圍部而移動到達其中之一個隔離區域,以及圍阻裝置,用以流動一圍阻流體以提供阻障來抑制處理流體從一定義於處理裝置與圍阻裝置之間的區域溢漏。亦包括的是抽空裝置,用以於處理及圍阻流體之流動的同時間從該區域收回流體,以使數個隔離區域的其中之一者受到實質上均勻處理。該處理室包括用以於後續透過一傳統整片晶圓處理而處理基板的裝置。該半導體處理室亦包括用以移動外圍部到下一個隔離區域上方的裝置。在一實施例中,用以移動的裝置包括徑向移動外圍部的裝置,以及旋轉基板用的裝置。該處理室包括用以修改一定義於外圍部與其中之一隔離區域之間的處理容積的裝置。用以移動的裝置包括旋轉外圍部的裝置,以及線性移動基板的裝置。在一實施例中,半導體處理室執行原子層沉積。用以流動處理流體之處理裝置、圍阻裝置及抽空裝置能具有相對於彼此以同心圓方式座落的流體通道。
又在另一實施例中,提供有一半導體處理系統。該系統包括群集在一框架周圍之複數個處理模組,其中該複數個處理模組之至少一者包括一位置隔離沉積用的移動式沉積頭,該移動式沉積頭具有定義一處理區域的內牆。移動式處理頭固著於一徑向移動的臂桿上。移動式沉積頭包括一設置於內牆內、處理區域上方之移動式移動式組件,而多個處理區域形成於一基板上。移動式沉積頭更包括一圍繞內牆一外部表面之下部的外牆。徑向移動臂桿用以在相對於欲處理基板表面之垂直方向位移該移動式沉積頭。該系統包括一基板支座,該基板支座用以在移動式沉積頭下方支撐基板。基板支座用以在與處理頭之軸正交的平面方向移動基板。移動式沉積頭用以繞著一軸旋轉,而該軸不同於用以在移動式沉積頭下方支撐基板之基板支座的軸。該系統可包括一額外處理模組,該額外處理模組具有原子層沉積用之處理頭。該處理頭包括一用以將氣體輸送到基板表面的第一管道,及一由包圍第一管道之外牆所部分定義的第二管道。第二管道用以為氣體提供排氣,其中外牆作為阻障,以將氣體侷限在由第二管道所定義的內部區域中。該系統可包括一圍繞第二管道的第三管道。第三管道用以提供一流體阻障,以防止氣體流到第三管道外圍的外部,其中第一、第二及第三管道為同心。
又再另一實施例中,提供有一以組合方式處理基板的方法。該方法包括:以一具有獨立移動之處理頭的處理頭組件隔離基板一第一區域,及在第一區域內處理基板的一部分。該方法包括:在處理室中將處理頭組件移動到基板的一第二區域,及在第二區域內處理基板的一部分。該移動處理頭組件的步驟包括:在基板表面上徑向移動處理頭組件到第二區域,處理頭組件旋轉的軸不同於處理頭的軸,及/或該移動處理頭組件的步驟包括:調整定義於處理頭與基板之間之處理區域的容積。基板在處理基板各部分之間則提供有線性或徑向移動。
在另一實施例中,提供有一半導體處理室。處理室包括用以移動設置在處理室內之沉積頭的裝置,其中沉積頭能夠處理基板的多個區域。該用以移動的裝置提供了基板上的徑向移動,且該徑向移動係相對於一軸,而該軸不同於沉積頭的軸。處理室包括用以旋轉或線性移動設置於沉積頭下方之基板之其中一動作的裝置。在一實施例中,徑向移動具有在基板半徑上的一移動範圍。
又在另一實施例中,一用於位置隔離沉積之移動式處理頭具有:一內牆,定義基板之一處理區域;一移動式組件,設置於該內牆內,且位於處理區域上方,定義成使得在基板上形成多個處理區域;及一外牆,與內牆之至少一部分隔離並圍繞之。在一實施例中,外牆之底部表面延伸超過內牆之底部表面,而該系統包括與一定義為介於外牆與內牆之間的空腔有流體通連的真空源,以從處理區域提供一或更多個排氣口。該組件可獨立於處理頭的其餘部分而移動。處理頭可於至少二維移動,而組件在一維移動。移動式組件為標的物、噴淋頭或冷電漿之其中之一者。處理頭可為沉積頭,該沉積頭用以沉積一層在處理區域內。外牆及內牆彼此間以相對於一基板表面之垂直方向獨立移動,且外牆會被推擠而抵著一基板表面。
在此所述之任何操作構成本發明之部分,且為有用之機器操作。本發明亦有關執行這些操作的裝置或設備。該設備可依所要求之目的而特別建構,或該設備能為一般用途之電腦由儲存在其中之電腦程式有選擇性地啟動或建構。特定而言,各種一般用途機器能使用依此處教示而撰寫之電腦程式,或更方便地建構一較為專業之設備,以執行所需操作。
100...反應室
102...基板支座
104...處理頭
106...伸縮密封部
108...流體供應部
109...隔離區域
111...軌道
112...基板
114...驅動器
116...電源
110...控制器
120...臂桿/支桿
122...反應室頂部
124...頂板
126...O形環
128...軸承支座表面
130...通道
149...進氣口
151...氣體源
152...側牆
154...閥
156...充氣室
157...處理頭組件
158...噴淋頭
160...O形環
162...區域
164...基板
166...靜電夾頭
168...空腔
170...外牆
175...區域
177...區域
179...空間
180...外環
181...邊緣
182...中間環
184...內環
200...基板
202...區域
900...框架
902...承載閉鎖介面
904、906、908、910、912...模組
911...運算裝置
914...機器人
由以上敘述結合圖式將使本發明更容易了解。圖式中,相似的元件符號代表其相似的結構元件。
圖1為一簡化概略圖,係根據本發明之一實施例繪示一處理室。
圖2為一簡化概略圖,係根據本發明之一實施例繪示移動頭移動的額外細節。
圖3為圖2根據本發明之一實施例之處理室的俯視圖。
圖4A為一簡化概略圖,係根據本發明之一實施例繪示一系統具有旋轉式處理頭及移動式基板支座。
圖4B為一簡化概略圖,繪示透過圖4A中實施例而產生的一例示性組合式區域圖案。
圖5A為一簡化概略圖,係根據本發明之一實施例繪示一組合式處理用之處理頭。
圖5B係根據本發明之一實施例,繪示一可用於物理氣相沉積(PVD)處理的處理頭。
圖6係根據本發明之一實施例,繪示一用於組合式處理的處理/沉積頭的俯視圖。
圖7為是一一簡化概略圖,繪示圖6中處理頭之橫剖面圖。
圖7-1為一簡化概略圖,詳細繪示圖7中處理頭之外環之底部表面。
圖8為一簡化概略圖,係根據本發明之一實施例繪示一隔離區域已經接受組合式處理的基板。
圖9為一簡化概略圖,係根據本發明之一實施例繪示一具有用以在系統之處理室內進行組合式處理的整合式高產量組合式(HPC)系統。
100...反應室
102...基板支座
104...處理頭
106...伸縮密封部
108...流體供應部
112...基板
114...驅動器
116...電源
110...控制器

Claims (26)

  1. 一種移動式處理頭,用於位置隔離沉積,包含:一內牆,定義一基板之一處理區域;一移動式組件,設置於內牆內且在該處理區域上方,將其定義以在該基板上形成多個處理區域;及一外牆,與該內牆之一下部隔開並圍繞之。
  2. 如申請專利範圍第1項之移動式處理頭,其中,該外牆之一底部表面延伸超過該內牆之一底部表面。
  3. 如申請專利範圍第1項之移動式處理頭,更包含:一真空源,和介於該外牆與該內牆間的一空腔有流體通連,以提供一或更多個自該處理區域之排氣口。
  4. 如申請專利範圍第1項之移動式處理頭,其中,該組件可獨立於該處理頭的其餘部分而移動。
  5. 如申請專利範圍第1項之移動式處理頭,其中,該處理頭至少可在二個維度移動,而該組件可在一個維度移動。
  6. 如申請專利範圍第1項之移動式處理頭,其中,該移動式組件為一標的物、一噴淋頭或一冷電漿頭其中之一者。
  7. 如申請專利範圍第1項之移動式處理頭,其中,該處理頭為一沉積頭,該沉積頭用以在該處理區域內沉積一層。
  8. 如申請專利範圍第1項之移動式處理頭,其中,該外牆及該內牆在垂直於該基板之一表面的方向彼此獨立移動。
  9. 一種半導體處理室,包含: 一基板支座,用以支撐一基板;及一處理頭,設置於該基板支座上方,該處理頭可在垂直於該基板之一表面的方向移動,該處理頭係包括於一處理頭組件內,該處理頭組件在該基板之一部分上方定義一處理區域;其中,該處理頭在該處理頭組件內是可移動的。
  10. 如申請專利範圍第9項之半導體處理室,其中,該處理頭係配置於該處理頭組件之一內牆內,且設有一遮罩,該遮罩與該內牆之至少一下部隔離並圍繞之。
  11. 如申請專利範圍第10項之半導體處理室,其中,該內牆可與該處理頭一齊沿該垂直方向且相對於該遮罩移動,以修正該處理區域之一容積。
  12. 如申請專利範圍第10項之半導體處理室,其中,該處理頭可相對於該內牆移動,以修正該處理區域之一容積。
  13. 如申請專利範圍第9項之半導體處理室,其中,該處理室被整合入於包含多個處理室的一群集工具。
  14. 如申請專利範圍第13項之半導體處理室,其中,該群集工具的其他處理室包含一組合式處理室或一傳統式處理室兩者中至少其一。
  15. 如申請專利範圍第14項之半導體處理室,其中,該傳統式處理室執行與該半導體處理室相同種類之處理。
  16. 如申請專利範圍第13項之半導體處理室,其中,該多個處理室可實行從ALD、PVD、CVD及冷電漿之群組中所選出之不同處理操作。
  17. 如申請專利範圍第9項之半導體處理室,其中,該處理頭為包含數個同心環的一噴淋頭,在處理該基板之該部分時,該數個同心環可在一內環中進行沉積,且能透過一外環而移除流體。
  18. 如申請專利範圍第9項之半導體處理室,其中該處理頭組件朝一半徑方向移動,且該基板支座繞著一軸旋轉。
  19. 如申請專利範圍第9項之半導體處理室,其中該處理頭組件繞著一軸旋轉,該軸不同於一基板支座軸,且該基板支座在該處理室內線性移動。
  20. 如申請專利範圍第10項之半導體處理室,其中,該遮罩與該內牆彼此朝該垂直方向獨立移動。
  21. 如申請專利範圍第9項之半導體處理室,其中,多個處理頭設置在基板上方,且該多個處理頭中之一者執行不同於該多個處理頭中之另一者的處理操作。
  22. 一種半導體製造操作用處理頭,用於位置隔離半導體製造操作,包含:位置隔離區域定義裝置,用以在一基板之一表面上定義一位置隔離區域,其係利用一包括該處理頭的處理頭組件;處理區域容積調整裝置,用以調整介於該處理頭、該處理頭組件、及該基板之該表面上之該位置隔離區域間之一處理區域的容積;處理執行裝置,用以在該基板之該表面上之該位置隔離區域上執行一處理;及處理區域抽空裝置,用以在執行該處理的同時抽空該處理區域。
  23. 如申請專利範圍第22項之半導體製造操作用處理頭,其中,該處理區域容積調整裝置相對於該基板之該表面移動該處理頭。
  24. 如申請專利範圍第22項之半導體製造操作用處理頭,其中,該處理區域抽空裝置包括施加真空裝置,該施加真空裝置用以在接近該處理頭組件與該位置隔離區域之一區域施加一真空。
  25. 如申請專利範圍第22項之半導體製造操作用處理頭,更包含:移動裝置,用以相對於該處理頭組件獨立移動一牆,該牆包圍該處理頭組件之一底部部分。
  26. 如申請專利範圍第22項之半導體製造操作用處理頭,更包含:處理裝置,用以處理多個位置隔離區域,該多個位置隔離區域的該處理頭與該基板間的間隔相異。
TW097134166A 2007-09-06 2008-09-05 多區域處理系統及處理頭 TWI407497B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97050007P 2007-09-06 2007-09-06
US11/965,689 US8039052B2 (en) 2007-09-06 2007-12-27 Multi-region processing system and heads

Publications (2)

Publication Number Publication Date
TW200939323A TW200939323A (en) 2009-09-16
TWI407497B true TWI407497B (zh) 2013-09-01

Family

ID=40429341

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097134166A TWI407497B (zh) 2007-09-06 2008-09-05 多區域處理系統及處理頭

Country Status (7)

Country Link
US (3) US8039052B2 (zh)
EP (1) EP2186116A4 (zh)
JP (1) JP5357159B2 (zh)
KR (1) KR101534886B1 (zh)
CN (1) CN101842874B (zh)
TW (1) TWI407497B (zh)
WO (1) WO2009032960A1 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
US8882917B1 (en) * 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US20100075051A1 (en) * 2008-09-24 2010-03-25 Curtis William Darling Method and apparatus for a shield blade
US20100178433A1 (en) * 2009-01-14 2010-07-15 Gm Global Technology Operations, Inc. Method and apparatus for applying bonding adhesive
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
TWI458557B (zh) * 2009-11-26 2014-11-01 Hon Hai Prec Ind Co Ltd 噴塗遮蔽結構及採用該結構之噴塗遮蔽方法
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
DE102009060649A1 (de) * 2009-12-22 2011-06-30 EISENMANN Anlagenbau GmbH & Co. KG, 71032 Anlage zur Oberflächenbehandlung von Gegenständen
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
TW201200614A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating device
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105908A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
ITMO20100263A1 (it) * 2010-09-21 2012-03-22 Vincenzo Rina Apparecchiatura per la verniciatura di scafi di imbarcazioni navali o simili
US8188575B2 (en) 2010-10-05 2012-05-29 Skyworks Solutions, Inc. Apparatus and method for uniform metal plating
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
GB201102337D0 (en) 2011-02-09 2011-03-23 Univ Ulster A plasma based surface augmentation method
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20130025688A1 (en) * 2011-07-28 2013-01-31 Intermolecular, Inc. No-Contact Wet Processing Tool with Fluid Barrier
US8715518B2 (en) * 2011-10-12 2014-05-06 Intermolecular, Inc. Gas barrier with vent ring for protecting a surface region from liquid
CN103031535B (zh) * 2011-09-28 2015-12-09 核心能源实业有限公司 薄膜工艺设备及其制作方法
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8617409B2 (en) * 2011-11-22 2013-12-31 Intermolecular, Inc. Magnetically levitated gas cell for touchless site-isolated wet processing
US20130136864A1 (en) * 2011-11-28 2013-05-30 United Technologies Corporation Passive termperature control of hpc rotor coating
US8663977B2 (en) * 2011-12-07 2014-03-04 Intermolecular, Inc. Vertically retractable flow cell system
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
WO2013148446A1 (en) * 2012-03-29 2013-10-03 Synos Technology, Inc. Scanning injector assembly module for processing substrate
US20130323422A1 (en) * 2012-05-29 2013-12-05 Applied Materials, Inc. Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
FI124298B (en) * 2012-06-25 2014-06-13 Beneq Oy Device for treating substrate surface and nozzle head
US8663397B1 (en) 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
EP2935643B1 (en) * 2012-12-21 2018-08-01 Doosan Fuel Cell America, Inc. Deposition cloud tower with adjustable field
FI126043B (en) 2013-06-27 2016-06-15 Beneq Oy Method and device for coating a surface of a substrate
US10155244B2 (en) * 2013-09-16 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fluid deposition appartus and method
JP2015100761A (ja) * 2013-11-26 2015-06-04 曙ブレーキ工業株式会社 支持具、粉体塗布システム、粉体塗布方法、及びキャリパ
EP3100298B1 (en) 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9209062B1 (en) * 2014-05-28 2015-12-08 Spintrac Systems, Inc. Removable spin chamber with vacuum attachment
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
DE102016200506A1 (de) * 2016-01-17 2017-07-20 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
FR3058424B1 (fr) * 2016-11-10 2022-06-10 Bnl Eurolens Installation de depot par evaporation d'un revetement sur des articles
KR102580523B1 (ko) 2017-06-21 2023-09-20 피코순 오와이 기판 프로세싱 장치 및 방법
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
US20200135464A1 (en) * 2018-10-30 2020-04-30 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
KR20200110047A (ko) * 2019-03-15 2020-09-23 주식회사 케이씨텍 기판 처리 장치
US11692261B2 (en) 2019-07-26 2023-07-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
JP7060633B2 (ja) * 2020-01-29 2022-04-26 キヤノントッキ株式会社 成膜装置及び電子デバイス製造装置
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN111778552B (zh) * 2020-08-03 2021-10-08 中国科学院长春光学精密机械与物理研究所 一种mocvd组合喷淋头及mocvd设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746539B2 (en) * 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US20060127599A1 (en) * 2002-02-12 2006-06-15 Wojak Gregory J Process and apparatus for preparing a diamond substance

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3641973A (en) * 1970-11-25 1972-02-15 Air Reduction Vacuum coating apparatus
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5171360A (en) * 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
JP2872891B2 (ja) * 1993-08-06 1999-03-24 株式会社東芝 気化装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
US5529815A (en) * 1994-11-03 1996-06-25 Lemelson; Jerome H. Apparatus and method for forming diamond coating
JP3276278B2 (ja) * 1994-12-08 2002-04-22 キヤノン株式会社 記録液定着装置およびこれを具備する液体噴射記録装置
DE4445985A1 (de) * 1994-12-22 1996-06-27 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur Belackung oder Beschichtung eines Substrats
US5811021A (en) * 1995-02-28 1998-09-22 Hughes Electronics Corporation Plasma assisted chemical transport method and apparatus
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3278714B2 (ja) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
KR100353774B1 (ko) * 1997-05-08 2002-09-27 마츠시타 덴끼 산교 가부시키가이샤 광기록매체의 제조장치 및 제조방법
JPH1150237A (ja) * 1997-07-30 1999-02-23 Toshiba Glass Co Ltd 真空成膜装置
JP4003273B2 (ja) * 1998-01-19 2007-11-07 セイコーエプソン株式会社 パターン形成方法および基板製造装置
US6261365B1 (en) * 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6368665B1 (en) * 1998-04-29 2002-04-09 Microcoating Technologies, Inc. Apparatus and process for controlled atmosphere chemical vapor deposition
US6673155B2 (en) * 1998-10-15 2004-01-06 Tokyo Electron Limited Apparatus for forming coating film and apparatus for curing the coating film
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6245392B1 (en) * 1999-08-27 2001-06-12 Stephen J. Hillenbrand Coater apparatus and method
US6468350B1 (en) * 1999-08-27 2002-10-22 Stephen J. Hillenbrand Mobile coater apparatus
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
JP2001276702A (ja) * 2000-03-28 2001-10-09 Toshiba Corp 成膜装置及び成膜方法
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
GB0019848D0 (en) * 2000-08-11 2000-09-27 Rtc Systems Ltd Apparatus and method for coating substrates
US6884294B2 (en) * 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030116432A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Adjustable throw reactor
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
EP1556902A4 (en) * 2002-09-30 2009-07-29 Miasole MANUFACTURING DEVICE AND METHOD FOR PRODUCING THIN FILM SOLAR CELLS IN A LARGE SCALE
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004152702A (ja) * 2002-10-31 2004-05-27 Applied Materials Inc マイクロ波イオン源
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7380690B2 (en) * 2003-01-17 2008-06-03 Ricoh Company, Ltd. Solution jet type fabrication apparatus, method, solution containing fine particles, wiring pattern substrate, device substrate
JP4526951B2 (ja) * 2003-02-06 2010-08-18 株式会社半導体エネルギー研究所 表示装置の作製方法
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
JP2005002450A (ja) * 2003-06-13 2005-01-06 Pioneer Electronic Corp 蒸着方法、蒸着ヘッド、及び有機エレクトロルミネッセンス表示パネルの製造装置
EP1491653A3 (en) 2003-06-13 2005-06-15 Pioneer Corporation Evaporative deposition methods and apparatus
JP4124046B2 (ja) * 2003-07-10 2008-07-23 株式会社大阪チタニウムテクノロジーズ 金属酸化物被膜の成膜方法および蒸着装置
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100958573B1 (ko) * 2003-10-06 2010-05-18 엘지디스플레이 주식회사 액정표시패널의 제조장치 및 제조방법
JP4258345B2 (ja) 2003-10-20 2009-04-30 セイコーエプソン株式会社 蒸着装置、有機エレクトロルミネッセンスパネルおよび蒸着方法
US7439208B2 (en) * 2003-12-01 2008-10-21 Superconductor Technologies, Inc. Growth of in-situ thin films by reactive evaporation
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
JP4169719B2 (ja) * 2004-03-30 2008-10-22 Hoya株式会社 レジスト膜付基板の製造方法
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7780821B2 (en) * 2004-08-02 2010-08-24 Seagate Technology Llc Multi-chamber processing with simultaneous workpiece transport and gas delivery
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
JP4676366B2 (ja) * 2005-03-29 2011-04-27 三井造船株式会社 成膜装置
JP2006322016A (ja) * 2005-05-17 2006-11-30 Konica Minolta Holdings Inc 真空蒸着方法および真空蒸着装置
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
JP4527660B2 (ja) * 2005-06-23 2010-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN1891848A (zh) * 2005-07-01 2007-01-10 鸿富锦精密工业(深圳)有限公司 光学镀膜装置
WO2007042797A1 (en) * 2005-10-11 2007-04-19 Aviza Technology Limited Positive displacement pumping chamber
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JPWO2007066606A1 (ja) * 2005-12-06 2009-05-21 新明和工業株式会社 プラズマ成膜装置
US8137464B2 (en) * 2006-03-26 2012-03-20 Lotus Applied Technology, Llc Atomic layer deposition system for coating flexible substrates
CN101432080B (zh) * 2006-05-01 2012-02-15 株式会社爱发科 印刷装置
TW200816880A (en) * 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
JP5276420B2 (ja) * 2008-01-31 2013-08-28 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
KR101191691B1 (ko) * 2008-11-14 2012-10-16 가부시키가이샤 알박 유기 박막 증착 장치, 유기 el 소자 제조 장치 및 유기 박막 증착 방법
KR101067608B1 (ko) * 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
JP5439097B2 (ja) * 2009-09-08 2014-03-12 東京応化工業株式会社 塗布装置及び塗布方法
JP5469966B2 (ja) * 2009-09-08 2014-04-16 東京応化工業株式会社 塗布装置及び塗布方法
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5705495B2 (ja) * 2010-10-07 2015-04-22 株式会社日立ハイテクノロジーズ プラズマの処理方法及びプラズマ処理装置
US8728241B2 (en) * 2010-12-08 2014-05-20 Intermolecular, Inc. Combinatorial site-isolated deposition of thin films from a liquid source
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US20120238075A1 (en) * 2011-03-09 2012-09-20 Tokyo Ohka Kogyo Co., Ltd. Coating apparatus and coating method
US8906207B2 (en) * 2011-04-06 2014-12-09 Intermolecular, Inc. Control of film composition in co-sputter deposition by using collimators
US20130130509A1 (en) * 2011-11-21 2013-05-23 Intermolecular, Inc. Combinatorial spot rastering for film uniformity and film tuning in sputtered films
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
US8582105B1 (en) * 2012-06-14 2013-11-12 Intermolecular, Inc. Method and apparatus for leak detection in H2Se furnace

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746539B2 (en) * 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US20060127599A1 (en) * 2002-02-12 2006-06-15 Wojak Gregory J Process and apparatus for preparing a diamond substance

Also Published As

Publication number Publication date
CN101842874B (zh) 2011-12-14
WO2009032960A1 (en) 2009-03-12
JP2010538168A (ja) 2010-12-09
US8039052B2 (en) 2011-10-18
JP5357159B2 (ja) 2013-12-04
TW200939323A (en) 2009-09-16
KR20100068411A (ko) 2010-06-23
US8770143B2 (en) 2014-07-08
CN101842874A (zh) 2010-09-22
US20090068849A1 (en) 2009-03-12
EP2186116A1 (en) 2010-05-19
KR101534886B1 (ko) 2015-07-07
EP2186116A4 (en) 2016-10-26
US20140311408A1 (en) 2014-10-23
US20110209663A1 (en) 2011-09-01

Similar Documents

Publication Publication Date Title
TWI407497B (zh) 多區域處理系統及處理頭
US20240096688A1 (en) Single wafer processing environments with spatial separation
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
US8197636B2 (en) Systems for plasma enhanced chemical vapor deposition and bevel edge etching
KR20180029915A (ko) 기판 처리 장치
US20010000747A1 (en) Multi-function chamber for a substrate processing system
US20100230386A1 (en) Processing device, electrode, electrode plate, and processing method
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
JP2016510946A (ja) 噴射器から基板までの間隙の制御のための装置および方法
WO2010093568A2 (en) Non-contact substrate processing
CN108538778A (zh) 承载环
KR20070052331A (ko) 다중-단일 웨이퍼 처리 장치
CN111979529A (zh) 使用边缘冲洗的衬底基座
KR20210048062A (ko) 웨이퍼 처리 장치 및 웨이퍼 처리 방법
US6860711B2 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
KR20170055141A (ko) 기판 처리장치 및 기판 처리방법
US20220364575A1 (en) Pumping liner for improved flow uniformity
US20220305601A1 (en) Use of vacuum during transfer of substrates
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
JP4270413B2 (ja) プロセス装置
WO2000024047A1 (fr) Appareil de fabrication de semiconducteurs
KR20230077048A (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
WO2023167848A1 (en) Layer uniformity improvement of deposition-inhibition-deposition processes
KR20230151586A (ko) 가스 공급 유닛을 포함하는 기판 처리 장치 및 기판 처리 방법
JPH11102903A (ja) 薄膜形成方法および薄膜形成装置ならびに半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees