KR20100068411A - 다중-영역 프로세싱 시스템 및 헤드 - Google Patents

다중-영역 프로세싱 시스템 및 헤드 Download PDF

Info

Publication number
KR20100068411A
KR20100068411A KR1020107007200A KR20107007200A KR20100068411A KR 20100068411 A KR20100068411 A KR 20100068411A KR 1020107007200 A KR1020107007200 A KR 1020107007200A KR 20107007200 A KR20107007200 A KR 20107007200A KR 20100068411 A KR20100068411 A KR 20100068411A
Authority
KR
South Korea
Prior art keywords
substrate
head
processing
process head
wall
Prior art date
Application number
KR1020107007200A
Other languages
English (en)
Other versions
KR101534886B1 (ko
Inventor
릭 엔도
커트 와이너
인드라닐 데
제임스 청
마오셩 자오
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20100068411A publication Critical patent/KR20100068411A/ko
Application granted granted Critical
Publication of KR101534886B1 publication Critical patent/KR101534886B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/02Processes for applying liquids or other fluent materials performed by spraying
    • B05D1/08Flame spraying
    • B05D1/10Applying particulate materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/30Arrangements for collecting, re-using or eliminating excess spraying material comprising enclosures close to, or in contact with, the object to be sprayed and surrounding or confining the discharged spray or jet but not the object to be sprayed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B16/00Spray booths
    • B05B16/80Movable spray booths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 다양한 실시예는 기판 및 프로세스 헤드의 상대적인 움직임을 제공하여 최소 공간에서 웨이퍼 전체에 접근하여 기판의 다양한 영역 위에서 결합식 프로세싱을 수행한다. 헤드는 기술된 챔버 내에서 사이트가 고립된 프로세싱을 가능하게 하고, 그를 이용한 방법이 기술된다.

Description

다중-영역 프로세싱 시스템 및 헤드{MULTI-REGION PROCESSING SYSTEM AND HEADS}
본 발명은 반도체 제조 공정에 관한 것으로, 보다 구체적으로 기판 상의 다수의 영역을 처리하는 시스템 및 헤드에 관한 것이다.
반도체 프로세싱 작업은 층 제거, 특징부 정의(예컨대, 에칭), 레이어 준비(예컨대 클리닝), 도핑 또는 기판에 층의 형성을 요구하지 않는 다른 프로세스뿐만 아니라 증착 프로세스를 통해 층을 형성하는 과정을 포함한다. 또한, 유사한 처리 기술은 IC(Integrated Circuits) 반도체 장치, 평판 디스플레이, 광전 장치, 데이터 저장 장치, 자전기 장치, 자기 광학 장치, 패키지화된 장치 등의 제조에 적용된다. 특징부의 사이즈가 축소됨에 따라, 물질, 단위 프로세스, 또는 프로세스 시퀀스에서의 개선사항이 증착 프로세스를 위해 지속적으로 추구되고 있다. 그러나, 반도체 회사는 분할된 품목(split lots)의 사용을 통한 풀 웨이퍼 프로세싱에 대한 연구개발을 수행하며, 이는 증착 시스템이 이러한 프로세싱 구성을 지원하도록 디자인되기 때문이다. 이러한 접근은 연구개발 비용의 증가를 야기하고 적시에 그리고 효율적인 비용으로 대규모 실험을 수행할 수 없는 결과를 야기한다.
그래디언트 프로세싱(gradient processing)이 추가적인 정보를 제공하도록 시도되지만, 그래디언트 프로세싱은 다수의 단점이 발생하는 문제가 있다. 그래디언트 프로세싱은 종래의 프로세싱 작업을 나타내지 않는 정의된 불-균일성에 의존한다. 또한, 그래디언트 프로세싱 하에서, 이동 마스크 또는 셔터는 일반적으로 기판 전체 또는 기판읠 일부에 걸쳐 상이한 양의 물질(또는 불순물)을 증착하도록 사용된다. 이러한 접근은 또한 코-스퍼터링(co-sputtering)의 목적을 위해 사용될 수 있거나 사용되지 않을 수 있는 타겟들의 캐러셀(carousel)을 가지는 증착 시스템을 위해 사용될 수도 있다. 이러한 시스템 각각에서, 하나 이상의 증착 프로세스를 수행할 시 발생하는 상호 오염(cross contamination) 문제 뿐만 아니라, 증착되는 영역의 균일성은 결합식 프로세싱에 대해 상대적으로 비효율적인 기술들을 제공한다.
따라서, 단일 기판 상에 다수의 상이한 프로세스 변화의 구현을 수용하기 위한 개선된 기술이 다른 물질, 단위 프로세스, 또는 프로세스 시퀀스의 실행 가능성을 보다 효율적으로 구현하도록 제공된다.
본 발명은 단일 기판 상에서 다수의 상이한 프로세스를 구현하기 위한 기판 처리 방법, 반도체 프로세싱 챔버 및 프로세스 헤드를 제공하는 것을 목적으로 한다.
본 발명의 실시예는 증착 시스템 및 결합식 처리 방법을 제공한다. 본 발명의 다수의 진보적인 실시예가 이하 기술된다.
본 발명의 일 양상에서, 증착 시스템 내에 배치된 방사상으로 연계된(radially articulating) 프로세스 헤드를 구비하는 증착 시스템이 제공된다. 방사상으로 연계된 프로세스 헤드는 기판의 영역 상에 물질의 층을 증착할 수 있다. 일 실시예에서, 영역은 기판에서 사이트 고립된(site isolated) 영역이다. 증착 시스템은 기판 표면 위에 배치된 다수의 방사상으로 연계된 증착 헤드들을 포함한다. 기판 전체 표면으로의 접근을 구비하기 위해, 기판이 안착하는 지지부는 기판을 회전시키거나 선형적으로 이동시키도록 구성된다. 프로세스 헤드는 증착 헤드의 베이스가 캐소드(cathode)로서 구동하고 베이스로부터 연장된 측벽을 둘러싸는 쉴드는 애노드(anode)로서 구동하는 저온 플라즈마 동작을 위해 사용될 수 있다. 다른 실시예에서, 증착 헤드의 샤워헤드는 기판의 표면으로부터의 거리에 대해 조절가능하다. 다시 말해, 샤워헤드는 프로세스 볼륨(process volume)을 조절하기 위해 증착 헤드의 이동에 독립적으로 z-방향으로 조절가능하다.
본 발명의 다른 양상에서, 프로세스 헤드는 증착 유체를 내부 도관을 통해 기판의 표면으로 전달하도록 구성된 동심원적으로 위치된 도관을 구비하고, 제 1 도관의 외측 벽과 제 2 도관의 내측 벽 사이에 정의된 공동(cavity)를 통해 증착 유체를 배출한다. 일 실시예에서, 내부 도관의 저면(bottom surface)과 제 2 도관의 저면은 동일 평면 상에 위치한다. 프로세스 헤드는 선택적으로 제 2 도관을 둘러싸는 제 3 도관을 포함한다. 제 3 도관은 증착 유체가 제 3 도관의 경계 외부로 흘러가지 못하도록 방지하는 유체 배리어를 제공한다. 일 실시예에서, 유체 배리어로 동작하는 유체는 제 2 도관을 통해 배출된다. 제 1, 제 2 및 제 3 도관은 공통된 축 주변으로 동심원적으로 배치될 수 있다.
본 발명의 다른 양상에서, 사이트 고립된 증착 방법이 제공된다. 상기 방법은 여기에 기술된 프로세스 헤드를 통해 기판 상의 물질의 영역을 증착한다. 일 실시예에서, 기체인 증착 유체는 기판의 일부분 상에 배치된 내부 도관을 통해 흐른다. 동시 발생적으로, 진공 상태는 내부 도관을 둘러싸는 정의된 공동에 적용되어 내부 도관의 저면을 가로질러 정의된 동공으로 유체를 배출할 수 있다. 봉쇄 유체(containment fluid)는 선택적으로 일 실시예에서 내부 도관 및 내부 도관을 아우르는 영역 둘 모두를 둘러싸는 외부 도관을 통해 흐를 수 있다. 박막은 기판의 일부 또는 영역 상에 증착되며, 이는 기판의 다른 부분 또는 영역을 위해 반복될 수 있다. 다른 실시예에서, 박막을 기판의 사이트 고립된 영역에 증착하는 방법이 제공된다. 이 실시예에서, 샤워헤드 어셈블리 내의 샤워헤드는 이동가능하여 샤워헤드 어셈블리와 기판의 사이트 고립된 영역 사이에 정의된 프로세싱 영역의 부피를 부피를 조절한다. 증착 유체는 조절된 샤워헤드를 통해 흘러 기판의 사이트 고립된 영역 상에 박막을 증착한다. 일 실시예에서, 초과된 증착 유체 및 증착 부산물은 샤워헤드 어셈블리를 둘러싸는 갇힌 영역에 진공 상태를 제공함으로써 제거된다. 그 결과, 여기에 기술된 실시예를 통해 기판 상의 다수의 사이트는 병렬적, 연속적, 또는 병렬과 연속의 조합으로 결합식으로 처리되어, 대체적인 프로세스 시퀀스, 물질, 프로세스 파라미터 등에 데이터를 제공할 수 있다.
본 발명의 다른 양상은 후술하는 상세한 설명으로부터 명백해질 것이며, 이는 본 발명의 원리를 예로서 설명하는 첨부된 도면과 결합하여 설명될 것이다.
본 발명에 따르면 단일 기판 상에서 다수의 상이한 프로세스를 구현할 수 있다.
본 발명은 첨부한 도면을 참조로 후술하는 상세한 설명에 의해 용이하게 이해될 것이며, 동일한 도면번호는 동일한 구조적 구성요소를 나타낸다.
도 1은 본 발명의 일 실시예에 따른 프로세싱 챔버를 설명하는 단순화된 개략도이다.
도 2는 본 발명의 일 실시예에 따른 연계된 헤드의 이동에 대한 추가적인 세부사항을 도시하는 단순화된 개략도이다.
도 3은 본 발명의 일 실시예에 따른 도 2의 챔버의 상면도이다.
도 4a는 본 발명의 일 실시예에 따른 회전가능한 프로세싱 헤드 및 이동가능한 기판 지지부를 구비하는 시스템의 단순화된 개략도이다.
도 4b는 도 4a의 실시예를 통해 가능한 하나의 예시적인 결합식 영역 패턴을 도시하는 단순화된 개략도이다.
도 5a는 본 발명의 일 실시예에 따른 결합식 프로세싱을 위해 구성된 프로세스 헤드를 도시하는 단순화된 개략도이다.
도 5b는 본 발명의 일 실시예에 따른 PVD(Physical Vapor Deposition) 프로세스를 위해 이용될 수 있는 프로세싱 헤드를 도시한다.
도 6은 본 발명의 일 실시예에 따른 결합식 프로세싱을 위한 프로세스/증착 헤드의 상부도이다.
도 7은 도 6의 프로세스 헤드의 단면도를 도시하는 단순화된 개략도이다.
도 7a는 도 7의 프로세스 헤드의 바깥쪽 링의 저면을 보다 상세하게 도시한 단순화된 개략도이다.
도 8은 본 발명의 일 실시예에 따른 고립된 영역으로 결합식으로 처리된 기판의 단순화된 개략도이다.
도 9는 본 발명의 일 실시예에 따른 시스템의 프로세스 챔버에서 결합식 프로세싱을 위해 구성된 프로세스 헤드를 구비한 일체화된 HPC(High Productivity Combinatiorial)를 도시하는 단순화된 개략도이다.
여기에 기술된 실시예는 결합적 방식으로 기판을 처리하는 방법 및 시스템을 제공한다. 하지만, 당업자에게 있어서 본 발명은 이러한 특정 사항의 일부 또는 전부가 없어도 실현될 수 있음은 명백할 것이다. 다른 예에서, 잘 알려진 프로세스 동작은 본 발명을 불필요하게 애매모호하게 하지 않도록 하기 위해 상세하게 기술되지 않았다.
이하 기술되는 실시예는 기판을 결합적 방식으로 처리할 수 있는 다중-영역 프로세싱 시스템 및 결합된 프로세스 헤드에 대한 상세한 내용을 제공한다. 따라서, 기판의 서로 다른 영역은 서로 다른 성질을 가질 수 있으며, 이는 물질, 단위 프로세스(예컨대, 프로세싱 조건 또는 파라미터) 및 프로세스 시퀀스 등의 변화에 기인할 수 있다. 각각의 영역 내에서, 조건들은 바람직하게 실질적으로 균일하여 각각의 영역 내에서 종래의 풀 웨이퍼 프로세싱을 흉내내지만, 유효한 결과는 이러한 요구사항이 없이도 특정 실험을 위해 획득될 수 있다. 일 실시예에서, 서로 다른 영역은 고립되어 있어 상기 서로 다른 영역들 간의 상호-확산은 발생하지 않는다.
또한, 기판에 대한 결합식 프로세싱은 실질적으로 기판 전체가 균일하게 처리되는(예컨대, 동일한 물질, 단위 프로세스 및 프로세스 시퀀스로 처리됨) 종래의 프로세싱 기술과 결합될 수 있다. 따라서, 여기에 기술된 실시예는 기판을 제조 프로세스 플로우로부터 가져올 수 있으며, 결합식 증착 프로세싱을 수행할 수 있으며, 그리고 기판을 추가적인 프로세싱을 위해 제조 프로세스 플로우로 귀환시킬 수 있다. 대체적으로, 기판은 중앙 챔버 주변에 부착된 다양한 챔버에서 결합식 및 종래의 프로세싱 둘 모두를 가능하게 하는 일체화된 툴, 예컨대 클러스터 툴에서 처리될 수 있다. 그 결과, 한 기판에서, 변경된 프로세스에 관한 정보 및 종래의 프로세스를 사용한 변형된 프로세스의 상호작용이 구현될 수 있다. 따라서, 다수의 데이터가 요구되는 프로세스를 위해 단일 기판으로부터 사용가능할 수 있다.
여기에 기술된 실시예는 에칭, 도핑, 표면 변경 또는 준비(예컨대, 클리닝 프로세스 또는 모노레이어 증착) 등과 같은 다른 응용예 뿐만 아니라 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition), RIE(Reactive Ion Etching), 저온 플라즈마 증착을 포함한 증착 과정을 포함한 다양한 응용예에 관한 것이다. 이하 기술되는 실시예는 기판의 결합식 프로세싱을 위해 최적화된 기술이다. 프로세싱 헤드를 통해 정의된 상대적으로 작은(기판의 전체 영역에 비교하여) 영역의 기판 회전을 따른 이동은 기판의 전체 표면으로의 접근을 가능하게 한다. 선택적으로, 프로세싱 헤드는 원형 방식으로 회전될 수 있으며, 기판은 상대적인 x-y 방향으로 이동하여 프로세싱 헤드에 의한 기판 전체로의 접근을 가능하게 한다. 다른 실시예에서, 프로세싱 헤드 및 기판 둘 모두는 축을 주변으로 회전될 수 있으며, 여기서 축은 공통된 축이거나 그렇지 않을 수 있으며, 프로세싱 헤드 및 기판은 선형(XY 평면) 방식으로 이동할 수 있다. 단일 헤드 또는 다수의 헤드들은 기판의 표면을 가로질러 방사상으로 스캐닝할 수 있는 이동가능한 암에 포함되어 순차방식(한 번에 하나의 헤드), 순차-평행방식 또는 빠른 순차방식(기판 상의 다양한 영역을 커버하도록 프로세싱을 반복하는 한 번에 다수의 헤드를 이용하는 방식) 또는 빠른 평행방식(한 번에 영역 모두를 처리하도록 충분한 헤드들을 사용하는 방식)을 가능하게 한다. 평행적 프로세싱 실시예에서, 회전은 각각의 프로세싱 헤드가 상이한 영역 위에서 상이한 프로세스를 구현하는 회전을 통해 영역마다 상이한 프로세싱을 가능하게 하거나, 또는 다른 대체적인 실시예에 따라, 동일한 프로세스는 기판의 회전에 의존하여 각각의 프로세싱 헤드에서 구현되어 기판 위의 상이하게 처리된 영역을 생성한다.
일 실시예에서, 이동가능한 헤드는 고립된 영역에서 플라즈마를 생성하도록 구성되며, 이는 기판 위에서, 처리 영역(processing region)으로 언급될 수 있으며, 그에 의해 마스킹의 필요함을 방지한다. 마스킹이 일반적으로 요구되지 않는 반면, 본 발명의 다양한 국면은 또한 마스크를 사용하여 동작하고, 일부 상황에서 이하 기술되는 고립 능력(isolation capability) 및 내성(tolerance)을 향상시킬 수 있다. 다른 실시예에서, 기판에 대해 사이트 고립된 ALD를 가능하게 하는 이동가능한 헤드가 제공된다. 당업자는 ALD, CVD, 및 PVD가 증착 프로세스에 국한되지 않는 것을 인식할 것이다. 예를 들어, ALD는 일 실시예에서 도핑 프로세스를 수생하도록 사용될 수 있다. 보다 구체적으로, 하나의 단층 또는 증착 사이클마다 보다 적은 증착에 의해, ALD 프로세스는 도핑의 형태로 사용될 수 있다. 다른 실시예에서, PVD 및/또는 ALD 프로세스는 "에칭"일 수 있다. 당업자는 처리 기체를 변경함으로써, 예컨대 기판 상에 물질을 증착시키는 처리 기체와 비교하여 처리 기체가 기판과 반응하는 기체로 변경함으로써, 에칭 프로세스가 수행될 수 있다.
도 1은 본 발명의 일 실시예에 따른 반응 챔버를 도시하는 단순화된 개략도이다. 반응 챔버(100)는 기판 지지부(102) 및 프로세스 헤드(104)를 포함한다. 정전적 척(chuck) 또는 다른 척일 수 있는 기판 지지부(102)는 회전되도록 구성된다. 다른 실시예에서, 기판 지지부(102)는 챔버(100) 내에서 선형적으로 이동할 수 있다. 프로세스 헤드(104)는 기판(112)의 표면 위에서 방사상으로 선형 방향으로 연계되도록 구성되며, 이는 기판 지지부(102) 상에 배치된다. 일 실시예에서, 프로세싱 헤드(104)는 기판(112) 위의 평면 내 2차원으로 이동할 수 있다. 다른 실시예에서, 벨로우(bellows)(106)는 프로세스 헤드(104)가 연계됨에 따라 챔버의 온전함을 유지하도록 실(seal)을 제공한다. 당업자는 기판 지지부(102)가 적어도 180° 회전하도록 구성하고 기판(112)의 반경을 가로질러 이동할 수 있는 프로세스 헤드(104)를 구비함으로써, 기판(112) 위의 모든 위치들은 결합식 프로세싱을 위해 프로세스 헤드(104)로 접근가능하다. 일 실시예에서, 기판 지지부(102)는 완전한 커버리지를 보증하기 위해 적어도 185°회전한다. 다른 실시예에서, 기판 지지부(112)는 360°회전한다. 기판(112)의 표면과 평행한 선형 방향으로 이동함에 더하여, 증착 헤드(104)는 처리될 영역 위에 헤드를 위치시키기 위해 기판 지지부(102)에 안착되는 기판의 표면에 직교하는 Z 방향으로 이동하거나 및/또는 기판까지의 프로세스 헤드의 높이를 변경시킬 수 있어, 그 결과 이하 더 기술되는 바와 같이 처리 영역의 용적을 변경시킬 수 있다. 이러한 방식으로, 프로세스 헤드(104)는 프로세스 헤드와 기판의 표면 사이에 정의된 프로세스 볼륨을 조절하도록 사용될 수 있다.
유체 서플라이(108)는 프로세스 헤드(104)로 유체를 공급하도록 구성된다. 필수적으로, 유체 서플라이(108)는 프로세스 헤드(104)를 통해 실행되는 임의의 증착 프로세스에 적절한 처리 기체를 전달한다. 물론, 프로세스 헤드(104)의 이동을 수용하기 위해, 유체 서플라이(108)로부터의 전달 라인은 플렉서블할 수 있다. 구동부(114)는 반응 챔버(100) 내에서 프로세스 헤드(104)의 선형적이고(X Y) 직교의(Z) 이동을 제공한다. 당업자는 구동부(114)는 선형 구동부, 웜 기어 등과 같은 적절한 구동부일 수 있다. 또한, 구동부(114) 또는 분리된 구동부는 직교의 이동을 제어할 수 있으며, 이는 선형 이동에 독립적이다. 예시적인 구동부는 리드 스크류, 공압식(pneumatics) 구동부, 서보 구동부, 랙(rack) 및 피니언(pinions) 어셈블리 등의 스텝퍼 모터(stepper motors)에 의해 구동되는 선형 슬라이드를 포함할 수 있다. 플라즈마를 생성하기 위해, 파워 소스(116), 예컨대, RF(Radio Frequency), DC 펄스, 마이크로파 등이 프로세스 헤드(104)와 결합된다. CPU, 메모리 및 입력/출력 기능부를 포함한 컨트롤러(110)는 챔버(100) 내의 프로세싱을 제어한다. 일 실시예에서, 컨트롤러(110)의 메모리 내에 포함된 레시피(recipe)는 챔버(100) 내의 프로세싱을 위해 CPU에 의해 실행될 것이다. 컨트롤러(110)는 파워 서플라이(116), 구동부(114), 유체 서플라이(108), 및 결합식 프로세싱 동작을 위한 반응 챔버의 다른 양상을 제어하도록 구성된다. 다른 실시예에서, 분리된 컨트롤러는 각각의 컴포넌트를 위해 이용될 수 있으며, 범용 컴퓨터는 프로세싱 레시피를 통해 분리된 컨트롤러의 동작을 제어할 수 있다.
도 2는 본 발명의 일 실시예에 따른 연계된 프로세스 헤드의 이동을 위한 추가적인 세부사항을 도시하는 단순화된 개략도이다. 도 2에서, 도 1의 벨로우로부터의 대체적인 실링(sealinng) 메커니즘이 제공된다. 도 2에서, 프로세스 헤드(104)는 이동가능한 상부 플레이트(124)에 부착된 암(120)(또한, 포스트(post)로도 언급됨)에 의해 지지된다. 일 실시예에서, 암(120)은 상부 플레이트(124)를 통해 연장되며, 암의 단부는 Z-방향 이동을 제공하는 구동부에 연결도니다. 실은 암(120)과 이동가능한 상부 플레이트(124) 간에 유지되어 암이 기판 표면에 대해 올려지거나 낮춰지고 그 외 다른 이동을 함에 따라 프로세싱 챔버의 온전함을 유지한다. 이동가능한 상부 플레이트(124)는 베어링 지지면(128) 및 o-링(126) 위에 슬라이드가능하게 배치될 수 있다. 베어링 지지면(128)은 이동가능한 상부 플레이트(124)를 가이드하여 o-링(126)으로의 과도한 압력을 야기하지 않도록 하는 챔버 상부(122)에 배치된 표면이다. 임의의 베어링 면이 도 2에 도시되는 반면, 다른 베어링 면은 구멍의 양 측면에서 이동가능한 상부 플레이트(124)를 지지하도록 챔버 상부(122)의 개구를 가로질러 제공될 수 있다. 베어링 면은 볼 베어링, 공압식, 유압식 베어링 등으로 구성될 수 있다. 일 실시예에서, 챔버는 초 고 진공, 예컨대 10-8 또는 10-9 torr인 반면, o-링(126)들 간의 영역은 밀리Torr 진공 영역 이하로 펌핑된다. o-링들(126) 간의 공간의 펌핑은 펌프에 대해 o-링들(126) 사이에 정의된 공간으로 접근을 가능하게 하는 채널(130)을 통해 달성될 수 있다. 예를 들어, 채널(130)은 o-링들(126) 사이에 정의된 공간을 펌핑하도록 접근가능하게 하기 위해 챔버의 상부 플레이트를 통해 구멍이 뚫어질 수 있다.
도 3은 본 발명의 일 실시예에 따른 도 2의 챔버의 상면도이다. 도 3에서, 상부의 이동가능한 플레이트(124)는 챔버 내에서 프로세스 헤드를 지지하기 위한 기둥들(120)을 포함한다. 도 3에서 두 개의 기둥들(120)이 슬라이드 이동가능한 플레이트(124)를 위해 구비되는 반면, 임의의 개수의 기둥들 및 대응하는 프로세스 헤드들은 슬라이드 가능한 플레이트에 배치될 수 있다. o-링들(126)은 챔버의 진공상태와 외부 공기 간에 밀봉을 제공한다. 이동가능한 플레이트(124)를 위한 구동부(114)는 결합식 프로세싱을 위해 웨이퍼의 표면 위의 이동을 위해 기둥들(120) 및 대응하는 증착 헤드를 연계시키도록 임의의 적절한 선형 구동부, 웜 기어 등을 포함할 수 있다. Z-방향의 이동을 위해 기둥들(120)의 상부에 연결된 추가적인 구동부는 도식화의 편의를 위해 도시되지 않았다. 프로세스 헤드(104)는 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition), 및 다른 응용예, 예컨대 에칭, 도핑, 표면 변화 또는 준비(에컨대 클리닝 프로세스 또는 모노레이어 증착)를 포함하는 증착 동작을 포함하여 다양한 응용예에 관련하여 임의의 개수의 서로 다른 프로세스 헤드들일 수 있다. 프로세스 헤드의 다수의 상이한 실시예는 이하 보다 상세하게 기술된다.
도 4a는 본 발명의 일 실시예에 따른 회전가능한 프로세싱 헤드 및 이동가능한 기판 지지부를 구비하는 시스템의 단순화된 개략도이다. 프로세스 헤드(104)는 챔버(100) 내 암(120)에 의해 지지된다. 암(120)은 수직 방향(Z 연계 방향(articulation))으로 이동하고 축을 기준으로 회전하도록 구성된다. 프로세스 헤드(104)의 축은 프로세스 헤드(104)가 주변으로 회전하는 회전 축으로부터 오프셋된다. 기판(112)은 기판 지지부(102) 상에 배치된다. 기판 지지부(102)는 XY 평면 내에서 선형으로 연계될 뿐만 아니라 수직 방향(Z 연계 방향)으로 이동하도록 구성된다. 이러한 방식으로, 기판(112)의 고립된 영역은 결합식으로 처리될 수 있다. 일 실시예에서, 다수의 프로세스 헤드들은 암(120)에 부착될 수 있다. 다른 실시예에서, 다수의 암은 챔버(100) 내에 제공될 수 있다.
도 4b는 도 4a의 실시예를 통해 구현가능한 일 예시적인 결합식 영역 패턴을 도시하는 단순화된 개략도이다. 기판(112)은 그 위에 정의된 고립된 영역(109)을 가진다. 고립된 영역(109)은 물질, 단위 프로세스 또는 프로세스 시퀀스 중 하나 또는 그 조합이 영역을 가로질러 변경되는 결합식으로 처리된 영역일 수 있다. 도 4b에 도시된 바와 같이, 트랙(111)은 도 4a의 프로세스 헤드(104)가 뒤따르는 하나의 예시적인 경로이다. 그러나, 당업자는 여기에 기술된 실시예는 프로세스 헤드(104)의 회전 이동 및 기판 지지부(102)의 평면 이동을 통해, 도 8에 도시된 예시적인 패턴과 같은 많은 다른 패턴들을 가능하게 할 것이다.
도 5a 및 도 5b는 본 발명의 실시예에 따른 결합식 프로세싱을 위해 구성된 프로세스 헤드의 단순화된 개략도를 도시한다. 프로세스 헤드(104)는 도 5a에 도시된 바와 같이 플라즈마 기반 시스템(예컨대, PVD, 그 변형, 또는 저온 플라즈마)을 포함한 다수의 건식 프로세스를 가능하게 할 수 있다. 이러한 타입의 헤드들은 상세하게 설명되는 반면, 추가적인 프로세싱 구성을 지원하는 다른 헤드들은 이들 헤드들로부터 적응적으로 구성될 수 있거나 이들 헤드들을 대체할 수 있다. 프로세스 헤드(104)는 일 실시예에 따라 실린더 형상으로 구성되지만, 사각형, 타원형, 오각형 등과 같은 임의의 다른 기하학적 형상으로 구성될 수 있다. 사이트가 고립된 영역으로도 불리는 기판 상의 프로세스 영역은 반응 챔버에 의해 정의될 수 있으며, 예컨대 프로세스 헤드를 통해 블랭킷(blanket) 또는 패턴화된 기판 상에 정의되거나, 또는 프로세스 영역은 기판 상에 기정의될 수 있다(예컨대, 테스트 구조, 다이, 다수의 다이 또는 다른 기술들을 통해).
도 5a에 도시된 바와 같이, 측벽(152)은 프로세스 또는 증착 헤드의 외측 벽을 정의하고 프로세스 헤드(104)의 상부 영역에서 밸브(154)는 플레넘(plenum)(156)으로 유체를 공급하며, 이는 유체를 샤워헤드(158)로 분배한다. 샤워헤드(156)와 플레넘(158)의 조합은 샤워헤드 어셈블리 또는 프로세스 헤드 어셈블리로 언급될 수 있다. 샤워헤드 어셈블리와 측벽(152) 사이의 실(seal)은 o-링(160)에 의해 제공되며, 이는 또한 진공 상태를 중단하지 않은 채 샤워헤드 어셈블리의 이동을 가능하게 한다. 샤워헤드 어셈블리는 일 실시예에서 측벽(152)에 영구적으로 부착될 수도 있다. 이 실시예에서, 측벽(152)은 위치(171), 예컨대 o-링을 통해서 외측 벽(170)과 이동가능하게 밀봉될 수 있거나 또는 z-방향의 이동이 가능한 슬라이드 이동이 가능한 다른 적절한 실이 가능할 수 있다. 진공 상태는 처리 영역(162)으로부터 프로세스 부산물을 제거하기 위해 바깥쪽 영역(168)을 통해 적용될 수 있다. 플레넘(158) 및 샤워헤드(156)는 둘 모두 처리 영역(162) 내의 처리 체적을 변경하기 위해 기판(164)의 표면에 대해 수직 방향으로 이동가능할 수 있다. 샤워헤드 어셈블리가 이동함에 따라, 바깥쪽 쉴드(170) 및 측벽(152)은 정적으로 유지되어 플라즈마를 기판(154)의 영역에 고립시키도록 배리어를 제공한다. 물론, 측벽(152)은 정적이거나 또는 이동가능한 샤워헤드 어셈블리와 함게 이동가능할 수 있다. 대체적인 실시예에서, 불활성 기체, 예컨대 아르곤, 질소 등은 환형 공간(annular space)(168)로 공급되어, 측벽(152), 샤워헤드 어셈블리 및 기판의 상부 사이에 정의된 영역(162)에 대한 프로세싱의 고립을 유지하도록 도와준다. 이러한 대체적인 실시예에서, 배출은 다른 메커니즘, 예컨대 또 다른 구멍, 예컨대 샤워헤드 어셈블리 내의 포털(portal) 또는 다른 적절한 기술을 통해 제공될 것이다.
도 5b는 본 발명의 일 실시예에 따른 PVD 프로세스를 위해 이용될 수 있는 프로세싱 헤드를 도시한다. 프로세스 헤드(104)는 도 5a에 대해 기술된 유사한 특징을 포함하고, 불필요한 반복을 줄이기 위해 이러한 특징들 중 일부는 또 다시 상세하게 기술되지는 않을 것이다. 프로세스 헤드 어셈블리(157)는 PVD 프로세스를 위한 타겟을 포함할 수 있는 반면, 기체 주입구(149)는 처리 기체를 기체 소스(151)로부터 PVD 프로세싱을 위해 전달한다. 플라즈마는 기판(164)의 표면 상에 물질을 증착하기 위해 영역(162) 내에 형성될 수 있으며, 상기 기판은 정전적 척(166) 위에 배치되거나, 또는 다른 잘 알려진 기판 지지부 위에 배치된다. 당업자는 영역(162) 내의 플라즈마가 DC(Direct Current), DC 펄스, RF(Radio Frequency), 유도성 결합, 마이크로파 등에 의해 유지될 수 있다. 영역(168)으로도 불리는 외측 쉴드(170) 내에 정의되는 동공(cavity)은 플라즈마 내의 플라즈마 영역 증착 챔버보다 더 낮은 압력으로 유지되어 사용되지 않거나 반응된 물질 및 기체를 포함하거나 수집한다.
일 실시예에서, 중앙 캐소드(center cathode)는 프로세스 헤드(104) 내에 포함되며, 예컨대 프로세스 헤드 어셈블리(157)의 베이스는 캐소드로서 기능하고, 외측 쉴드(170)는 애노드로서 기능할 것이며, 예컨대 저온 플라즈마 프로세싱 동작을 수행하는 경우, 안정된 기체 플라즈마 제트가 대기압에서 상온 근처에서 생성된다. 이 실시예에서, 진공 상태는 플라즈마 영역 내에서 반드시 필요한 것은 아니다. 또한, 일 실시예에서, 진공 상태는 챔버(104) 전체가 적절한 구동 압력일 수 있으므로 진공 상태는 외측 쉴드(170) 내의 동공(168)에 적용될 필요는 없으며, 외측 쉴드(170)는 처리 물질이 프로세스 헤드(104)의 외측에서 메인 챔버로 확산되는 것을 방지하여 다른 영역에 영향을 미치는 것을 방지한다. 외측 쉴드(170)는 결합식 프로세싱에 의해 요구되는 바와 같이 전기적으로 플로팅 상태이거나 그라운드될 수 있다.
다른 실시예에서, 외측 쉴드(170)는 기판의 상부 표면에 대해 실을 제공하여 프로세싱을 위해 기판의 영역을 고립시키고 영역들 간의 증착 물질의 상호-확산을 방지하기 위해, 기판의 상부 표면에 대해 받쳐질 수 있다. 물론, 외측 쉴드(170)는 기판(164)의 표면에 대해 직교방향으로 이동할 수 있어, 영역(162)의 체적은 변경될 수 있다. 또한, 기판 지지부는 일 실시예에서 기판을 회전시킬 뿐만 아니라 기판을 수직으로 이동시킬 수 있다. 따라서, 영역(162)의 체적은 여기에 기술된 실시예 하에서 많은 기술을 통해 조절가능하다.
도 6은 본 발명의 일 실시예에 따른 결합식 프로세싱을 위한 프로세스/증착 헤드의 상면도이다. 프로세스 헤드(104)는 두 개 또는 선택적으로 세 개의 동심원적 링들을 포함한다. 선택적인 외측 링(180)은 도관(conduit)으로도 불리는 내측 링(184)을 둘러싸는 중간 링(182)을 둘러싼다. 도 7을 참조로 보다 상세하게 기술되는 바와 같이, 내부 링(184) 내에 정의된 영역은 결합식 프로세싱 동작 도중 기판의 일부분에 층을 증착하기 위해 아래에 배치된 기판의 영역 위에 처리 기체를 흘린다. 일 실시예에서, 내부 링(184) 내의 영역은 직경이 약 43 밀리미터로 구성되어 일반적인 테스트 다이 사이즈를 수용하지만, 잘 알려진 테스트 다이 또는 다른 디자인 파라미터를 기반으로 임의의 사이즈로 구성될 수 있다. 중간 링(182)과 내부 링(184) 사이에 정의된 영역은 내부 링(184) 내에 정의된 증착 영역으로부터 기체를 배출하거나 펌핑하도록 사용된다. 다시 말해, 진공 소스는 중간 링(182)의 내측면과 내부 링(184)에 대한 도관의 외측벽 사이의 영역을 배출하도록 연결될 수 있다.
선택적인 외측 링(180)과 중간 링(182) 사이의 영역은 제품을 포함하고 증착 헤드 아래에 배치된 기판의 다른 영역에 대한 오염을 방지하기 위해 불활성 기체, 예컨대, 아르곤을 흘리도록 사용될 수 있다. 링(180)이 포함되지 않으면, 링(182,184)에 의해 정의된 환형 공간 내 진공 상태는 프로세스 헤드(104)에 의해 정의된 영역 내에서 수행되는 프로세스가 웨이퍼의 다른 영역에 영향을 미치는 것을 방지한다. 일부 기체 또는 다른 유체는 배출될 수 있는 반면, 배출되는 기체의 양은 실험에 영향을 미치지 않을 것이다. 링(180)이 포함되지 않으면, 기판 위의 영역은 추가적인 보호 층이 프로세스 헤드 그 자체 내부에 제공되는 것보다 더 멀리 이격될 수 있다. 일 실시예에서, 중간 링(182)의 내측면과 내부 링(184)의 외측면 사이의 영역은 약 1 내지 10 밀리미터이다. 다른 예시적인 실시예에서, 동심원적 링 각각의 두께는 약 1 내지 5 밀리미터이다. 그러나, 이러한 실시예는 두께를 제한하는 것으로 해석되지 않으며, 링들 간의 거리는 응용예 및 수행되는 프로세싱에 의존하여 임의의 적절한 두께일 수 있다. 구성 물질은 스테인리스 및 알루미늄과 같은 증착 프로세스를 위한 임의의 적절한 물질일 수 있다.
도 7은 도 6의 프로세스 헤드의 단면도를 도시하는 단순화된 개략도이다. 도 7에서, 외측 링(180)은 바람직하게 링(182 또는 184)보다 기판과 덜 분리되며, 기판(164)의 표면에 접촉할 수 있다. 상술한 바와 같이, 선택적인 외측 링(180)은 오직 링들(182,184)만이 포함되는 것에 비해 처리 영역에서 기판의 다른 영역으로의 누설을 추가적으로 보호하도록 제공된다. 도 7에 도시된 바와 같이, 처리 기체는 내부 링(184) 내에서 흘러갈 것이며, 중간 링(182)과 내부 링(184) 사이의 중간 공간을 통해 배출될 것이다. 따라서, 기체는 내부 링(184)에 의해 정의되는 영역(175) 내에서 기판(164)을 향해 흘러갈 것이며, 링(184) 아래에서 내부 링(184) 및 중간 링(182)에 의해 정의된 영역 내에서 동작하는 진공 상태에 의해 배출될 것이다. 처리 영역은 내부 링(184)에 의해 정의되는 반면, 중간 링(182) 및 선택적인 외측 링(180)은 버퍼 지역을 제공한다. 내부 링(184)의 저면과 기판의 상면 사이에 정의된 프로세스 볼륨은 프로세스 헤드를 수직으로 이동시킴으로써 조절가능하다.
외측 링(180)이 포함되지 않으면, 중간 링(182)은 내부 링(184)보다 기판에 더 가까울 수 있으나, 이는 선택 사항이다. 선택적으로, 중간 링은 일 실시예에서 기판(164)을 접촉할 수 있다. 링(182)과 기판(164) 사이의 공간은 처리 유체(예컨대 기체)가 탈출가능하도록 할 수 있다. 추가적으로 그에 대해 보호하기 위해, 아르곤 또는 일부 다른 불활성 기체는 처리 부산물을 더 포함하기 위해 최외측 동공 또는 환형 공간(179) 내에서 흐르도록 사용될 수 있다. 이러한 불활성 기체는 외측 동공(179) 내, 링(182) 아래에서 기판(164)을 향해 흘러갈 것이며, 내부 링(184)과 중간 링(182) 사이의 영역(177) 내의 진공 상태에 의해 배출될 것이다. 불활성 기체는 내부 링(184) 내의 프로세싱에 영향을 미치지 않을 것이며, 흐름률(flow rate)은 영역으로의 그러한 임의의 확산을 최소화하도록 선택될 것이다. 상술한 바와 같이, 프로세스 헤드를 위해 사용된 물질은 스테인리스 스틸, 알루미늄, 또는 플라즈마 및 반도체 웨이퍼 상의 프로세싱 및 레이어 증착을 위해 사용되는 기체와 양립가능한 임의의 다른 적절한 금속일 수 있다. 증착 헤드의 표면이 기판의 표면을 접촉하는 곳에서, TEFLON™ 코팅 또는 임의의 다른 적절한 비-반응성 코팅과 같은 폴리테트라플루오로에틸렌(polytetrafluoroethylene)은 기판(164)의 표면과 접촉하는 프로세스 헤드의 표면 상에 사용될 수 있다. 일 실시예에서, 외측 링(180)의 저면은 기판(164)과의 접촉면적을 최소화하도록 칼날 형태의 에지로 구성된다. 도 7a는 하부 에지(181)가 칼날 형태의 에지로 구성된 외측 링(180)의 저면을 도시한다.
도 8은 본 발명의 일 실시예에 따른 고립된 영역을 사용하여 결합식으로 처리된 기판의 단순화된 개략도이다. 기판(200)은 그 위에 배치된 복수의 영역들(202)을 포함한다. 영역들(202) 각각은 상술한 챔버(들) 내의 프로세스 헤드 중 하나를 사용하여 처리된다. 선형적, 방사상으로 연계된 암의 사용 및 기판 지지부에 의해 제공되는 회전(또는 헤드의 회전 및 기판의 선형적 (x-y) 이동, 또는 헤드 및 기판 둘 모두의 회전, 또는 헤드 및 기판 둘 모두의 선형적 이동)을 통해, 임의의 패턴의 사이트 고립된 증착 영역은 기판(200)의 표면 상에 정의될 수 있다. 도시된 패턴은 대칭적이고 기판의 사용을 극대화시키고 헤드의 배열을 가장 용이하게 하지만, 다른 패턴 또는 다른 개수의 영역들은 또한 구현될 수 있다. 기판(200) 상에서, 각각의 영역(202)이 변경된 프로세스의 일부 특성 또는 성질을 가질 수 있으므로 지식의 가치가 단일 기판 위에 존재함이 인식될 것이다. 따라서, 이전 또는 이후의 프로세스 동작 또는 물질과 각각의 영역 간의 상호 작용뿐만 아니라 각각의 영역에 대해 사용가능한 정보는 수집되어 최적의 물질, 단위 프로세스 및/또는 프로세스 시퀀스에 대한 데이터를 매우 효율적인 방식으로 제공할 수 있다. 도 8이 고립되어 있고 중복되지 않은 영역들(202)을 도시하지만, 상기 영역들은 일 실시예에서 중복될 수 있다. 다른 실시예에서, 영역은 기판 상의 국한된 영역을 의미하며, 이는 선택된 물질의 프로세싱 또는 형성을 위해 사용되거나, 사용되었거나, 또는 사용되도록 의도된다. 영역은 하나의 영역 및/또는 기판 상에 기형성된 규칙적이거나 주기적인 영역의 연속을 포함할 수 있다. 영역은 임의의 편리한 형상, 예컨대 원형, 사각형, 타원형, 쐐기형 등을 구비할 수 있다. 일 실시예에서, 영역은 기판 상에 기결정된다. 그러나, 프로세싱은 다른 실시예에서 영역을 정의할 수 있다.
도 9는 본 발명의 일 실시예에 따른 일체화된 HPC(High Productivity Combinatorial) 시스템을 도시하는 단순화된 개략도이다. HPC 시스템은 복수의 프로세싱 모듈들을 지지하는 프레임(900)을 포함한다. 프레임(900)은 일 실시예에 따르면 단일의 프레임일 수 있다. 일 실시예에서, 프레임(900) 내의 환경은 제어된다. 로드 락/팩토리 인터페이스(load lock/factory interface)(902)는 HPC 시스템의 복수의 모듈들로의 접근을 제공한다. 로봇(914)은 모듈들 간의 기판(및 마스크)의 이동을 위해 제공되고 로드 락(902)으로의 이동 및 그로부터 배출되는 이동을 제공하낟. 모듈(904)은 일 실시예에 따른 배향/배출(orientation/degassing) 모듈일 수 있다. 모듈(906)은 본 발명의 일 실시예에 따른 클린 모듈일 수 있으며, 이는 플라즈마 또는 비-플라즈마 기반이다. 임의의 타입의 챔버 또는 챔버의 조합은 구현될 수 있으며, 여기에 기술되는 내용은 임의의 가능한 조합을 설명하는 것일 뿐 결합식 프로세싱 또는 결합식에 종래의 기판/웨이퍼의 프로세싱을 더한 것을 결합시키도록 지지될 수 있는 잠재적인 챔버 또는 프로세스를 제한하도록 해석되지 않는다.
모듈(908)은 본 발명의 일 실시예에 따른 라이브러리 모듈을 의미한다. 모듈(908)에서, 프로세싱 마스크로도 불리는 복수의 마스크가 저장된다. 마스크는 모듈 내에서 처리되는 기판에 특정 패턴을 적용하기 위해 건식의 결합식 프로세싱 모듈에서 사용될 수 있다. 본 발명의 일 실시예에 따르면 모듈(910)은 HPC PVD 모듈을 포함한다. 모듈(912)은 증착 모듈, 예컨대 ALD 또는 CVD 모듈이다. 모듈(910 및/또는 912)은 여기에 기술된 프로세스 헤드들을 포함할 수 있다. 모듈들(901,912)은 도 5a, 도 5b 또는 도 6 또는 여기에 기술된 다른 프로세스 헤드들의 일부 결합에 대한 프로세스 헤드와 같은 프로세스 헤드가 모두 유사한 다수의 프로세스 헤드들을 포함하도록 구성될 수 있다. 또한, 다수의 프로세스 헤드들은 프로세스 모듈 내에서 처리되고 있는 기판 상에 동일하거나 상이한 프로세스 동작을 수행하도록 사용될 수 있다. 예를 들어, 서로 다른 프로세스 헤드들이 프로세스 모듈 내에 있는 경우, 일부 프로세스 헤드들은 ALD 동작을 수행할 수 있고, 일부 프로세스 헤드들은 PVD 동작을 수행할 수 있다. 또한, 동일한 동작을 수행하는 프로세스 헤드들은 프로세싱 조건, 파라미터, 물질 등을 변경할 수 있다. 이러한 다수의 서로 다른 동작은 평행적 또는 연속적으로 수행될 수 있다. 그 결과, 다수의 조합의 실험은 여기에 기술된 이동가능한 프로세싱 헤드들을 사용하여 결합식 프로세싱 실시예를 통해 단일 기판의 사이트 고립된 영역들 상에서 수행될 수 있다. 일 실시예에서, 중앙집중화된 컨트롤러, 즉 컴퓨터 장치(911)는 HPC 시스템의 프로세스를 제어할 수 있다. HPC 시스템의 추가적인 세부사항은 미국 특허 출원 번호 11/672,478 및 11/672,473에 기술된다. HPC 시스템을 사용하여, 복수의 방법들은 결합식 프로세스를 도입하여 기판에 물질을 증착하도록 도입될 수 있다.
요약컨대, 전술한 실시예는 평행식, 연속-평행식 또는 연속 방식 중 어느 하나로 사이트 고립된 방식으로 기판에 적용되는 결합식 프로세스를 가능하게 할 수 있다. 프로세스 헤드는 챔버 내에 배치되고 기판의 표면을 마주보도록 배치되어 기판 표면을 가로질러 방사상으로 스캐닝을 할 수 있다. 헤드는 바람직하게 마스크 또는 셔텨의 사용이 없이 실질적으로 균일한 방식으로 기판의 일부분(예컨대, 사이트가 고립된 영역)을 처리하도록 구성되지만, 마스크는 특정 실시예에서 사용될 수 있다. 기판은 원형이 아닌, 예컨대 사각형 또는 다른 형태이고, 헤드는 바람직하게 사각형의 최대 폭을 가로질러 스캐닝할 것이며, 반면 기판은 완전한 접근을 제공하도록 회전되지만 이와 같이 설정될 필요는 없음이 인식될 것이다. 또한, 헤드는 기판의 직교적인 이동과 결합하여 선형으로 이동할 수 있어 챔버의 전체 사이즈를 최소화할 수 있다. 헤드의 이동은 프로세싱 챔버의 완전성(integrity)를 유지하는 방식으로 수행된다. 증착은 블랭킷 기판 또는 구조, 패턴, 장치 또는 다른 정의된 특징부를 구비하는 기판 상에서 발생될 수 있다. 또한, 기판은 상술한 결합식 증착 기술에 뒤이어 기판 전체를 처리하는 종래의 기술을 통해 더 처리될 수 있다.
이하 더 기술되는 실시예는 챔버 내에 배치된 방사상으로 연계된 프로세스 헤드를 구비한 반응 챔버를 포함하며, 방사상으로 연계된 증착 헤드는 기판의 다수의 영역을 처리할 수 있으며 영역은 실질적으로 상호 간에 고립되어 있다. 챔버는 다수의 프로세스 헤드들을 구비할 수 있으며, 다수의 영역들은 연속적인 방식, 빠른 연속적 방식 또는 평행 방식으로 처리될 수 있다. 프로세스 헤드들의 내측 벽은 영역을정의할 수 있으며, 반면 외측 벽은 영역을 고립시키기 위한 실을 제공한다. 다른 실시예에서, 영역은 기판 상에서 기정의될 수 있다. 챔버는 프로세스 헤드 아래에서 회전가능한 기판 지지부를 포함할 수 있으며, 기판 지지부는 기판의 약 절반, 예컨대 약 185°를 회전시킬 수 있다. 방사상으로 연계된 프로세스 헤드는 기판의 반경 상에서 이동 범위를 가진다. 또한, 프로세스 헤드는 증착 시스템의 베이스에 대해 수직으로 이동할 수 있다. 프로세스 헤드는 반응 챔버 내 기판의 상부 표면 위에서 헤드를 방사상으로 연계시는 동작과 기판의 상부 표면에 대해 프로세스 헤드가 직각 방향으로 이동하는 동작 둘 모두를 구현하는 암에 부착된다. 상이하게 펌핑된 실은 제 2 o-링에 의해 둘러싸여진 제 1 o-링에 의해 정의되고, 제 1 및 제 2 o-링들 사이의 공동은 외부 표면으로부터 챔버를 고립시키기 위해 증착 시스템 내의 압력보다 크고 외부 압력보다 작은 압력으로 배출된다. 프로세스 헤드는 기판을 마주보는 베이스 및 베이스로부터 연장된 측벽의 아래 부분을 둘러싸는 쉴드를 포함한다. 일 실시예에서, 베이스는 저온 플라즈마 동작을 위해 캐소드로 기능하고 쉴드는 애노드로 기능한다. 프로세스 헤드의 아래 부분은 일 실시예에서 증착 동작 도중 증착 부산물을 배출하도록 구성된 가둠 벽(containment wall)에 의해 둘러싸여진다.
본 발명의 다른 실시예에서, 기체를 기판의 표면으로 전달하도록 구성된 제 1 도관 및 상기 제 1 도관을 둘러싸는 외측 벽에 의해 부분적으로 정의된 제 2 도관을 구비하는 프로세싱 헤드가 제공된다. 제 2 도관은 기체를 배출하도록 구성되며, 제 2 도관의 외측 벽은 배리어로서 동작하여 제 2 도관에 의해 정의된 내부 영역 내에 기체를 포함한다. 프로세스 헤드는 제 2 도관을 둘러싸는 제 3 도관을 포함하며, 제 3 도관은 기체가 제 3 도관의 경계 바깥으로 흐르는 것을 방지하는 유체 배리어를 제공하도록 구성된다. 제 1, 제 2 및 제 3 도관은 공통된 축 주변으로 동심원적으로 구성된다. 제 2 도관의 저면은 일 실시예에서 제 1 도관의 저면보다 기판의 표면에 더 근접하다. 다른 실시예에서, 저면은 기판에 접촉할 수 있다. 프로세스 헤드는 반응 챔버 내의 연계된 암에 부착되며, 기판은 일 실시예에서 기판 지지부 상에서 회전한다. 제 3 도관의 저면은 다른 실시예에서 제 1 및 제 2 도관의 저면을 지나 연장한다. 제 3 도관 또는 제 2 도관의 저면은 기판에 접촉할 수 있고, 칼날 형태의 에지로 구성될 수 있다. 일 실시예에서, 제 3 도관의 저면은 불활성 박막으로 코팅된다.
다른 실시예에서, 사이트 고립된 증착을 위한 이동가능한 프로세스 헤드가 제공된다. 이동가능한 프로세스 헤드를 포함하는 이동가능한 어셈블리는 처리 영역을 정의하는 내벽을 포함한다. 일 실시예에서, 다수의 처리 영역은 하나의 기판 상에서 형성되며; 내벽의 외측 표면의 바닥 부분을 둘러싸는 외벽은 처리 영역에 대한 처리 컴포넌트를 포함한다. 일 실시예에서, 외벽의 저면은 내벽의 저면을 지나 연장한다. 다른 실시예에서, 외벽은 증착 동작 도중 활성화된 증착 영역의 경계의 외부로 기판의 표면에 접촉한다. 이 실시예에서, 외벽은 기판 위에 배치된 마스크에 접촉할 수 있다. 외벽의 구멍과 유체를 교환하는 진공 소스가 포함되며, 상기 구멍은 외벽과 내벽 사이에 정의된 동공으로의 접근을 가능하게 한다. 다른 실시예에서, 어셈블리는 프로세스 헤드에 독립적으로 이동가능하다. 예를 들어, 일 예시적인 실시예에서 프로세스 헤드는 일 차원에서 이동가능하고 어셈블리는 일 또는 그 이상의 차원으로 이동가능하다. 이동가능한 어셈블리는 타겟, 샤워헤드 또는 저온 플라즈마 헤드 중 하나를 포함할 수 있다. 외벽 및 내벽은 서로에 대해 독립적으로 Z 방향으로 이동할 수 있다. 외벽은 일 실시예에서 기판의 표면 위에 배치된 마스크에 접촉한다.
본 발명의 다른 양상에서, 기판 상의 다수-영역 프로세싱 방법이 제공된다. 상기 방법은 영역을 처리하기 위해 기판의 영역 위에 배치된 내부 도관을 통해 유체를 흘리는 단계 및 흘리는 단계와 동시 발생적으로 내부 도관을 둘러싸는 영역으로부터 유체를 배출하는 단계를 포함한다. 억제 유체는 내부 도관 및 상기 내부 도관을 둘러싸는 영역 둘 모두를 둘러싸는 외부 도관을 통해 흐르는 반면, 내부 도관에 의해 정의된 기판 상의 영역으로 실질적으로 균일한 프로세싱을 제공한다. 상기 방법은 연속적, 연속-평행적, 또는 평행 방식 중 하나로 기판의 서로 다른 영역에 전술한 방법을 각각 반복하는 단계 및 수반하여, 종래의 풀 웨이퍼 프로세스를 통해 기판을 처리하는 단계를 포함한다. 상기 방법은 내부 도관 및 외부 도관을 포함하는 프로세스 헤드 어셈블리를 이동시키는 단계를 포함하여, 내부 도관은 증착 헤드의 방사상의 연계 및 기판의 회전을 통해 기판의 다음 부분에 배치된다. 상기 방법은 외부 도관의 저면과 기판의 표면이 접촉하는 단계를 포함한다. 일 실시예에서 전술한 이동 단계는 프로세스 헤드의 회전 및 기판의 선형적 이동을 포함한다. 영역은 프로세스 헤드에 의해 정의될 수 있다.
본 발명의 다른 양상에서, 기판의 사이트 고립된 영역을 처리하는 방법이 제공된다. 상기 방법은 샤워헤드 어셈블리와 기판의 사이트 고립된 영역 사이에 정의된 처리 영역의 체적을 변경하는 단계 및 샤워헤드를 통해 증착 유체를 흘리는 단계를 포함한다. 박막은 기판의 사이트 고립된 영역 상에 증착되고 초과하는 증착 유체 및 증착 부산물은 증착과 동시 발생적으로 샤워헤드 어셈블리를 둘러싸는 영역을 통해 제거된다. 처리 영역의 체적은 일 실시예에서 기판의 표면에 대해 샤워헤드 어셈블리를 이동시킴으로써 조절될 수 있다. 플라즈마는 처리 영역에 생성되어 증착 물질을 기판의 표면 상에 생성할 수 있다. 샤워헤드를 둘러싸는 영역에 대한 진공 상태는 일 실시예에서 처리 영역으로부터 유체를 포함시키거나 제거하도록 적용될 수 있다. 전술한 각각의 방법 동작은 다음 번 사이트 고립된 영역에 대해 반복될 수 있다. 일 실시예에서, 영역은 유사하게 처리되거나 또는 샤워헤드와 기판 간의 서로 다른 공간에서 처리되고, 서로 다른 공간은 일 예시적인 실시예에서 샤워헤드 어셈블리의 이동 또는 내벽을 둘러사는 외벽 내에서 샤워헤드 어셈블리를 지지하는 내벽의 이동 중 어느 하나에 의해 결정된다.
본 발명의 다른 양상에서, 반응 챔버 내에 배치된 회전하는 프로세스 헤드를 포함하는 반응 챔버가 제공된다. 회전하는 프로세스 헤드는 프로세스 헤드 및 회전하는 프로세스 헤드 아래에서 기판을 지지하도록 구성된 기판 지지부의 축과 다른 축을 기준으로 회전한다. 기판 지지부는 기판을 프로세싱 헤드의 축과 직교하는 평면 방향으로 이동시키도록 구성된다.
다른 실시예에서, 기판의 다수의 고립된 영역을 처리할 수 있는 반도체 프로세싱 챔버가 제공된다. 챔버는 고립된 영역들 중 어느 하나로의 밀봉체(enclosure)를통해 처리 유체를 흘리는 수단 및 처리 유체가 프로세스 및 억제 수단 사이에 정의된 영역으로부터 탈출하는 것을 방지하도록 배리어를 제공하기 위해 억제 유체를 흘리는 억제 수단을 포함한다. 또한, 프로세스 및 억제 유체를 흘림과 동시 발생적으로 영역으로부터 유체를 배출시키는 배출 수단을 포함하여, 고립된 영역 중 하나는 실질적으로 균일한 프로세싱에 제공된다. 챔버는 이에 수반하여 종래의 풀 웨이퍼 프로세스를 통해 기판을 처리하는 수단을 포함한다. 반도체 프로세싱 챔버는 또한 고립된 영역들 중 다음 영역 위로 밀봉체를 이동시키는 수단을 더 포함한다. 일 실시예에서, 이동 수단은 밀봉체의 방사상 연계 수단 및 기판 회전 수단을 포함한다. 챔버는 밀봉체 및 상기 고립된 영역들 중 하나 사이에 정의된 처리 체적을 변경하는 수단을 포함하낟. 이동 수단은 밀봉체를 회전시키는 수단 및 기판의 선형적 이동을 위한 수단을 포함할 수 있다. 일 실시예에서, 반도체 프로세싱 챔버는 ALD를 수행한다. 처리 유체를 흘리는 처리 수단, 억제 수단 및 배출 수단은 서로에 대해 동심원적으로 위치된 유체 채널을 구비할 수 있다.
다른 실시예에서, 반도체 프로세싱 시스템이 제공된다. 시스템은 프레임 주변에 클러스터링된 복수의 프로세싱 모듈을 포함하며, 상기 복수의 프로세싱 모듈들 중 적어도 하나는 사이트 고립된 증착을 위한 이동가능한 증착 헤드를 포함하며, 이동가능한 증착 헤드는 처리 영역을 정의하는 내벽을 구비한다. 이동가능한 프로세스 헤드는 방사상으로 연계된 암에 부착된다. 이동가능한 증착 헤드는 내벽 내 처리 영역 위에 배치되는 정의된 이동가능한 어셈블리를 포함하여, 다수의 프로세싱 영역들은 하나의 기판 상에서형성된다. 이동가능한 증착 헤드는 내벽의 외측 면의 아래 부분을 둘러싸는 외벽을 더 포함한다. 방사상으로 연계된 암은 이동가능한 증착 헤드를 처리될 기판의 표면에 대해 수직 방향으로 이동시키도록 구성된다. 시스템은 이동가능한 증착 헤드 하에서 기판을 지지하도록 구성되는 기판 지지부를 포함한다. 기판 지지부는 기판을 프로세싱 헤드의 축에 직교하는 평면 방향으로 이동시키도록 구성된다. 이동가능한 증착 헤드는 이동가능한 증착 헤드 아래의 기판을 지지하도록 구성된 기판 지지부의 축과 상이한 축을 기준으로 회전하도록 구성된다. 시스템은 ALD를 위한 프로세스 헤드를 구비하는 추가적인 프로세싱 모듈을 포함할 수 이TEk. 프로세스 헤드는 기체를 기판의 표면으로 전달하도록 구성된 제 1 도관 및 상기 제 1 도관을 둘러싸는 외벽에 의해 부분적으로 정의된 제 2 도관을 포함한다. 제 2 도관은 기체의 배출을 제공하도록 구성되며, 외벽은 제 2 도관에 의해 정의된 내벽 내에 기체를 포함하도록 배리어로서 기능한다. 시스템은 제 2 도관을 둘러싸는 제 3 도관을 포함할 수 있다. 제 3 도관은 기체가 제 3 도관의 경계 바깥으로 흐르지 않도록 유체 배리어를 제공하도록 구성되며, 제 1, 제 2 및 제 3 도관은 동심원적으로 배치된다.
다른 실시예에서, 기판을 결합식으로 처리하는 방법이 제공된다. 상기 방법은 독립적으로 이동가능한 프로세스 헤드를 구비하는 프로세스 헤드 어셈블리를 사용하여 기판의 제 1 영역을 고립시키는 단계 및 상기 제 1 영역 내에서 기판의 일부분을 처리하는 단계를 포함한다. 상기 방법은 프로세스 챔버 내의 프로세스 헤드 어셈블리를 기판의 제 2 영역으로 이동시키는 단계 및 상기 제 2 영역 내에서 기판의 일 부분을 처리하는 단계를 포함한다. 프로세스 헤드 어셈블리를 이동시키는 단계는 기판의 표면 위의 프로세스 헤드 어셈블리를 제 2 영역으로 방사상으로 이동시키는 단계를 포함하고, 프로세스 헤드의 축과 다른 축을 중심으로 프로세스 헤드 어셈블리를 회전시키는 단계를 포함하며, 및/또는 프로세스 헤드 어셈블리를 이동시키는 단계는 프로세스 헤드와 기판 사이에 정의된 프로세싱 영역의 체적을 조절하는 단계를 포함한다. 선형 또는 방사상 이동은 기판의 일부분의 프로세싱 간에 기판에 대해 제공된다.
다른 실시예에서, 반도체 프로세싱 챔버가 제공된다. 챔버는 프로세싱 챔버 내에 배치된 증착 헤드를 이동시키는 수단을 포함하며, 증착 헤드는 기판의 다수의 영역들을 처리할 수 있다. 이동 수단은 기판 위에서 방사상 이동을 제공하고 방사상 이동은 증착 헤드의 축과 다른 축에 대해 이루어진다. 챔버는 증착 헤드 아래에 배치된 기판을 선형적으로 이동시키거나 회전시키는 것 중 어느 하나를 수행하는 수단을 포함한다. 일 실시예에서, 방사상 이동은 기판의 반경 위에서 이동 범위를 가진다.
다른 실시예에서, 기판의 처리 영역을 정의하는 내벽을 구비하는 사이트 고립된 증착을 위한 이동가능한 프로세스 헤드, 내벽 내 처리 영역 위에 배치된 이동가능한 어셈블리는 정의되어 다수의 처리 영역이 기판 상에서 형성되고, 외벽은 이격되어 있고 내벽의 아래 부분을 둘러싸도록 형성된다. 일 실시예에서, 외벽의 저면은 내벽의 저면을 넘어 연장하고 시스템은 외벽과 내벽 사이에 정의된 동공과 유체를 교환하는 진공 소스를 포함하여 처리 영역으로부터 하나 또는 그 이상의 배출구를 제공한다. 어셈블리는 프로세스 헤드의 나머지에 독립적으로 이동가능할 수 있으며, 프로세스 헤드는 적어도 두 차원으로 이동가능하고, 어셈블리는 일 차원으로 이동가능하다. 이동가능한 어셈블리는 일 실시예에서 타겟, 샤워헤드 또는 저온 플라즈마 헤드 중 어느 하나이다. 프로세스 헤드는 처리 영역 내에서 레이어를 증착하도록 구성되는 증착 헤드일 수 있다. 외벽 및 내벽은 서로에 대해 독립적으로 기판의 표면에 대해 수직 방향으로 이동하고, 외벽의 아래 부분은 기판의 표면에 대해 압박될 수 있다.
본 발명의 일부를 형성하는 여기에 기술된 동작 중 임의의 동작은 유용한 기계 동작이다. 본 발명은 또한 이러한 동작들을 수행하는 장치에 관한 것이다. 상기 장치는 요구되는 목적을 위해 특별히 구성될 수 있거나, 또는 상기 장치는 선택적으로 구동되거나 컴퓨터에 저장된 컴퓨터 프로그램에 의해 구성되는 범용 기계일 수 있다. 특히, 다양한 범용 기계장치는 여기에 개시된 바에 따라 작성된 컴퓨터 프로그램과 함께 사용되거나, 또는 요구되는 동작을 수행하기 위해 보다 특수화된 장치를 구성하는 것이 더 편리할 수 있다.
비록 전술한 발명은 분명한 이해를 위한 목적으로 다소 상세하게 기술되었으나, 특정 변경 및 변형이 추가된 청구범위의 범위 내에서 실현될 수 있음은 분명할 것이다. 따라서, 본 실시예는 설명적이며 제한적이지 않은 것으로 고려될 것이며, 본 발명은 여기에 제시된 세부사항으로 제한되지 않으며, 추가된 청구범위의 범위 및 균등범위 내에서 변경될 수 있다. 청구범위에서, 구성요소 및/또는 단계는 청구범위에서 명시적으로 언급되지 않는 한, 동작의 임의의 특정 순서를 함축하지 않는다.
100: 반응 챔버
102: 기판 지지부
104: 프로세스헤드
108: 유체 서플라이
110: 컨트롤러
112: 기판
114: 구동부
116: 파워 서플라이

Claims (18)

  1. 기판에서 사이트가 고립된 영역(site isolated region)을 처리하는 방법에 있어서,
    증착 헤드 및 상기 기판의 사이트가 고립된 영역 사이에 정의된 처리 영역의 체적(volume)을 변경하는 단계;
    상기 기판의 사이트가 고립된 영역 상에서 건식 프로세스를 수행하는 단계; 및
    상기 프로세스와 동시 발생적으로 증착 헤드 어셈블리의 경계를 둘러싸는 영역을 통해 상기 처리 영역을 비우는 단계;
    를 포함하는 기판 처리 방법.
  2. 제 1항에 있어서,
    균일한 박막은 상기 처리 영역 내의 상기 사이트가 고립된 영역 상에 증착되고, 상기 증착 헤드는 타겟 또는 샤워헤드 중 어느 하나인 기판 처리 방법.
  3. 제 1항에 있어서,
    상기 증착 헤드 어셈블리는 상기 처리 영역의 경계를 정의하는 내벽을 포함하고, 상기 변경 단계는 상기 내벽이 정지되어 있는 동안 상기 증착 헤드를 이동시키는 단계를 포함하는 기판 처리 방법.
  4. 제 1항에 있어서,
    상기 경계를 둘러싸는 영역을 정의하는 외벽을 상기 내벽의 하부보다 상기 기판에 더 가까이 위치시키는 단계를 더 포함하는 기판 처리 방법.
  5. 제 1항에 있어서,
    다수의 사이트가 고립된 영역들은 상기 증착 헤드와 상기 기판 사이의 공간을 서로 다르게 하여 유사하게 처리되는 기판 처리 방법.
  6. 기판을 지지하도록 구성된 기판 지지부;
    상기 기판 지지부 위에 배치된 프로세스 헤드로서, 상기 프로세스 헤드는 상기 기판의 표면에 대해 수직 방향으로 이동가능하며, 상기 프로세스 헤드는 상기 기판의 일부분 위의 처리 영역을 정의하는 프로세스 헤드 어셈블리 내에 포함되며, 상기 프로세스 헤드는 상기 프로세스 헤드 어셈블리 내에서 이동가능한 반도체 프로세싱 챔버.
  7. 제 6항에 있어서,
    상기 프로세스 헤드는 상기 프로세스 헤드 어셈블리의 내벽 내에 배치되고, 쉴드는 상기 내벽 중 적어도 아래 부분으로부터 이격되어 그를 둘러싸는 반도체 프로세싱 챔버.
  8. 제 7항에 있어서,
    상기 내벽은 상기 처리 영역의 체적을 변경하도록 상기 프로세스 헤드와 결합하여 상기 쉴드에 대해 수직 방향으로 이동가능한 반도체 프로세싱 챔버.
  9. 제 7항에 있어서,
    상기 프로세스 헤드는 상기 처리 영역의 체적을 변경하도록 상기 내벽에 대해 이동가능한 반도체 프로세싱 챔버.
  10. 제 6항에 있어서,
    상기 프로세스 헤드는 내측 링에서 증착을 가능하게 하고 상기 기판의 일부분을 처리하는 동안 외측 링을 통해 유체의 제거를 가능하게 하는 동심원으로 구성된 링들을 포함하는 샤워헤드인 반도체 프로세싱 챔버.
  11. 제 6항에 있어서,
    상기 프로세스 헤드 어셈블리는 방사 방향(radial direction)으로 이동하고 상기 기판 지지부는 축을 중심으로 회전하는 반도체 프로세싱 챔버.
  12. 제 6항에 있어서,
    상기 프로세스 헤드 어셈블리는 상기 기판 지지부의 축과 다른 축을 중심으로 회전하고, 상기 기판 지지부는 상기 챔버 내에서 선형적으로 이동하는 반도체 프로세싱 챔버.
  13. 제 7항에 있어서,
    상기 쉴드 및 상기 내벽은 서로 간에 독립적으로 수직 방향으로 이동하는 반도체 프로세싱 챔버.
  14. 제 6항에 있어서,
    다수의 프로세스 헤드들은 상기 기판 위에 배치되고, 상기 다수의 프로세스 헤드들 중 어느 하나는 상기 다수의 프로세스 헤드들 중 다른 하나와 상이한 프로세스 동작을 수행하도록 구성되는 반도체 프로세싱 챔버.
  15. 사이트가 고립된 반도체 제조 동작을 위한 프로세스 헤드에 있어서,
    상기 프로세스 헤드를 포함하는 프로세스 헤드 어셈블리를 사용하여 기판의 표면 위에서 사이트가 고립된 영역(site isolated region)을 정의하는 수단;
    상기 프로세스 헤드, 상기 프로세스 헤드 어셈블리 및 상기 기판의 표면 위의 상기 사이트가 고립된 영역 사이에 정의된 처리 영역의 체적을 조절하는 수단;
    상기 기판의 표면 위의 상기 사이트가 고립된 영역 상에서 프로세스를 수행하는 수단; 및
    상기 프로세스를 수행하는 동안 상기 처리 영역을 비우는 수단;
    을 포함하는 프로세스 헤드.
  16. 제 15항에 있어서,
    상기 조절 수단은 상기 프로세스 헤드를 상기 기판의 표면에 대해 이동시키고, 상기 비움 수단은 상기 프로세스 헤드 어셈블리 및 상기 사이트가 고립된 영역에 인접한 영역에 대해 진공 상태를 적용하는 수단을 포함하는 프로세스 헤드.
  17. 제 15항에 있어서,
    상기 프로세스 헤드 어셈블리의 하부를 둘러싸는 벽을 상기 프로세스 헤드 어셈블리에 대해 독립적으로 이동시키는 수단; 및
    복수의 사이트가 고립된 영역들에 대해 상기 프로세스 헤드와 상기 기판 사이의 공간을 서로 다르게 하여 다수의 사이트 고립된 영역들을 처리하는 수단;
    을 더 포함하는 프로세스 헤드.
  18. 제 15항에 있어서,
    상기 기판의 표면 위에 상기 사이트가 고립된 영역을 정의하는 수단은 상기 프로세스 헤드의 내벽이고, 상기 처리 영역을 비우는 수단은 상기 내벽의 아래 부분으로부터 이격되고 그를 둘러싸는 외벽을 통해 진공 상태를 적용하는 프로세스 헤드.
KR1020107007200A 2007-09-06 2008-09-05 다중-영역 프로세싱 시스템 및 헤드 KR101534886B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US97050007P 2007-09-06 2007-09-06
US60/970,500 2007-09-06
US11/965,689 US8039052B2 (en) 2007-09-06 2007-12-27 Multi-region processing system and heads
US11/965,689 2007-12-27
PCT/US2008/075303 WO2009032960A1 (en) 2007-09-06 2008-09-05 Multi-region processing system and heads

Publications (2)

Publication Number Publication Date
KR20100068411A true KR20100068411A (ko) 2010-06-23
KR101534886B1 KR101534886B1 (ko) 2015-07-07

Family

ID=40429341

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107007200A KR101534886B1 (ko) 2007-09-06 2008-09-05 다중-영역 프로세싱 시스템 및 헤드

Country Status (7)

Country Link
US (3) US8039052B2 (ko)
EP (1) EP2186116A4 (ko)
JP (1) JP5357159B2 (ko)
KR (1) KR101534886B1 (ko)
CN (1) CN101842874B (ko)
TW (1) TWI407497B (ko)
WO (1) WO2009032960A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160137368A (ko) * 2015-05-20 2016-11-30 가부시기가이샤 디스코 플라즈마 에칭 장치
KR20200050662A (ko) * 2018-11-02 2020-05-12 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
KR20210097029A (ko) * 2020-01-29 2021-08-06 캐논 톡키 가부시키가이샤 성막 장치 및 전자 디바이스 제조 장치

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
US8882917B1 (en) * 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US20100075051A1 (en) * 2008-09-24 2010-03-25 Curtis William Darling Method and apparatus for a shield blade
US20100178433A1 (en) * 2009-01-14 2010-07-15 Gm Global Technology Operations, Inc. Method and apparatus for applying bonding adhesive
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
TWI458557B (zh) * 2009-11-26 2014-11-01 Hon Hai Prec Ind Co Ltd 噴塗遮蔽結構及採用該結構之噴塗遮蔽方法
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
DE102009060649A1 (de) * 2009-12-22 2011-06-30 EISENMANN Anlagenbau GmbH & Co. KG, 71032 Anlage zur Oberflächenbehandlung von Gegenständen
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
TW201200614A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating device
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
FI20105908A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
ITMO20100263A1 (it) * 2010-09-21 2012-03-22 Vincenzo Rina Apparecchiatura per la verniciatura di scafi di imbarcazioni navali o simili
US8188575B2 (en) 2010-10-05 2012-05-29 Skyworks Solutions, Inc. Apparatus and method for uniform metal plating
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
GB201102337D0 (en) 2011-02-09 2011-03-23 Univ Ulster A plasma based surface augmentation method
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8715518B2 (en) * 2011-10-12 2014-05-06 Intermolecular, Inc. Gas barrier with vent ring for protecting a surface region from liquid
US20130025688A1 (en) * 2011-07-28 2013-01-31 Intermolecular, Inc. No-Contact Wet Processing Tool with Fluid Barrier
CN103031535B (zh) * 2011-09-28 2015-12-09 核心能源实业有限公司 薄膜工艺设备及其制作方法
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8617409B2 (en) * 2011-11-22 2013-12-31 Intermolecular, Inc. Magnetically levitated gas cell for touchless site-isolated wet processing
US20130136864A1 (en) * 2011-11-28 2013-05-30 United Technologies Corporation Passive termperature control of hpc rotor coating
US8663977B2 (en) * 2011-12-07 2014-03-04 Intermolecular, Inc. Vertically retractable flow cell system
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
WO2013148446A1 (en) * 2012-03-29 2013-10-03 Synos Technology, Inc. Scanning injector assembly module for processing substrate
US20130323422A1 (en) * 2012-05-29 2013-12-05 Applied Materials, Inc. Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
FI124298B (en) * 2012-06-25 2014-06-13 Beneq Oy Device for treating substrate surface and nozzle head
US8663397B1 (en) 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
EP2935643B1 (en) * 2012-12-21 2018-08-01 Doosan Fuel Cell America, Inc. Deposition cloud tower with adjustable field
FI126043B (en) 2013-06-27 2016-06-15 Beneq Oy Method and device for coating a surface of a substrate
US10155244B2 (en) * 2013-09-16 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fluid deposition appartus and method
JP2015100761A (ja) * 2013-11-26 2015-06-04 曙ブレーキ工業株式会社 支持具、粉体塗布システム、粉体塗布方法、及びキャリパ
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9209062B1 (en) * 2014-05-28 2015-12-08 Spintrac Systems, Inc. Removable spin chamber with vacuum attachment
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
FR3058424B1 (fr) * 2016-11-10 2022-06-10 Bnl Eurolens Installation de depot par evaporation d'un revetement sur des articles
CN110770365A (zh) 2017-06-21 2020-02-07 皮考逊公司 衬底处理装置和方法
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
US20200135464A1 (en) * 2018-10-30 2020-04-30 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
US12029133B2 (en) 2019-02-28 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning
KR102673983B1 (ko) * 2019-03-15 2024-06-12 주식회사 케이씨텍 기판 처리 장치
CN114144540B (zh) 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN111778552B (zh) * 2020-08-03 2021-10-08 中国科学院长春光学精密机械与物理研究所 一种mocvd组合喷淋头及mocvd设备

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3641973A (en) * 1970-11-25 1972-02-15 Air Reduction Vacuum coating apparatus
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5171360A (en) * 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
JP2872891B2 (ja) * 1993-08-06 1999-03-24 株式会社東芝 気化装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
US5529815A (en) * 1994-11-03 1996-06-25 Lemelson; Jerome H. Apparatus and method for forming diamond coating
JP3276278B2 (ja) * 1994-12-08 2002-04-22 キヤノン株式会社 記録液定着装置およびこれを具備する液体噴射記録装置
DE4445985A1 (de) * 1994-12-22 1996-06-27 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur Belackung oder Beschichtung eines Substrats
US5811021A (en) * 1995-02-28 1998-09-22 Hughes Electronics Corporation Plasma assisted chemical transport method and apparatus
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3278714B2 (ja) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
WO1998050916A1 (en) * 1997-05-08 1998-11-12 Matsushita Electric Industrial Co., Ltd. Device and method for manufacturing an optical recording medium
JPH1150237A (ja) * 1997-07-30 1999-02-23 Toshiba Glass Co Ltd 真空成膜装置
JP4003273B2 (ja) * 1998-01-19 2007-11-07 セイコーエプソン株式会社 パターン形成方法および基板製造装置
US6261365B1 (en) * 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6368665B1 (en) * 1998-04-29 2002-04-09 Microcoating Technologies, Inc. Apparatus and process for controlled atmosphere chemical vapor deposition
US6673155B2 (en) * 1998-10-15 2004-01-06 Tokyo Electron Limited Apparatus for forming coating film and apparatus for curing the coating film
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6468350B1 (en) * 1999-08-27 2002-10-22 Stephen J. Hillenbrand Mobile coater apparatus
US6245392B1 (en) * 1999-08-27 2001-06-12 Stephen J. Hillenbrand Coater apparatus and method
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
JP2001276702A (ja) * 2000-03-28 2001-10-09 Toshiba Corp 成膜装置及び成膜方法
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
GB0019848D0 (en) * 2000-08-11 2000-09-27 Rtc Systems Ltd Apparatus and method for coating substrates
US6746539B2 (en) * 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6884294B2 (en) * 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030116432A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Adjustable throw reactor
US20060127599A1 (en) * 2002-02-12 2006-06-15 Wojak Gregory J Process and apparatus for preparing a diamond substance
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
EP1556902A4 (en) * 2002-09-30 2009-07-29 Miasole MANUFACTURING DEVICE AND METHOD FOR PRODUCING THIN FILM SOLAR CELLS IN A LARGE SCALE
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004152702A (ja) * 2002-10-31 2004-05-27 Applied Materials Inc マイクロ波イオン源
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7380690B2 (en) * 2003-01-17 2008-06-03 Ricoh Company, Ltd. Solution jet type fabrication apparatus, method, solution containing fine particles, wiring pattern substrate, device substrate
CN100392828C (zh) * 2003-02-06 2008-06-04 株式会社半导体能源研究所 显示装置的制造方法
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
EP1491653A3 (en) 2003-06-13 2005-06-15 Pioneer Corporation Evaporative deposition methods and apparatus
JP2005002450A (ja) * 2003-06-13 2005-01-06 Pioneer Electronic Corp 蒸着方法、蒸着ヘッド、及び有機エレクトロルミネッセンス表示パネルの製造装置
JP4124046B2 (ja) * 2003-07-10 2008-07-23 株式会社大阪チタニウムテクノロジーズ 金属酸化物被膜の成膜方法および蒸着装置
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100958573B1 (ko) * 2003-10-06 2010-05-18 엘지디스플레이 주식회사 액정표시패널의 제조장치 및 제조방법
JP4258345B2 (ja) 2003-10-20 2009-04-30 セイコーエプソン株式会社 蒸着装置、有機エレクトロルミネッセンスパネルおよび蒸着方法
US7439208B2 (en) * 2003-12-01 2008-10-21 Superconductor Technologies, Inc. Growth of in-situ thin films by reactive evaporation
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
JP4169719B2 (ja) * 2004-03-30 2008-10-22 Hoya株式会社 レジスト膜付基板の製造方法
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7780821B2 (en) * 2004-08-02 2010-08-24 Seagate Technology Llc Multi-chamber processing with simultaneous workpiece transport and gas delivery
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
JP4676366B2 (ja) * 2005-03-29 2011-04-27 三井造船株式会社 成膜装置
JP2006322016A (ja) * 2005-05-17 2006-11-30 Konica Minolta Holdings Inc 真空蒸着方法および真空蒸着装置
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
JP4527660B2 (ja) * 2005-06-23 2010-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN1891848A (zh) * 2005-07-01 2007-01-10 鸿富锦精密工业(深圳)有限公司 光学镀膜装置
US20080245770A1 (en) * 2005-10-11 2008-10-09 Aviza Technology Limited Positive Displacement Pumping Chamber
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR20080075441A (ko) * 2005-12-06 2008-08-18 신메이와 인더스트리즈,리미티드 플라즈마 성막장치
ES2361661T3 (es) * 2006-03-26 2011-06-21 Lotus Applied Technology, Llc Dispositivo y procedimiento de deposición de capas atómicas y método de revestimiento de substratos flexibles.
WO2007129551A1 (ja) * 2006-05-01 2007-11-15 Ulvac, Inc. 印刷装置
TW200816880A (en) * 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
JP5276420B2 (ja) * 2008-01-31 2013-08-28 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
DE112009002468B4 (de) * 2008-11-14 2020-01-02 Ulvac, Inc. Dünnschicht-Niederschlagsvorrichtung, organische EL-Element-Herstellungsvorrichtung und organische Dünnschicht-Niederschlagsverfahren
KR101067608B1 (ko) * 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
JP5439097B2 (ja) * 2009-09-08 2014-03-12 東京応化工業株式会社 塗布装置及び塗布方法
JP5469966B2 (ja) * 2009-09-08 2014-04-16 東京応化工業株式会社 塗布装置及び塗布方法
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5705495B2 (ja) * 2010-10-07 2015-04-22 株式会社日立ハイテクノロジーズ プラズマの処理方法及びプラズマ処理装置
US8728241B2 (en) * 2010-12-08 2014-05-20 Intermolecular, Inc. Combinatorial site-isolated deposition of thin films from a liquid source
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US20120238075A1 (en) * 2011-03-09 2012-09-20 Tokyo Ohka Kogyo Co., Ltd. Coating apparatus and coating method
US8906207B2 (en) * 2011-04-06 2014-12-09 Intermolecular, Inc. Control of film composition in co-sputter deposition by using collimators
US20130130509A1 (en) * 2011-11-21 2013-05-23 Intermolecular, Inc. Combinatorial spot rastering for film uniformity and film tuning in sputtered films
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
US8582105B1 (en) * 2012-06-14 2013-11-12 Intermolecular, Inc. Method and apparatus for leak detection in H2Se furnace

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160137368A (ko) * 2015-05-20 2016-11-30 가부시기가이샤 디스코 플라즈마 에칭 장치
KR20200050662A (ko) * 2018-11-02 2020-05-12 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
KR20210097029A (ko) * 2020-01-29 2021-08-06 캐논 톡키 가부시키가이샤 성막 장치 및 전자 디바이스 제조 장치

Also Published As

Publication number Publication date
CN101842874A (zh) 2010-09-22
EP2186116A1 (en) 2010-05-19
CN101842874B (zh) 2011-12-14
JP2010538168A (ja) 2010-12-09
US20090068849A1 (en) 2009-03-12
EP2186116A4 (en) 2016-10-26
JP5357159B2 (ja) 2013-12-04
US8770143B2 (en) 2014-07-08
TW200939323A (en) 2009-09-16
WO2009032960A1 (en) 2009-03-12
TWI407497B (zh) 2013-09-01
US20140311408A1 (en) 2014-10-23
US20110209663A1 (en) 2011-09-01
KR101534886B1 (ko) 2015-07-07
US8039052B2 (en) 2011-10-18

Similar Documents

Publication Publication Date Title
KR101534886B1 (ko) 다중-영역 프로세싱 시스템 및 헤드
JP5500593B2 (ja) 組み合わせ処理システム
KR20180029915A (ko) 기판 처리 장치
US8821987B2 (en) Combinatorial processing using a remote plasma source
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
US11131022B2 (en) Atomic layer self aligned substrate processing and integrated toolset
US20140179113A1 (en) Surface Treatment Methods and Systems for Substrate Processing
KR20140057208A (ko) 조합식 및 풀 기판 스퍼터 증착 도구 및 방법
US8974649B2 (en) Combinatorial RF bias method for PVD
US8709270B2 (en) Masking method and apparatus
US20130156530A1 (en) Method and apparatus for reducing contamination of substrate
US8835329B2 (en) Reactor cell isolation using differential pressure in a combinatorial reactor
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20140179095A1 (en) Methods and Systems for Controlling Gate Dielectric Interfaces of MOSFETs
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
US20130146451A1 (en) Magnetic Confinement and Directionally Driven Ionized Sputtered Films For Combinatorial Processing
US20120168304A1 (en) Physical Vapor Deposition Tool with Gas Separation
US20140134849A1 (en) Combinatorial Site Isolated Plasma Assisted Deposition
US20130153415A1 (en) Combinatorial RF Biasing for Selectable Spot-Site Isolation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190704

Year of fee payment: 5