JP2010538168A - 複数領域処理システム及びヘッド - Google Patents

複数領域処理システム及びヘッド Download PDF

Info

Publication number
JP2010538168A
JP2010538168A JP2010524156A JP2010524156A JP2010538168A JP 2010538168 A JP2010538168 A JP 2010538168A JP 2010524156 A JP2010524156 A JP 2010524156A JP 2010524156 A JP2010524156 A JP 2010524156A JP 2010538168 A JP2010538168 A JP 2010538168A
Authority
JP
Japan
Prior art keywords
processing
substrate
head
region
processing head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010524156A
Other languages
English (en)
Other versions
JP5357159B2 (ja
Inventor
エンド,リック
ワイナー,カート
デ,インドラニル
ツン,ジェイムズ
チャオ,マオシェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of JP2010538168A publication Critical patent/JP2010538168A/ja
Application granted granted Critical
Publication of JP5357159B2 publication Critical patent/JP5357159B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/02Processes for applying liquids or other fluent materials performed by spraying
    • B05D1/08Flame spraying
    • B05D1/10Applying particulate materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4587Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially vertically
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/30Arrangements for collecting, re-using or eliminating excess spraying material comprising enclosures close to, or in contact with, the object to be sprayed and surrounding or confining the discharged spray or jet but not the object to be sprayed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B16/00Spray booths
    • B05B16/80Movable spray booths
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本発明の様々な実施形態が、基板及び処理ヘッドの相対移動を提供して、最小間隔でウェーハ全体にアクセスして、様々な基板領域に組合せ処理を誘導する。ヘッドは記載のチャンバ内で部分分離された処理を可能にし、同一物を用いる方法が記載される。
【選択図】図1

Description

半導体処理作業は蒸着処理を通して層を形成するステップ、ならびに、層を除去するステップ、特徴を規定するステップ(例えば、エッチング)、層を調製するステップ(例えば、洗浄)、ドーピングするステップ、あるいは基板での層の形成を要求しない他のプロセスを含む。更に、同様の処理技術が集積回路(IC)、半導体デバイス、フラットパネルディスプレィ、オプトエレクトロニクスデバイス、データストレージデバイス、磁気エレクトロニクスデバイス、磁気光学デバイス、パッケージデバイス等の生成に適用される。形状が小型化し続けるにつれて、蒸着処理について、材料、ユニットプロセス、又はプロセス順序における改善が求められ続ける。しかしながら、半導体企業は蒸着システムがこの処理スキームを支持するように設計される場合に、スプリットロットの使用を通して全体ウェーハ処理でR&Dを行う。このアプローチは、R&Dのコストの増大、及び適宜及びコスト効率のよい方法で大規模な実験を行うことができない結果となる。
勾配処理が更なる情報を提供するよう試みてきたが、勾配処置は数多くの欠点を受ける。勾配処理は従来の処理動作を示さない、規定された不均一性に依存し、ひいては従来の処理を模倣できない。更に、勾配処理下では、移動するマスク又はシャッターが一般的には、基板全体又は基板の一部にわたって異なる量の材料(又はドーパント)を蒸着するのに用いられる。このアプローチは更に、同時スパッタリング目的で用いられうる又は用いられない回転ラック式のターゲットを有する蒸着システムのために用いられる。これらのシステムの各々において、蒸着中の部位の均一性ならびに1以上の蒸着処理を行う場合の相互汚染の問題が、組合せ処理についてこれらの技術を比較的非効率にする。
従って、単一基板上の複数の異なるプロセス変化の評価を考慮する改良技術が、異なる材料、ユニットプロセス、又はプロセス順序の実行可能性をより有効に評価するよう提供される。
本発明の実施形態は、組合せ処理のための蒸着システム及び方法を提供する。本発明のいくつかの発明の実施形態は、以下に記載される。
本発明の一態様においては、蒸着システム内に配置された半径方向に連接する処理ヘッドを有する蒸着システムが提供される。半径方向に連接する処理ヘッドは、基板の領域上に材料の層を蒸着することができる。一実施形態においては、その領域は基板の部分分離された領域である。蒸着システムは、基板表面の上に配置された複数の半径方向に連接する蒸着ヘッドを含んでもよい。基板表面全体にアクセスするように、基板が静止する支持体は基板を回転又は直線移動させるよう構成される。処理ヘッドはコールドプラズマ動作用に用いることができ、蒸着ヘッドの底部は陰極として作用し、底部から延在する側壁を囲むシールドは陽極として作用する。別の実施形態においては、蒸着ヘッドのシャワーヘッドは基板表面からの距離について調整可能である。すなわち、シャワーヘッドはプロセス体積を調整するために、蒸着ヘッドの移動と独立してz方向に調整可能である。
本発明の別の態様においては、処理ヘッドは内側導管を通して基板表面に蒸着流体を送達するよう構成される、同心状に配置された導管を有し、第1の導管の外壁と第2の導管の内壁との間に規定される空胴を通して、蒸着流体用の排出を提供する。一実施形態においては、内側導管の底表面及び第2の導管の底表面は同一平面上である。処理ヘッドは第2の導管を囲む第3の導管を選択的に含む。第3の導管は蒸着流体が第3の導管の周縁部の外側を流れるのを防ぐ流体バリアを提供する。一実施形態においては、流体バリアとして作用する流体は、第2の導管を通して排出される。第1、第2及び第3の導管は共通軸周りで同心性である。
本発明の更に別の態様においては、部分分離された蒸着用の方法が提供される。本方法は、材料の領域を本明細書中に記載の処理ヘッドを通して基板上に蒸着する。一実施形態においては、気体の蒸着流体は基板の一部にわたって配置された内側導管を通して流動する。同時に、真空を内側導管を囲む規定された空洞に適用して、内側導管の底表面を通って、かつ、規定された空胴内に流体を取り除いてもよい。格納流体は、一実施形態においては内側導管と内側導管を包囲する領域との双方を囲む外側導管を通して選択的に流動してもよい。フィルムは基板の一部又は領域に蒸着され、これは別の基板の一部又は領域に対し反復されてもよい。別の実施形態においては、基板の部分分離された領域上にフィルムを蒸着する方法が提供される。この実施形態においては、シャワーヘッドアセンブリ内部のシャワーヘッドは、シャワーヘッドアセンブリと基板の部分分離された領域との間に規定された処理領域の体積を調整するように移動可能である。蒸着流体は調整されたシャワーヘッドを通して流動して、基板の部分分離された領域上にフィルムを蒸着する。一実施形態においては、余剰の蒸着流体及び蒸着副産物は真空をシャワーヘッドアセンブリを囲む限定領域に提供することによって除去される。従って、本明細書中に記載の実施形態を通して、基板上の複数の部分を並行、直列、又は並行及び直列の組合せで、組合せ処理して、代替的なプロセス順序、材料、プロセスパラメータ等でデータを提供してもよい。
本発明の他の態様は以下の詳細な説明で明らかとなり、添付の図面と組合わせて得られ、例として、本発明の原理を例示する。
本発明は、添付の図と連携する以下の詳細な説明によって容易に理解され、同様の引用番号は同様の構造要素を示す。
図1は、本発明の一実施形態による処理チャンバを示す簡略化した概略図である。 図2は、本発明の一実施形態による連接ヘッドの動作について更なる詳細を示す簡略化した概略図である。 図3は、本発明の一実施形態による図2のチャンバの上面図である。 図4Aは、本発明の一実施形態による、回転可能な処理ヘッドと移動可能な基板支持体とを有するシステムの簡略化した概略図であり、図4Bは図4Aの実施形態を通して可能となる、ある例示的な組合せ領域のパターンを示す簡略化した概略図である。 図5Aは、本発明の一実施形態による組合せ処理のために構成された処理ヘッドを示す簡略化した概略図であり、図5Bは、本発明の一実施形態による物理蒸着(PVD)処理のために利用されうる処理ヘッドを示す。 図6は、本発明の一実施形態による組合せ処理用の処理/蒸着ヘッドの上面図である。 図7は、図6の処理ヘッドの断面図を示す簡略化した概略図であり、図7−1はより詳細に図7の処理ヘッドの外側リングの底表面を示した簡略化した概略図である。 図8は、本発明の一実施形態による分離領域で組合せ処理された基板の簡略化した概略図である。 図9は、本発明の一実施形態によるシステムの処理チャンバにおいて組合せ処理のために構成された処理ヘッドを有する、統合型高生産性組合せ(high productivity combinatorial:HPC)システムを示す簡略化した概略図である。
本明細書中に記載の実施形態は、組合せ方法における基板処理のための方法及びシステムを提供する。しかしながら、本発明がこれらの特定の詳細の一部又は総てがなくとも実行できることは当該技術分野の当業者に明らかである。他の例においては、周知の処理動作は、本発明を不必要に不明瞭にしないように詳細に述べられていない。
以下に述べた実施形態は、組合せ方法で基板を処理できる、複数領域の処理システムと付随する処理ヘッドとのために詳細を提供する。従って、異なる基板の領域は異なる特性を有することができ、材料、ユニットプロセス(例えば、処理条件又はパラメータ)、及びプロセス順序等の変化に起因しうる。各領域内で、その条件は各領域内の従来の全体ウェーハ処理を模倣するよう実質的に均一であることが好ましいが、妥当な結果がこの要求なしに特定の実験で得ることができる。一実施形態においては、異なる領域間で相互拡散がないように、異なる領域が分離される。
更に、基板用の組合せ処理は実質的に基板全体が均一処理される(例えば、同一の材料、ユニットプロセス、及びプロセス順序を受ける)場合、基板用の組合せ処理は従来の処理技術と組合わされてもよい。従って、本明細書中に記載の実施形態は、生成プロセスの流れから基板を引き出し、組合せ蒸着処理を行い、更なる処理のために基板を生成プロセスの流れに戻すようにしてもよい。代替的に、基板は、中心チャンバの周りに取付けられた種々のチャンバで組合せ型及び従来型の双方の処理を可能にする、例えばクラスタツールといった統合型ツールで処理されてもよい。結果的に、一の基板において、変化したプロセスに関する情報、及び変化したプロセスの従来のプロセスとの相互作用が評価されうる。従って、多数のデータが所望のプロセスに対する単一の基板で利用可能である。
本明細書中に記載の実施形態は、蒸着を含み、物理蒸着(PVD)、化学蒸着(CVD)、原子層堆積(ALD)、リアクティブイオンエッチング(RIE)、コールドプラズマ蒸着、ならびにエッチング、ドーピング、表面改質又は表面処理(例えば、洗浄プロセス又は単層蒸着)のような他の用途等を含む、様々な用途を対象にする。以下に記載の実施形態が、基板の組合せ処理用に最適化された技術であることは更に理解すべきである。処理ヘッドを介して規定される(基板領域全体と比較される場合の)比較的小さな領域の移動は、基板の回転とともに基板表面全体へのアクセスを可能にする。代替的に、処理ヘッドは循環様式で回転してもよく、基板は、処理ヘッドによる表面全体へのアクセスを可能にするよう、相対的にx−y方向に移動させてもよい。他の実施形態においては、処理ヘッド及び基板の双方が軸周りで回転してもよく、この場合、軸は共通軸であってもなくてもよく、あるいは、処理ヘッド及び基板は双方とも直線上(XY平面)の様式で移動してもよい。単一のヘッド又は複数のヘッドは、直列(一度に1のヘッド)の、直列−並列又は高速直列(即時に、基板上の種々の領域をカバーするよう処理を繰り返す複数のヘッド)の、あるいは並列の(領域の総てを即時に処理するのに十分なヘッドを用いた)処理を可能にするよう、基板表面にわたって半径方向に走査できる移動可能なアーム上に含んでもよい。並列処理の実施形態においては、回転によって、回転を介した領域で異なる処理を可能にし、各処理ヘッドは異なる領域にわたる異なるプロセスを実装するか、あるいは、別の代替として、同一のプロセスは別々に処理された基板上の領域を生成するよう、基板の回転の信頼度を有する各処理ヘッド中に実装される。
一実施形態においては、移動可能なヘッドは、処理領域と称しうる基板の上側の分離領域中にプラズマを生成するよう構成され、これによってマスキングの必要を防止する。マスキングは一般的には要求されないが、本発明の様々な態様はマスクを更に扱い、いくつかの状況においては以下に述べる分離性能及び耐性を改善してもよい。別の実施形態においては、基板に対する部分分離されたALDを可能にするよう構成された移動可能なヘッドが提供される。当該技術分野の当業者はALD、CVD、及びPVDが蒸着処理に限定されないことを理解するであろう。例えば、ALDは一実施形態でドーピングプロセスを行うのに用いることができる。特に、蒸着サイクルごとに1の単層又はそれ未満を蒸着することによって、ALDプロセスはドーピングの形態として用いることができる。別の実施形態においては、PVD及び/又はALDプロセスは「エッチング(etch)」してもよい。当該技術分野の当業者は、プロセスガスを変化させることによって、例えば、プロセスガスが基板と反応する場合に基板上へ材料を蒸着するプロセスガスと比較して、エッチングプロセスが実行できることを理解するであろう。
図1は、本発明の一実施形態による反応チャンバを示す簡略化した概略図である。反応チャンバ100は基板支持体102と処理ヘッド104とを含む。基板支持体102は、静電チャック又は他のチャックであってもよく、回転するよう構成される。別の実施形態においては、基板支持体102はチャンバ100内で直線状に移動できる。処理ヘッド104は、基板112の表面の上側で半径方向に直線方向に連接するよう構成され、基板支持体102上に配置される。一実施形態においては、処理ヘッド104は基板112上で平面を2次元に移動してもよい。別の実施形態においては、ベロー106は処理ヘッド104が連接する場合に、チャンバの統合性を維持するように封止部を提供する。当該技術分野の当業者は、少なくとも180度回転するように基板支持体102を構成し、基板112の半径にわたり移動可能な処理ヘッド104を有することによって、基板112上の総ての位置が、組合せ処理のために処理ヘッド104にアクセス可能となる。一実施形態においては、基板支持体102は有効範囲の完全性を保証すべく少なくとも185度回転する。別の実施形態においては、基板支持体112は360度回転する。基板112の表面と平行に直線方向に移動するのに加えて、蒸着ヘッド104は処理すべき領域にわたってヘッドを配置し、及び/又は処理ヘッドの基板に対する高さを変えるために、基板支持体102上にある基板の表面に直交するZ方向に移動でき、以下に更に述べたように、順番に処理領域の体積を変える。この方法において、処理ヘッド104は、処理ヘッドと基板の表面との間に規定されるプロセス体積を調整するのに用いてもよい。
流体タンク(Fluid supply)108は流体を処理ヘッド104に送達するよう構成される。本質的に、流体タンク108は処理ヘッド104を介して行われた任意の蒸着処理に好適なプロセスガスを送達する。当然、処理ヘッド104の移動に対応すべく、流体タンク108からの送達ラインはフレキシブルであってもよい。駆動部114は反応チャンバ100内で処理ヘッド104の直線(XY)移動及び垂直(Z)移動を提供する。当該技術分野の当業者は、駆動部114が線形駆動部やウォームギヤ等の任意の好適な駆動部であってもよいことを理解するであろう。更に、駆動部114又は別個の駆動部が垂直移動を制御でき、直線移動から独立である。例示的な駆動部は親ねじ上のステッパ電動機、空気圧駆動部、サーボ駆動部、ラックアンドピニオン機構等によって駆動される直線摺動を含んでもよい。プラズマを生成するために、例えば、無線周波数(RF)、DCパルス、マイクロ波等の動力源116が処理ヘッド104に連結される。コントローラ110は中央処理装置(CPU)、メモリ、及び入力/出力機能を含み、チャンバ100内の処理を制御する。一実施形態においては、コントローラ110のメモリ内に含まれる手段は、チャンバ100内の処理用のCPUによって実行される。コントローラ110は、動力源116、駆動部114、流体タンク108、及び組合せ処理動作用の反応チャンバの他の態様を制御するよう構成される。別の実施形態においては、別個のコントローラを各構成用に利用してもよく、汎用コンピュータが手段を処理するのを介して、別個のコントローラの動作を制御してもよい。
図2は本発明の一実施形態による、連接する処理ヘッドの移動について更なる詳細を示す簡略化した概略図である。図2においては、図1のベローの代替的な封止機構が提供される。図2内では、処理ヘッド104は移動可能な頂部プレート124に固定されるアーム120(支柱とも称される)によって支持される。一実施形態においては、アーム120は頂部プレート124を通って延在し、アームの端部はZ方向の移動を提供する駆動部に連結される。封止部はアーム120と移動可能な頂部プレート124との間に維持されて、アームが基板表面に対して上昇及び下降するときに、及び、そうでない場合に移動するときに、処理チャンバの統合性を維持する。移動可能な頂部プレート124は軸受支持面128及びOリング126の上に摺動可能に配置できる。軸受支持面128はOリング126に過剰な圧力を生じさせないように、移動可能な頂部プレート124を誘導するチャンバ頂部122上に配置される表面である。一方の軸受面が図2で例示されるが、他方の軸受面が、開口部の両側で移動可能な頂部プレート124を支持するよう、チャンバ頂部122の開口部にわたって提供されてもよい。軸受面は玉軸受、空気圧式、水圧式等で構成してもよい。一実施形態においては、チャンバは例えば、10−8又は10−9トールの超高真空である一方、Oリング126の間の領域は、ミリトールの真空範囲までポンプダウンされる。Oリング126の間の空間のポンピングは、ポンプを用いて、Oリング126間に規定される空間へのアクセスを可能にするチャネル130を通じて得ることができる。例えば、チャネル130はチャンバの上側プレートを通して穿孔し、アクセスを可能にして、Oリング126間に規定される空間をポンピングしてもよい。
図3は、本発明の一実施形態による図2のチャンバの上面図である。図3においては、頂部の移動可能なプレート124はチャンバ内で処理ヘッドを支持する支柱120を含む。図3においては、摺動可能で移動可能なプレート124用の2の支柱120があるが、任意の数の支柱及び対応する処理ヘッドを摺動可能なプレートに配置してもよいことは理解すべきである。Oリング126は真空のチャンバと外気との間の封止部を提供する。移動可能なプレート124用の駆動部114は、組合せ処理でのウェーハ表面上での移動のために支柱120及び対応する蒸着ヘッドを連接するように、任意の好適な線形駆動部、ウォームギヤ等を含んでもよい。Z方向の移動のために支柱120の頂部に連結された更なる駆動部は、説明の簡略のために図示していない。処理ヘッド104は、蒸着動作を含み、物理蒸着(PVD)、化学蒸着(CVD)、原子層堆積(ALD)、及びエッチング、ドーピング、表面改質又は表面処理(例えば、洗浄プロセス又は単層蒸着)のような他の用途等を含む、様々な用途に関連する任意の数の異なる処理ヘッドにできる。処理ヘッドのいくつかの異なる実施形態は以下に詳細に述べられる。
図4Aは、本発明の一実施形態による回転可能な処理ヘッドと、移動可能な基板支持体とを有するシステムの簡略化した概略図である。処理ヘッド104はチャンバ100内のアーム120によって支持される。アーム120は垂直方向(Z分節)に移動し、軸周りを回転するよう構成される。処理ヘッド104の軸は、処理ヘッド104が回転する回転軸でオフセットされる。基板112は基板支持体102に配置される。基板支持体102は垂直方向(Z分節)ならびにXY平面の直線分節に移動するよう構成される。この方法においては、基板112の分離領域は組合せ処理してもよい。一実施形態においては、複数の処理ヘッドはアーム120に取付けてもよい。別の実施形態においては、複数のアームはチャンバ100内に提供してもよい。
図4Bは、図4Aの実施形態を通して可能となる、ある例示的な組合せ領域のパターンを示す簡略化した概略図である。基板112はそこに規定された分離領域109を有する。分離領域109は、材料、ユニットプロセス、又はプロセス順序のうちの1つ又は組合せが領域にわたり変化する組合せ処理される領域であってもよい。図4Bに例示されるように、トラック111は1の例示的な経路であり、図4Aの処理ヘッド104が後に続く。しかしながら、当該技術分野の当業者は、本明細書中に記載の実施形態が、図8に示した例示的なパターンのように、処理ヘッド104の回転移動及び基板支持体102の平面移動を通して多数の他のパターンを可能にすることを理解するであろう。
図5A及び5Bは、本発明の実施形態による組合せ処理のために構成された処理ヘッドを示す簡略化した概略図を示す。処理ヘッド104はいくつかの乾燥処理を可能にしてもよく、図5Bに示されるようなプラズマベースのシステム(例えば、PVD、その変形物、あるいはコールドプラズマ)又は図5Aに示したような他のガス状流体ベースのシステム(例えば、ALD又はCVD、あるいは同様の変形物)を含む。これらのヘッドの型は詳細に説明されるが、更なる処理スキームを支持する他のヘッドがこれらのヘッドで適用でき、あるいは、これらのヘッドを置換できる。処理ヘッド104は一実施形態によると円柱形状であるが、四辺形、楕円形、六角形等といったその他の幾何学形状であってもよい。基板上の処理領域は、部分分離された領域とも称され、処理ヘッドを介して、例えばブランケット又はパターン化された基板上に反応チャンバによって規定してもよく、あるいは、処理領域は基板上に事前に規定してもよい(例えば、試験用構造、ダイ、複数のダイ、又は他の技術を通して)。
図5Aで示されるように、側壁152はプロセス又は蒸着ヘッドの外壁を規定し、処理ヘッド104の頂部領域においては、バルブ154がプレナム156に対し流体を提供し、流体をシャワーヘッド158に分配する。シャワーヘッド156とプレナム158との組合せは、シャワーヘッドアセンブリ又は処理ヘッドアセンブリと称してもよい。シャワーヘッドアセンブリと側壁152との間の封止部は、Oリング160によって提供され、真空を破壊することなくシャワーヘッドアセンブリの移動を可能にする。シャワーヘッドアセンブリは更に、一実施形態においては側壁152に持続的に固定してもよい。この実施形態においては、側壁152は例えばOリングを通して、位置171で外壁170に、あるいはz方向の移動を可能にすべく摺動可能な平行移動を可能にする他の好適な封止部に、移動可能に封入できる。真空は処理領域162からプロセス副産物を除去するために外側領域168を介して適用してもよい。プレナム158及びシャワーヘッド156は双方とも、処理領域162内の処理体積を変えるために、基板164の表面に対し垂直方向に移動可能にしてもよい。シャワーヘッドアセンブリが移動する場合、外側シールド170及び側壁152は、基板164の領域にプラズマを分離するバリアを提供するよう静止状態のままである。当然、側壁152は移動可能であってもよく、それに伴い、シャワーヘッドアセンブリは静止状態、あるいは移動可能のいずれかにできる。代替的な実施形態においては、例えばアルゴン、窒素等の不活性ガスは、側壁152と、シャワーヘッドアセンブリと、基板の頂部表面との間に規定される、領域162に対する処理の分離を維持するのを助けるための環状空間168に供給してもよい。この代替的な実施形態においては、排気部は例えば、シャワーヘッドアセンブリの入口等の別の開口部といった別の機構、又は他の好適な技術を介して提供される。
図5Bは、本発明の一実施形態によるPVDプロセスのために利用できる処理ヘッドを示す。処理ヘッド104は図5Aについて記載されたのと同様の特徴を含み、重複するため、これらの特徴の一部は再度詳細には述べない。処理ヘッドアセンブリ157はPVDプロセス用のターゲットを含んでもよく、一方、ガス入口149はPVD処理用にガス源151からプロセスガスを送達する。プラズマは、基板164の表面に材料を蒸着するよう領域162内に与えることができ、それは、静電チャック166あるいは他の既知の基板支持体上に配置される。当該技術分野の当業者は、領域162内のプラズマが直流(DC)、DCパルス、無線周波数(RF)、電磁結合、マイクロ波等によって維持しうることを理解するであろう。領域168とも称され、外側シールド170内に規定される空胴は、プラズマ中のプラズマ領域蒸着チャンバより低圧力に維持されて、未使用の、あるいは反応した材料及びガスを封入又は収集する。
一実施形態においては、中心陰極は処理ヘッド104内に含まれ、例えば、処理ヘッドアセンブリ157の底部が陰極として機能し、例えば、コールドプラズマ動作を行う場合、外側シールド170が陽極として機能し、この場合、安定した気体のプラズマジェットは、ほぼ室温の大気圧で生成される。この実施形態においては、真空はプラズマ領域内に必要ない。更に一実施形態においては、チャンバ104全体が好適な動作圧力になりうる場合に、真空は外側シールド170内の空胴168に印加する必要なく、外側シールド170によって、処理材料が主チャンバ内で処理ヘッド104の外側に拡がるのを防いで、他の領域に影響を与えることを避けることは明確にすべきである。外側シールド170は、組合せ処理で要求されるように、電気的に流動又は接地してもよい。
更に別の実施形態においては、外側シールド170は基板の頂部表面に対し封止部を提供するように基板164の頂部表面に対し静止して、処理のために基板の領域を分離し、領域間の蒸着材料の相互拡散を防いでもよい。当然、領域162の体積が変更しうるように、外側シールド170は基板164の表面に対して直交に移動させてもよい。更に、基板支持体は一実施形態においては、垂直に基板を移動させてもよく、ならびに、基板を回転させてもよい。従って、領域162の体積は本明細書中に記載の実施形態の下で、数多くの技術を通じて調整できる。
図6は、本発明の一実施形態による組合せ処理用の処理/蒸着ヘッドの上面図である。処理ヘッド104は2又は選択的に3の同心リングを含む。オプションの外側リング180は更に内側リング184を囲む中間リング182を囲み、導管とも称される。図7によってより詳細に述べるように、組合せ処理動作中に基板の一部に層を蒸着するために、内側リング184内に規定される領域は、その下方に配置された基板の領域にわたってプロセスガスを流す。一実施形態においては、内側リング184内の領域は一般的な試験用ダイの大きさを収容すべく直径約43ミリメートルであるが、既知の試験用ダイ又は他の設計パラメータに基づき任意の大きさであってもよい。中間リング182と内側リング184との間に規定される領域は、内側リング184内に規定される蒸着領域から外に、ガスを排気又はポンピングするのに用いられる。すなわち、真空源は中間リング182の内表面と、内側リング184の導管の外壁との間の領域を排気するよう連結してもよい。
オプションの外側リング180と中間リング182との間の領域は、生成物を含み、かつ、蒸着ヘッドの下方に配置される基板の別の領域への混合を防ぐように、例えばアルゴン等の不活性ガスを流すのに用いてもよい。リング180が含まれない場合、リング182及び184によって規定される環状空間中の真空は、処理ヘッド104によって規定される領域中で実行中のプロセスがウェーハ上の他の領域に影響を与えるのを防ぐ。一部のガス又は他の流体が漏出しうるが、漏出するガスの量は実験に影響を与えない。リング180が含まれない場合、この更なる保護層が処理ヘッド自体の内部に提供される場合よりも、更に間隔を開けて基板上の領域が配置されてもよい。一実施形態においては、中間リング182の内表面と内側リング184の外表面との間の領域は約1ないし10ミリメートルである。別の例示的な実施形態においては、各々の同心リングの厚さは約1ないし5ミリメートルである。しかしながら、これらの実施形態は、厚さ及びリング間の距離が、用途及び実行中の処理に依存して任意の好適な厚さとなりうる場合に限定することを意味していない。材料構成は、ステンレス鋼やアルミニウムといった蒸着処理に好適な任意の材料であってもよい。
図7は、図6の処理ヘッドの断面図を示す簡略化した概略図である。図7においては、外側リング180は好ましくは、リング182又は184よりも小さく基板と分離しており、基板164の表面と接触してもよい。上に説明されるように、オプションの外側リング180は、リング182及び184のみが含まれる場合よりも、処理領域から他の基板の領域への漏出に対し更なる保護を提供する。図7に示されるように、プロセスガスは内側リング184内で流れ、中間リング182と内側リング184との間の中間領域を介して排気される。従って、ガスは内側リング184によって規定される、リング184の下部の領域175内で基板164の方向に流れ、内側リング184と中間リング182とによって規定される領域内で作用する真空によって排気される。処理領域は内側リング184によって規定されるが、中間リング182及びオプションの外側リング180はバッファ領域を提供する。内側リング184の底表面と基板の頂部表面とで規定されたプロセス体積は処理ヘッドを垂直に移動させることによって調整可能である。
外側リング180が含まれない場合、中間リング182は内側リング184より基板に近くてもよいが、これは任意のことである。代替的に、中間リングは一実施形態においては、基板164と接触させてもよい。リング182と基板164との間の間隔によって、処理流体(例えば、ガス)が漏出しうる。それに対する更なる保護のために、アルゴン又はその他のいくつかの不活性ガスが更に処理副産物を含むように外側のほとんどの空胴又は環状空間179内で流すのに用いられうる。この不活性ガスは外側の空胴179中のリング182の下部の基板164の方に流れ、内側リング184と中間リング182との間の領域177中の真空によって排気される。不活性ガスは内側リング184内の処理に影響を与えず、流速はその領域へのこのような任意の拡散を最小化するように選択すべきである。上述のように、処理ヘッドで用いられる材料は、ステンレス鋼、アルミニウム、又は、半導体ウェーハ上の層の処理及び蒸着のために用いられたプラズマ及びガスと適合するその他の金属にできる。蒸着ヘッドの表面が基板の表面と接触する場合、テフロン(登録商標)のようなポリテトラフルオロエチレンでのコーティング又はその他の好適な非反応性コーティングを、基板164の表面と接触する処理ヘッドの表面上で用いてもよい。一実施形態においては、外側リング180の底表面は基板164での接触表面を最小化するナイフエッジとなる。図7−1は、ボトムエッジ181がナイフエッジとして構成される場合の外側リング180の底表面を示す。
図8は、本発明の一実施形態による分離領域で組合せ処理された基板の簡略化した概略図である。基板200はその上に配置される複数の領域202を含む。各々の領域202は、上述の1又はそれ以上のチャンバ中の処理ヘッドのうちの1つで処理される。直線状に半径方向に連接するアーム及び基板支持体によって提供される回転(あるいはヘッドの回転及び基板の直線(x−y)移動、あるいはヘッド及び基板の双方の回転、あるいはヘッド及び基板の双方の直線移動)の使用を介して、部分分離された蒸着領域の任意のパターンは基板200の表面上に規定できる。示したパターンは対称的であり、最大限の基板の使用と、最も簡略化したヘッドの配列を可能にするが、他のパターン又は領域の数を更に実装してもよい。基板200については、各々の領域202がいくつかの変形されたプロセスの特性又は特徴を有する場合に、豊富な情報が単一の基板上に存在することは理解すべきである。従って、各領域で利用可能な情報ならびに前又は次のプロセス動作又は材料との各領域の相互作用を集めて、効率の高い方法で最適な材料、ユニットプロセス、及び/又はプロセス順序でデータを提供しうる。図8は分離されるが重ならないような領域202を示すが、領域は一実施形態においては重ねてもよい。別の実施形態においては、領域は、選択された材料の処理又は形成に用いることができる、あるいはできた、あるいはそのように意図された、基板上の局在化した領域のことをいう。その領域は一領域及び/又は基板上に事前形成された一連の規則的又は周期的な領域を含む。領域は、例えば、円形、矩形、楕円形、楔形等の任意の都合のよい形状を有してもよい。一実施形態においては、領域は基板上に事前規定される。しかしながら、処理は別の実施形態においては領域を規定してもよい。
図9は、本発明の一実施形態による統合型高生産性組合せ(HPC)システムを示す簡略化した概略図である。HPCシステムは複数の処理モジュールを支持するフレーム900を含む。フレーム900は、一実施形態によると単位フレームであってもよいことは理解されるべきである。一実施形態においては、フレーム900内の環境は制御される。負荷ロック/ファクトリインタフェース902はHPCシステムの複数のモジュールにアクセスを提供する。ロボット914はモジュール間の基板(及びマスク)の移動と、負荷ロック902内外への移動とを提供する。モジュール904は一実施形態による配向/脱気モジュールであってもよい。モジュール906は、本発明の一実施形態によるプラズマ又は非プラズマのいずれかのベースの洗浄モジュールであってもよい。任意のチャンバ型又はチャンバの組合せを実装してもよく、本明細書中の記載は1の可能な組合せを単に例示しており、基板/ウェーハの組合せ処理、又は組合せ及び従来処理を連結するよう支持されうるチャンバ又はプロセスの可能性を限定することを意味しない。
モジュール908は本発明の一実施形態によるライブラリモジュールのことである。モジュール908においては、処理マスクとも称される複数のマスクが記憶される。マスクは特定のパターンをそれらのモジュールで処理中の基板に適用するように、組合せ乾燥処理モジュールで用いてもよい。モジュール910は、本発明の一実施形態によるHPC物理蒸着モジュールを含む。モジュール912は例えば、ALD又はCVDモジュール等の蒸着モジュールである。モジュール910及び/又は912は本明細書中に記載の処理ヘッドを含んでもよい。モジュール910及び912は、処理ヘッドが図5A、5B、又は6の処理ヘッド等と総て同様、あるいは、本明細書中に記載の異なる処理ヘッドのいくつかの組合せである場合に、複数の処理ヘッドを含むように構成してもよいことは理解すべきである。更に、複数の処理ヘッドはプロセスモジュール内で処理中の基板上の同一又は異なる処理動作を行うのに用いてもよい。例えば、異なる処理ヘッドがプロセスモジュール内にある場合、一部の処理ヘッドがALD動作を行い、一部の処理ヘッドがPVD動作を行う等してもよい。更に、同一の動作を行う処理ヘッドは、処理条件、パラメータ、材料等を変えてもよい。これらの複数の異なる動作は並列又は直列に行われてもよい。よって、多数の実験の組合せは、本明細書中に記載の移動可能な処理ヘッドでの組合せ処理の実施形態を通して、単一基板の部分分離された領域で行ってもよい。一実施形態においては、集中型コントローラ、すなわち、コンピュータ装置911はHPCシステムのプロセスを制御してもよい。HPCシステムの更なる詳細は、米国特許出願11/672,478号及び11/672,473号に記載されている。HPCシステムを用いて、組合せ処理を行う基板上に材料を蒸着すべく複数の方法が行われてもよい。
要約すると、上述した実施形態によって、並列、直列−並列、又は並列方法のいずれかの、部分分離された方法で、組合せ処理を基板に適用することを可能にしてもよい。処理ヘッドはチャンバ内で蒸着され、基板表面に対向することによって、基板表面にわたって半径方向に操作されうる。ヘッドは好ましくは、マスク又シャッターの使用なく、実質的に均一な方法で基板の一部(例えば、部分分離された領域)を処理するよう構成されるが、特定の実施形態においてはマスクを用いてもよい。基板が円形でない、例えば、四角形又は他の形状である場合、ヘッドは好ましくは、四角形の幅にわたって走査される一方、基板は完全なアクセスを提供するのに回転するが、そのように設定するに及ばないことは理解すべきである。更に、ヘッドは基板の垂直移動と組合わせて直線移動して、チャンバサイズ全体を最小化できる。ヘッドの移動は処理チャンバの統合性を維持するように行われる。蒸着はブランケット基板又はその上に規定された構造、パターン、装置又は他の特徴を有する基板に生じうるのは理解すべきである。更に、基板は上述の組合せ蒸着技術に続く基板全体の従来技術を通して更に処理されうる。
以下に記載の更なる実施形態は、チャンバ内に配置された半径方向に連接する処理ヘッドを有する反応チャンバを含み、この場合、半径方向に連接する蒸着ヘッドは基板の複数領域を処理でき、領域は実質的に相互に分離される。チャンバは複数の処理ヘッドを有してもよく、複数領域は直列、高速直列又は並列方法で処理してもよい。処理ヘッドの内壁は領域を規定するが、外壁は領域を分離するのに封止部を提供することは理解すべきである。別の実施形態においては、領域は基板上に事前規定してもよい。チャンバは処理ヘッドの下に回転可能な基板支持体を含んでもよく、基板支持体は基板の約半分、例えば約185度にわたって回転させてもよい。半径方向に連接する処理ヘッドは基板の半径にわたる移動範囲を有する。更に、処理ヘッドは蒸着システムの底部に対し垂直に移動させてもよい。処理ヘッドは一実施形態においては、反応チャンバ中の基板の頂部表面にわたる半径方向のヘッドの連接と、基板の頂部表面に対する処理ヘッドの垂直移動との双方を駆動するアームに固定される。差動ポンピングされる封止部は第2のOリングによって囲まれる第1のOリングによって規定され、第1及び第2のOリング間の空洞は外表面からチャンバを分離するために、蒸着システム内の圧力より大きく、かつ、外部圧力より小さい圧力まで排気される。処理ヘッドは基板に対向する底部と、底部から延在する側壁の下側部分を囲むシールドとを含む。一実施形態においては、底部が陰極として機能し、シールドがコールドプラズマ動作用の陽極として機能する。処理ヘッドの下側部分は、一実施形態における蒸着動作中の蒸着副産物を排気するよう構成された格納壁によって封入される。
本発明の別の態様においては、基板表面にガスを送達するよう構成される第1の導管と、第1の導管を封入する外壁によって部分的に規定される第2の導管とを有する処理ヘッドが提供される。第2の導管はガス用の排気を提供するよう構成され、第2の導管の外壁は、第2の導管によって規定される内部領域内にガスを含むべくバリアとして作用する。処理ヘッドは第2の導管を囲む第3の導管を含んでもよく、この場合、第3の導管はガスが第3の導管の周縁部の外側に流れるのを防ぐ流体バリアを提供するよう構成される。第1、第2及び第3の導管は、共通軸周りで同心性である。第2の導管の底表面は一実施形態においては、第1の導管の底表面よりも基板表面に近い。別の実施形態においては、底表面は基板と接触させてもよい。処理ヘッドは、基板が一実施形態において基板支持体上で回転する場合、反応チャンバ内部の連接アームに固定される。第3の導管の底表面は別の実施形態においては、第1及び第2の導管の底表面を越えて延在する。第3の導管又は第2の導管の底表面は基板と接触させてもよく、ナイフエッジとして構成されてもよい。一実施形態においては、第3の導管の底表面は不活性フィルムでコーティングされる。
別の実施形態においては、部分分離蒸着用の移動可能な処理ヘッドが提供される。移動可能な処理ヘッドを含む移動可能なアセンブリは処理領域を規定する内壁を含む。一実施形態においては、複数の処理領域が一の基板上で形成され、内壁の外表面の底部を囲む外壁が処理領域に対する処理構成を含む。一実施形態においては、外壁の底表面は内壁の底表面を越えて延在する。別の実施形態においては、外壁は蒸着動作中の活性蒸着領域の周縁部の外側に基板表面を接触させる。この実施形態においては、外壁は基板上に配置されたマスクと接触させてもよい。外壁の開口部と流体接続される真空源が含まれ、この場合開口部は外壁と内壁との間に規定される空洞へのアクセスを可能にする。別の実施形態においては、アセンブリは処理ヘッドと別個に移動可能である。例えば、ある例示的な実施形態においては、処理ヘッドは一方向に移動可能であり、アセンブリは1又はそれ以上の方向に移動可能である。移動可能なアセンブリはターゲット、シャワーヘッド、又はコールドプラズマヘッドのうちの1つを含んでもよい。外壁及び内壁はZ方向に相互に独立して移動してもよい。外壁は一実施形態においては、基板表面にわたって配置されたマスクと接触する。
本発明の別の態様においては、基板上の複数領域処理用の方法が提供される。本方法は、その領域を処理するために基板の領域にわたり配置される内側導管を通って流体を流動するステップと、流動ステップと同時に、内側導管を包囲する領域から流体を取り除くステップとを具える。格納流体は、内側導管と、内側導管によって規定される基板上の領域に実質的に均一な処理を提供しながら内側導管を包囲する領域との双方を囲む外側導管を通って流動する。本方法は、直列、直列−並列、又は並列方法のうちの1つで異なる基板領域に対し各々の上述の方法の動作を反復するステップと、従来のウェーハ処理全体を通して基板を実質的に処理するステップとを含む。本発明は内側導管と外側導管とを含む処理ヘッドアセンブリを移動させるステップを含み、内側導管は、半径方向の蒸着ヘッドの連接及び基板の回転と同時に、基板の次の部分の上に配置できる。本方法は基板表面を外側導管の底表面と接触させるステップを含みうる。上述の移動ステップは一実施形態においては、処理ヘッドの回転と、基板の直線移動とを含む。領域は処理ヘッドによって規定してもよい。
本発明の別の態様においては、基板の部分分離された領域を処理するための方法が開示される。本方法は、シャワーヘッドアセンブリと基板の部分分離された領域との間に規定される処理領域の体積を変更するステップと、シャワーヘッドを通して蒸着流体を流動するステップとを含む。フィルムは基板の部分分離された領域に蒸着され、過度の蒸着流体及び蒸着副産物は蒸着と同時にシャワーヘッドアセンブリを囲む領域を通して除去される。処理領域の体積は一実施形態においては、基板表面に対しシャワーヘッドアセンブリを移動させることによって調整してもよい。プラズマを処理領域中に生成して、基板表面上に蒸着するための材料を生成してもよい。シャワーヘッドを囲む領域に対する真空は、一実施形態においては、処理領域からの流体を含み、あるいは除去するように印加してもよい。上述の各方法の動作は次の部分分離された領域のために反復してもよい。一実施形態においては、領域はシャワーヘッドと基板との間の同様の又は異なる間隔で処理され、ある例示的な実施形態においては、異なる間隔はシャワーヘッドアセンブリの移動、又は内壁を囲む外壁内でシャワーヘッドアセンブリを支持する内壁の移動のうちの1つによって決定される。
本発明の更に別の態様においては、反応チャンバ内に配置された回転処理ヘッドを含む反応チャンバが提供される。回転処理ヘッドは処理ヘッドの軸及び回転処理ヘッドの下で基板を支持するよう構成される基板支持体と異なる軸周りで回転する。基板支持体は処理ヘッドの軸と直交する平面方向に基板を移動させるように構成される。
更に別の実施形態においては、基板の複数の分離領域を処理することができる半導体処理チャンバが提供される。チャンバはプロセス流体を筐体を通して分離領域のうちの1つに流動するプロセス手段と、格納流体を流動して、バリアを提供し、プロセス流体がプロセス手段と格納手段との間に規定される領域から漏出するのを抑制する格納手段とを含む。更に含まれるのは、プロセス及び格納流体の流れと同時に、分離領域のうちの1つが実質的に均一の処理を受けるように、領域から流体を取り除く真空手段である。チャンバは、従来のウェーハ処理全体を通して基板を実質的に処理する手段を含む。半導体処理チャンバは更に、次の分離領域のうちの1つの上に筐体を移動させる手段を含む。一実施形態においては、移動する手段が、半径方向の筐体の連接手段と、基板の回転手段とを含む。チャンバは筐体と分離領域のうちの1つとの間に規定される処理体積を変更する手段を含む。移動手段は筐体の回転手段と、基板の直線移動手段とを含んでもよい。一実施形態においては、半導体処理チャンバは原子層堆積を行う。プロセス流体を流動するためのプロセス手段、格納手段、及び真空手段は互いに対し同心円状に位置する流体チャネルを有することができる。
更に別の実施形態においては、半導体処理システムが提供される。システムはフレーム周りに集束される複数の処理モジュールを含み、複数の処理モジュールのうちの少なくとも1つが部分分離された蒸着用の移動可能な蒸着ヘッドを含み、移動可能な蒸着ヘッドは処理領域を規定する内壁を有する。移動可能な処理ヘッドは半径方向に連接するアームに固定される。移動可能な蒸着ヘッドは内壁内部及び処理領域の上方に配置される移動可能なアセンブリを含み、複数の処理領域が1の基板上に形成されるように規定される。移動可能な蒸着ヘッドは更に、内壁の外表面の下側部分を囲む外壁を更に含む。半径方向に連接するアームは、処理すべき基板表面に対し垂直方向に移動可能な蒸着ヘッドを並進させるように構成される。システムは、移動可能な蒸着ヘッドの下で基板を支持するように構成される基板支持体を含む。基板支持体は処理ヘッドの軸に直交する平面方向に基板を移動させるよう構成される。移動可能な蒸着ヘッドは、移動可能な蒸着ヘッドの下で基板を支持するよう構成される基板支持体の軸と異なる軸周りを回転するよう構成される。システムは原子層堆積用の処理ヘッドを有する更なる処理モジュールを含んでもよい。処理ヘッドは、基板表面にガスを送達するよう構成される第1の導管と、第1の導管を包囲する外壁によって部分的に規定される第2の導管とを含む。第2の導管はガス用の排気を提供するよう構成され、外壁は第2の導管によって規定される内側領域内にガスを含むようにバリアとして作用する。システムは第2の導管を囲む第3の導管を含んでもよい。第3の導管はガスが第3の導管の周縁部の外側に流れるのを防ぐ流体バリアを提供するよう構成され、第1、第2、及び第3の導管は同心性である。
更に別の実施形態においては、基板を組合せ処理する方法が提供される。本方法は、独立して移動可能な処理ヘッドを有する処理ヘッドアセンブリを用いて基板の第1の領域を分離するステップと、第1の領域内の基板の一部を処理するステップとを含む。本方法は、基板の第2の領域に処理チャンバ内の処理ヘッドアセンブリを移動させるステップと、第2の領域内の基板の一部を処理するステップとを含む。処理ヘッドアセンブリを移動させるステップは、基板表面上で、処理ヘッドの軸と異なる軸周りでの処理ヘッドアセンブリの回転となる第2の領域へ、半径方向へ移動するステップを含み、及び/又は、処理ヘッドアセンブリを移動させるステップは、処理ヘッドと基板との間に規定される処理領域の体積を調整するステップを含む。直線及び半径方向の移動は基板の一部の処理の間に基板に対し提供される。
別の実施形態においては、半導体処理チャンバが提供される。チャンバは処理チャンバ内に配置される蒸着ヘッドを移動させる手段を含み、蒸着ヘッドは複数の基板領域を処理することができる。移動手段は基板上の半径方向の移動を提供し、半径方向の移動は蒸着ヘッドの軸と異なる軸に対するものである。チャンバは、蒸着ヘッドの下に配置された基板の回転又は直線移動のうちの1つのための手段を含む。一実施形態においては、半径方向の移動は基板の半径にわたる移動の範囲を有する。
更に別の実施形態においては、部分分離された蒸着用の移動可能な処理ヘッドは、基板の処理領域を規定する内壁と、内壁内に、かつ処理領域の上方に配置され、複数の処理領域が基板上に形成されるように規定される移動可能なアセンブリと、間隔を置いて配置され、内壁の下側部分を囲む外壁とを有する。一実施形態においては、外壁の底表面は内壁の底表面を越えて延在し、システムは外壁と内壁との間に規定される空胴を流体接続する真空源を含み、処理領域からの1又はそれ以上の排出口を提供する。アセンブリは処理ヘッドの残部から独立して移動可能であってもよく、処理ヘッドは少なくとも2の方向に移動可能であり、アセンブリは1の方向に移動可能である。移動可能なアセンブリは一実施形態においてはターゲット、シャワーヘッド、又はコールドプラズマヘッドのうちの1つである。処理ヘッドは処理領域内に層を蒸着するよう構成される蒸着ヘッドであってもよい。外壁及び内壁は基板表面に対して垂直方向に相互に独立して移動し、外壁の底部は基板表面に対して付勢してもよい。
本発明の一部を形成する本明細書中に記載の動作のいずれかは、有用な機械動作である。本発明は更に、これらの動作を行うデバイス又は装置に関する。装置は要求される目的のために特別に構成されてもよく、又は、装置はコンピュータ内に記憶されたコンピュータプログラムによって選択的に稼働又は形成される汎用コンピュータであってもよい。特に、様々な汎用機械は本明細書中の教示により記載されたコンピュータプログラムで用いられ、要求の動作を行うのにより特殊化された装置を構成することはより簡便になされうる。
前述の発明は理解を明確にする目的で詳細に記載してきたが、特定の変更及び変形は添付の請求項の範囲内で実行されうることは明らかである。従って、本実施形態は例示的であり、限定的ではないと見なすべきであり、本発明は本明細書中で与えられた詳細に限定すべきではなく、添付の請求項の範囲及び等価物内で変更可能である。請求項においては、要素及び/又はステップは、請求項に明確に述べない限りにおいては、動作の特定の順番を意図しない。

Claims (18)

  1. 基板の部分分離された領域を処理するための方法であって、
    蒸着ヘッドと前記基板の部分分離された領域との間に規定される処理領域の体積を変更するステップと、
    前記基板の部分分離された領域で乾燥処理を行うステップと、
    前記処理と同時に、蒸着ヘッドアセンブリの周縁部を囲む領域にわたって前記処理領域を真空にするステップと、
    を具えることを特徴とする方法。
  2. 請求項1に記載の方法において、均一薄膜が前記処理領域内の前記部分分離された領域に蒸着され、前記蒸着ヘッドがターゲット又はシャワーヘッドのうちの1つであることを特徴とする方法。
  3. 請求項1に記載の方法において、前記蒸着ヘッドアセンブリが前記処理領域の周辺部を規定する内壁を具え、前記変更ステップが前記内壁を静止させた状態のまま前記蒸着ヘッドを移動させるステップを具えることを特徴とする方法。
  4. 請求項1に記載の方法が、前記内壁の底部よりも前記基板の近くに、前記周縁部を囲む領域を規定する外壁を配置するステップを更に具えることを特徴とする方法。
  5. 請求項1に記載の方法において、複数の部分分離された領域が、前記蒸着ヘッドと前記基板との間の異なる間隔で同様に処理されることを特徴とする方法。
  6. 半導体処理チャンバであって、
    基板を支持するように構成される基板支持体と、
    当該基板支持体上に配置され、前記基板の表面に対して垂直方向に移動可能であり、前記基板の一部分にわたる処理領域を規定する処理ヘッドアセンブリ内に含まれる処理ヘッドと、
    を具え、前記処理ヘッドが前記処理ヘッドアセンブリ内で移動可能であることを特徴とする半導体処理チャンバ。
  7. 請求項6に記載の半導体処理チャンバにおいて、前記処理ヘッドが前記処理ヘッドアセンブリの内壁内部に配置され、シールドが間隔をあけて配置され、前記内壁の少なくとも下側部分を囲むことを特徴とする半導体処理チャンバ。
  8. 請求項7に記載の半導体処理チャンバにおいて、前記内壁が前記処理領域の体積を変更するために、前記処理ヘッドとともに前記垂直方向に、かつ前記シールドに対して移動可能であることを特徴とする半導体処理チャンバ。
  9. 請求項7に記載の半導体処理チャンバにおいて、前記処理ヘッドが前記処理領域の体積を変更するために、前記内壁に対して移動可能であることを特徴とする半導体処理チャンバ。
  10. 請求項6に記載の半導体処理チャンバにおいて、前記処理ヘッドが前記基板の一部分の処理中に、内側リングにおける蒸着と、外側リングを介した流体の除去とを可能にする同心リングを具えるシャワーヘッドであることを特徴とする半導体処理チャンバ。
  11. 請求項6に記載の半導体処理チャンバにおいて、前記処理ヘッドアセンブリが半径方向に移動し、前記基板支持体が軸周りで回転することを特徴とする半導体処理チャンバ。
  12. 請求項6に記載の半導体チャンバにおいて、前記処理ヘッドアセンブリが基板支持体の軸と異なる軸周りを回転し、前記基板支持体が前記チャンバ内部で直線移動することを特徴とする半導体チャンバ。
  13. 請求項7に記載の半導体処理チャンバにおいて、前記シールド及び前記内壁が相互に独立して前記垂直方向に移動することを特徴とする半導体処理チャンバ。
  14. 請求項6に記載の半導体処理チャンバにおいて、複数の処理ヘッドが前記基板の上に配置され、前記複数の処理ヘッドのうちの1つが、前記複数の処理ヘッドのうちの別の1つと異なる処理動作を行うよう構成されることを特徴とする半導体処理チャンバ。
  15. 部分分離された半導体の生成動作用の処理ヘッドであって、
    前記処理ヘッドを含む処理ヘッドアセンブリで、基板表面上の部分分離された領域を規定する手段と、
    前記処理ヘッドと、前記処理ヘッドアセンブリと、前記基板表面上の前記部分分離された領域との間に規定される処理領域の体積を調整する手段と、
    前記基板表面上の前記部分分離された領域で処理を実行する手段と、
    前記処理を実行すると同時に、前記処理領域を真空にする手段と、
    を具えること特徴とする処理ヘッド。
  16. 請求項15に記載の処理ヘッドにおいて、前記調整手段が、前記基板表面に対して前記処理ヘッドを移動させ、前記真空にする手段が、前記処理ヘッドアセンブリに近接する領域及び前記部分分離された領域に真空を印加する手段を含むことを特徴とする処理ヘッド。
  17. 請求項15に記載の処理ヘッドが、
    前記処理ヘッドアセンブリに対して、当該処理ヘッドアセンブリの底部を密閉する壁を独立に移動させる手段と、
    複数の部分分離された領域に対し、前記処理ヘッドと、前記基板との間の異なる間隔で、複数の部分分離された領域を処理する手段と、
    を更に具えることを特徴とする処理ヘッド。
  18. 請求項15に記載の処理ヘッドにおいて、前記基板表面上に前記部分分離された領域を規定する手段が前記処理ヘッドの内壁であり、前記処理領域を真空にする手段が、間隔をあけて配置され、前記内壁の下側部分を囲む外壁を介して真空を印加することを特徴とする処理ヘッド。
JP2010524156A 2007-09-06 2008-09-05 複数領域処理システム及びヘッド Expired - Fee Related JP5357159B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US97050007P 2007-09-06 2007-09-06
US60/970,500 2007-09-06
US11/965,689 2007-12-27
US11/965,689 US8039052B2 (en) 2007-09-06 2007-12-27 Multi-region processing system and heads
PCT/US2008/075303 WO2009032960A1 (en) 2007-09-06 2008-09-05 Multi-region processing system and heads

Publications (2)

Publication Number Publication Date
JP2010538168A true JP2010538168A (ja) 2010-12-09
JP5357159B2 JP5357159B2 (ja) 2013-12-04

Family

ID=40429341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010524156A Expired - Fee Related JP5357159B2 (ja) 2007-09-06 2008-09-05 複数領域処理システム及びヘッド

Country Status (7)

Country Link
US (3) US8039052B2 (ja)
EP (1) EP2186116A4 (ja)
JP (1) JP5357159B2 (ja)
KR (1) KR101534886B1 (ja)
CN (1) CN101842874B (ja)
TW (1) TWI407497B (ja)
WO (1) WO2009032960A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010540774A (ja) * 2007-09-26 2010-12-24 イーストマン コダック カンパニー 光学膜の製造方法
JP2022541573A (ja) * 2019-07-26 2022-09-26 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus
US8882917B1 (en) * 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US20100075051A1 (en) * 2008-09-24 2010-03-25 Curtis William Darling Method and apparatus for a shield blade
US20100178433A1 (en) * 2009-01-14 2010-07-15 Gm Global Technology Operations, Inc. Method and apparatus for applying bonding adhesive
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
TWI458557B (zh) * 2009-11-26 2014-11-01 Hon Hai Prec Ind Co Ltd 噴塗遮蔽結構及採用該結構之噴塗遮蔽方法
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
DE102009060649A1 (de) * 2009-12-22 2011-06-30 EISENMANN Anlagenbau GmbH & Co. KG, 71032 Anlage zur Oberflächenbehandlung von Gegenständen
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
TW201200614A (en) * 2010-06-29 2012-01-01 Hon Hai Prec Ind Co Ltd Coating device
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105908A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
ITMO20100263A1 (it) * 2010-09-21 2012-03-22 Vincenzo Rina Apparecchiatura per la verniciatura di scafi di imbarcazioni navali o simili
US8188575B2 (en) 2010-10-05 2012-05-29 Skyworks Solutions, Inc. Apparatus and method for uniform metal plating
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
GB201102337D0 (en) 2011-02-09 2011-03-23 Univ Ulster A plasma based surface augmentation method
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20130025688A1 (en) * 2011-07-28 2013-01-31 Intermolecular, Inc. No-Contact Wet Processing Tool with Fluid Barrier
US8715518B2 (en) * 2011-10-12 2014-05-06 Intermolecular, Inc. Gas barrier with vent ring for protecting a surface region from liquid
CN103031535B (zh) * 2011-09-28 2015-12-09 核心能源实业有限公司 薄膜工艺设备及其制作方法
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8617409B2 (en) * 2011-11-22 2013-12-31 Intermolecular, Inc. Magnetically levitated gas cell for touchless site-isolated wet processing
US20130136864A1 (en) * 2011-11-28 2013-05-30 United Technologies Corporation Passive termperature control of hpc rotor coating
US8663977B2 (en) * 2011-12-07 2014-03-04 Intermolecular, Inc. Vertically retractable flow cell system
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
KR20130141665A (ko) * 2012-03-29 2013-12-26 비코 에이엘디 인코포레이티드 기판을 처리하기 위한 스캐닝 주입 조립체 모듈
US20130323422A1 (en) * 2012-05-29 2013-12-05 Applied Materials, Inc. Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
FI124298B (en) * 2012-06-25 2014-06-13 Beneq Oy Device for treating substrate surface and nozzle head
US8663397B1 (en) 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
EP2935643B1 (en) * 2012-12-21 2018-08-01 Doosan Fuel Cell America, Inc. Deposition cloud tower with adjustable field
FI126043B (en) 2013-06-27 2016-06-15 Beneq Oy Method and device for coating a surface of a substrate
US10155244B2 (en) * 2013-09-16 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fluid deposition appartus and method
JP2015100761A (ja) * 2013-11-26 2015-06-04 曙ブレーキ工業株式会社 支持具、粉体塗布システム、粉体塗布方法、及びキャリパ
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9209062B1 (en) * 2014-05-28 2015-12-08 Spintrac Systems, Inc. Removable spin chamber with vacuum attachment
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
DE102016200506B4 (de) * 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
FR3058424B1 (fr) * 2016-11-10 2022-06-10 Bnl Eurolens Installation de depot par evaporation d'un revetement sur des articles
KR20230133948A (ko) 2017-06-21 2023-09-19 피코순 오와이 기판 프로세싱 장치를 위한 유체 유입 조립체
KR102452830B1 (ko) * 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
US20200135464A1 (en) * 2018-10-30 2020-04-30 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
KR102673983B1 (ko) * 2019-03-15 2024-06-12 주식회사 케이씨텍 기판 처리 장치
JP7060633B2 (ja) * 2020-01-29 2022-04-26 キヤノントッキ株式会社 成膜装置及び電子デバイス製造装置
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN111778552B (zh) * 2020-08-03 2021-10-08 中国科学院长春光学精密机械与物理研究所 一种mocvd组合喷淋头及mocvd设备

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0747201A (ja) * 1993-08-06 1995-02-21 Toshiba Corp 気化装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
JPH1150237A (ja) * 1997-07-30 1999-02-23 Toshiba Glass Co Ltd 真空成膜装置
WO2002061172A1 (en) * 2001-01-30 2002-08-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2004152702A (ja) * 2002-10-31 2004-05-27 Applied Materials Inc マイクロ波イオン源
JP2006140439A (ja) * 2004-11-12 2006-06-01 Samsung Electronics Co Ltd 半導体製造装置
JP2006310813A (ja) * 2005-03-29 2006-11-09 Mitsui Eng & Shipbuild Co Ltd 成膜装置
WO2007061633A2 (en) * 2005-11-18 2007-05-31 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3641973A (en) * 1970-11-25 1972-02-15 Air Reduction Vacuum coating apparatus
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5171360A (en) * 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
US5529815A (en) * 1994-11-03 1996-06-25 Lemelson; Jerome H. Apparatus and method for forming diamond coating
JP3276278B2 (ja) * 1994-12-08 2002-04-22 キヤノン株式会社 記録液定着装置およびこれを具備する液体噴射記録装置
DE4445985A1 (de) * 1994-12-22 1996-06-27 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur Belackung oder Beschichtung eines Substrats
US5811021A (en) * 1995-02-28 1998-09-22 Hughes Electronics Corporation Plasma assisted chemical transport method and apparatus
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3278714B2 (ja) * 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
KR100353774B1 (ko) * 1997-05-08 2002-09-27 마츠시타 덴끼 산교 가부시키가이샤 광기록매체의 제조장치 및 제조방법
JP4003273B2 (ja) * 1998-01-19 2007-11-07 セイコーエプソン株式会社 パターン形成方法および基板製造装置
US6261365B1 (en) * 1998-03-20 2001-07-17 Tokyo Electron Limited Heat treatment method, heat treatment apparatus and treatment system
US6368665B1 (en) * 1998-04-29 2002-04-09 Microcoating Technologies, Inc. Apparatus and process for controlled atmosphere chemical vapor deposition
US6673155B2 (en) * 1998-10-15 2004-01-06 Tokyo Electron Limited Apparatus for forming coating film and apparatus for curing the coating film
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6468350B1 (en) * 1999-08-27 2002-10-22 Stephen J. Hillenbrand Mobile coater apparatus
US6245392B1 (en) * 1999-08-27 2001-06-12 Stephen J. Hillenbrand Coater apparatus and method
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
JP2001276702A (ja) * 2000-03-28 2001-10-09 Toshiba Corp 成膜装置及び成膜方法
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
GB0019848D0 (en) * 2000-08-11 2000-09-27 Rtc Systems Ltd Apparatus and method for coating substrates
US6884294B2 (en) * 2001-04-16 2005-04-26 Tokyo Electron Limited Coating film forming method and apparatus
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030116432A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Adjustable throw reactor
US20060127599A1 (en) * 2002-02-12 2006-06-15 Wojak Gregory J Process and apparatus for preparing a diamond substance
KR100838065B1 (ko) * 2002-05-31 2008-06-16 삼성에스디아이 주식회사 박막증착기용 고정장치와 이를 이용한 고정방법
EP1556902A4 (en) * 2002-09-30 2009-07-29 Miasole MANUFACTURING DEVICE AND METHOD FOR PRODUCING THIN FILM SOLAR CELLS IN A LARGE SCALE
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
NL1022155C2 (nl) * 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7380690B2 (en) * 2003-01-17 2008-06-03 Ricoh Company, Ltd. Solution jet type fabrication apparatus, method, solution containing fine particles, wiring pattern substrate, device substrate
KR101032338B1 (ko) * 2003-02-06 2011-05-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시장치의 제작방법
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
EP1491653A3 (en) 2003-06-13 2005-06-15 Pioneer Corporation Evaporative deposition methods and apparatus
JP2005002450A (ja) * 2003-06-13 2005-01-06 Pioneer Electronic Corp 蒸着方法、蒸着ヘッド、及び有機エレクトロルミネッセンス表示パネルの製造装置
JP4124046B2 (ja) * 2003-07-10 2008-07-23 株式会社大阪チタニウムテクノロジーズ 金属酸化物被膜の成膜方法および蒸着装置
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
KR100958573B1 (ko) * 2003-10-06 2010-05-18 엘지디스플레이 주식회사 액정표시패널의 제조장치 및 제조방법
JP4258345B2 (ja) 2003-10-20 2009-04-30 セイコーエプソン株式会社 蒸着装置、有機エレクトロルミネッセンスパネルおよび蒸着方法
US7439208B2 (en) * 2003-12-01 2008-10-21 Superconductor Technologies, Inc. Growth of in-situ thin films by reactive evaporation
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
JP4169719B2 (ja) * 2004-03-30 2008-10-22 Hoya株式会社 レジスト膜付基板の製造方法
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7780821B2 (en) * 2004-08-02 2010-08-24 Seagate Technology Llc Multi-chamber processing with simultaneous workpiece transport and gas delivery
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7789963B2 (en) * 2005-02-25 2010-09-07 Tokyo Electron Limited Chuck pedestal shield
JP2006322016A (ja) * 2005-05-17 2006-11-30 Konica Minolta Holdings Inc 真空蒸着方法および真空蒸着装置
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
JP4527660B2 (ja) * 2005-06-23 2010-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN1891848A (zh) * 2005-07-01 2007-01-10 鸿富锦精密工业(深圳)有限公司 光学镀膜装置
KR101292938B1 (ko) * 2005-10-11 2013-08-02 아비자 테크놀로지 리미티드 정 변위 펌핑 챔버
CN101124349A (zh) * 2005-12-06 2008-02-13 新明和工业株式会社 等离子体成膜装置
ATE507320T1 (de) * 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
JP4997229B2 (ja) * 2006-05-01 2012-08-08 株式会社アルバック 印刷装置
TW200816880A (en) * 2006-05-30 2008-04-01 Matsushita Electric Ind Co Ltd Atmospheric pressure plasma generating method, plasma processing method and component mounting method using same, and device using these methods
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
JP5276420B2 (ja) * 2008-01-31 2013-08-28 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US20100044213A1 (en) * 2008-08-25 2010-02-25 Applied Materials, Inc. Coating chamber with a moveable shield
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
WO2010055876A1 (ja) * 2008-11-14 2010-05-20 株式会社アルバック 有機薄膜蒸着装置、有機el素子製造装置、及び有機薄膜蒸着方法
KR101067608B1 (ko) * 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 기판처리방법
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8529700B2 (en) * 2009-08-31 2013-09-10 E I Du Pont De Nemours And Company Apparatus for gaseous vapor deposition
JP5469966B2 (ja) * 2009-09-08 2014-04-16 東京応化工業株式会社 塗布装置及び塗布方法
JP5439097B2 (ja) * 2009-09-08 2014-03-12 東京応化工業株式会社 塗布装置及び塗布方法
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5705495B2 (ja) * 2010-10-07 2015-04-22 株式会社日立ハイテクノロジーズ プラズマの処理方法及びプラズマ処理装置
US8728241B2 (en) * 2010-12-08 2014-05-20 Intermolecular, Inc. Combinatorial site-isolated deposition of thin films from a liquid source
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
US20120238075A1 (en) * 2011-03-09 2012-09-20 Tokyo Ohka Kogyo Co., Ltd. Coating apparatus and coating method
US8906207B2 (en) * 2011-04-06 2014-12-09 Intermolecular, Inc. Control of film composition in co-sputter deposition by using collimators
US20130130509A1 (en) * 2011-11-21 2013-05-23 Intermolecular, Inc. Combinatorial spot rastering for film uniformity and film tuning in sputtered films
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
US8582105B1 (en) * 2012-06-14 2013-11-12 Intermolecular, Inc. Method and apparatus for leak detection in H2Se furnace

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0747201A (ja) * 1993-08-06 1995-02-21 Toshiba Corp 気化装置
JPH07201752A (ja) * 1993-12-27 1995-08-04 Toray Ind Inc 薄膜形成装置及び薄膜形成方法
JPH1150237A (ja) * 1997-07-30 1999-02-23 Toshiba Glass Co Ltd 真空成膜装置
WO2002061172A1 (en) * 2001-01-30 2002-08-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2004152702A (ja) * 2002-10-31 2004-05-27 Applied Materials Inc マイクロ波イオン源
JP2006140439A (ja) * 2004-11-12 2006-06-01 Samsung Electronics Co Ltd 半導体製造装置
JP2006310813A (ja) * 2005-03-29 2006-11-09 Mitsui Eng & Shipbuild Co Ltd 成膜装置
WO2007061633A2 (en) * 2005-11-18 2007-05-31 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010540774A (ja) * 2007-09-26 2010-12-24 イーストマン コダック カンパニー 光学膜の製造方法
JP2022541573A (ja) * 2019-07-26 2022-09-26 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
US11692261B2 (en) 2019-07-26 2023-07-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
JP7464692B2 (ja) 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ

Also Published As

Publication number Publication date
KR101534886B1 (ko) 2015-07-07
WO2009032960A1 (en) 2009-03-12
TW200939323A (en) 2009-09-16
US8770143B2 (en) 2014-07-08
CN101842874B (zh) 2011-12-14
EP2186116A4 (en) 2016-10-26
TWI407497B (zh) 2013-09-01
US20140311408A1 (en) 2014-10-23
US20110209663A1 (en) 2011-09-01
JP5357159B2 (ja) 2013-12-04
US8039052B2 (en) 2011-10-18
CN101842874A (zh) 2010-09-22
EP2186116A1 (en) 2010-05-19
US20090068849A1 (en) 2009-03-12
KR20100068411A (ko) 2010-06-23

Similar Documents

Publication Publication Date Title
JP5357159B2 (ja) 複数領域処理システム及びヘッド
US10475641B2 (en) Substrate processing apparatus
KR101534885B1 (ko) 결합식 처리 시스템
KR101272321B1 (ko) 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
KR100446485B1 (ko) 원자 층 증착 공정을 위한 공정 스테이션
TWI727024B (zh) 微體積沉積腔室
JP2016143836A (ja) 基板処理装置
JP2016510946A (ja) 噴射器から基板までの間隙の制御のための装置および方法
KR20070052331A (ko) 다중-단일 웨이퍼 처리 장치
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
WO2007016701A2 (en) Deposition apparatus for semiconductor processing
KR20140057208A (ko) 조합식 및 풀 기판 스퍼터 증착 도구 및 방법
CN113140481A (zh) 处理基板的装置以及处理基板的方法
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
JP6481363B2 (ja) 成膜装置、成膜方法及び記憶媒体
WO2023018596A1 (en) Process module chamber providing symmetric rf return path
US20140134849A1 (en) Combinatorial Site Isolated Plasma Assisted Deposition
WO2023191875A1 (en) Targeted temporal ald
JP2023005996A (ja) 基板処理装置及び基板処理方法
JP2022077993A (ja) 反応器および関連する方法
WO2018156290A1 (en) Gas distribution apparatus for processing chambers
KR20240001985A (ko) 퍼지 메커니즘을 갖는 스핀들 어셈블리 및 퍼지 메커니즘을 갖는 리프트 핀 드라이브 어셈블리

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130829

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees