KR101534885B1 - 결합식 처리 시스템 - Google Patents

결합식 처리 시스템 Download PDF

Info

Publication number
KR101534885B1
KR101534885B1 KR1020107006879A KR20107006879A KR101534885B1 KR 101534885 B1 KR101534885 B1 KR 101534885B1 KR 1020107006879 A KR1020107006879 A KR 1020107006879A KR 20107006879 A KR20107006879 A KR 20107006879A KR 101534885 B1 KR101534885 B1 KR 101534885B1
Authority
KR
South Korea
Prior art keywords
substrate
axis
substrate support
chamber
processing
Prior art date
Application number
KR1020107006879A
Other languages
English (en)
Other versions
KR20100065347A (ko
Inventor
릭 엔도
커트 와이너
인드라닐 데
제임스 청
마오셩 자오
제레미 쳉
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20100065347A publication Critical patent/KR20100065347A/ko
Application granted granted Critical
Publication of KR101534885B1 publication Critical patent/KR101534885B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00427Means for dispensing and evacuation of reagents using masks
    • B01J2219/0043Means for dispensing and evacuation of reagents using masks for direct application of reagents, e.g. through openings in a shutter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • B01J2219/00536Sheets in the shape of disks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00596Solid-phase processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00659Two-dimensional arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00756Compositions, e.g. coatings, crystals, formulations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

결합식 프로세싱 챔버가 제공된다. 결합식 프로세싱 챔버는 회전가능한 기판 지지부의 방사상 부분을 고립시키도록 구성되며, 기판을 지지하도록 구성된다. 챔버는 일 실시예에서 무리를 이루는 복수의 프로세스 헤드들을 포함한다. 기판 지지부와 프로세스 헤드들 사이에 배치된 베이스 플레이트를 구비한 인서트(insert)는 일 실시예에서 증착 프로세스를 위한 가둠 영역(confinement region)을 규정한다. 베이스 플레이트는 증착 물질이 기판으로 접근할 수 있도록 하는 구멍을 구비한다. 기판의 회전 및 구멍의 이동을 통해, 기판의 다수의 영역은 단일 기판 상에서 결합식 프로세싱을 수행할 수 있도록 접근가능하다.

Description

결합식 처리 시스템{COMBINATORIAL PROCESS SYSTEM}
본 발명은 결합식 처리 시스템에 관한 것이다.
증착 프로세스는 기판 상에 물질의 층을 증착하기 위해 반도체 제조에서 일반적으로 사용된다. 프로세싱은 또한 층을 제거하기 위해서도 사용되며, 이는 특징부를 규정하고(예컨대, 에칭), 층을 준비하고(예컨대 클리닝), 도핑 또는 기판에 층의 형성을 요구하지 않는 다른 프로세스에 사용된다. 프로세스들 및 프로세스는 이들 그리고 반도체 제조를 위해 사용되는 다른 가능한 알려진 기술들을 의미하도록 응용예에서 사용될 것이며, 특정 프로세스에 대한 임의의 참조사항은 이러한 다른 가능한 프로세스들의 문맥에서 읽혀질 것이다. 또한, 유사한 처리 기술은 IC(Integrated Circuits) 반도체 장치, 평판 디스플레이, 광전 장치, 데이터 저장 장치, 자전기 장치, 자기 광학 장치, 패키지화된 장치 등의 제조에 적용된다. 특징부의 사이즈가 축소됨에 따라, 물질, 단위 프로세스, 또는 프로세스 시퀀스에서의 개선사항이 증착 프로세스를 위해 지속적으로 추구되고 있다. 그러나, 반도체 회사는 분할된 품목(split lots)의 사용을 통한 풀 웨이퍼 프로세싱에 대한 연구개발을 수행하며, 이는 증착 시스템이 이러한 프로세싱 구성을 지원하도록 디자인되기 때문이다. 이러한 접근은 연구개발 비용의 증가를 야기하고 적시에 그리고 효율적인 비용으로 대규모 실험을 수행할 수 없는 결과를 야기한다.
그래디언트 프로세싱(gradient processing)이 추가적인 정보를 제공하도록 시도되지만, 그래디언트 프로세싱은 다수의 단점이 발생하는 문제가 있다. 그래디언트 프로세싱은 종래의 프로세싱 작업을 나타내지 않는 규정된 불-균일성에 의존한다. 그래디언트 프로세싱 하에서, 상이한 양의 물질(또는 불순물)이 기판 전체 또는 기판의 일부에 증착된다. 이러한 접근은 또한 코-스퍼터링(co-sputtering)의 목적을 위해 사용될 수 있거나 사용되지 않을 수 있는 타겟들의 캐러셀(carousel)을 가지는 증착 시스템을 위해 사용될 수도 있다. 이러한 시스템 각각에서, 하나 이상의 증착 프로세스를 수행할 시 발생하는 상호 오염(cross contamination) 문제 뿐만 아니라, 증착되는 영역의 균일성은 결합식 프로세싱에 대해 상대적으로 비효율적인 기술들을 제공한다.
따라서, 단일 기판 상에 다수의 상이한 프로세스 변화의 구현을 수용하기 위한 개선된 기술이 다른 물질, 단위 프로세스, 또는 프로세스 시퀀스의 실행 가능성을 보다 효율적으로 구현하도록 제공된다.
본 발명은 단일 기판 상에 다수의 상이한 프로세스를 수용하기 위한 개선된 기술을 제공하는 것을 목적으로 한다.
본 발명의 일 실시예에 따른 결합식 프로세싱 챔버는 제 1 축 주위에서 무리를 형성하며, 제 2 축을 중심으로 회전하는 회전가능한 어셈블리에 부착되는 복수의 증착 헤드들; 제 3 축을 중심으로 회전하는 회전가능한 기판 지지부; 및 상기 기판 지지부의 일부를 노출시키는 개구(aperture)를 구비하며, 상기 개구는 상기 제 1 축에 대해 정렬되는 베이스 플레이트;를 포함한다.
본 발명의 일 실시예에 따른 결합식 기판 처리 방법은 기판의 고립된 영역으로의 접근을 제공하는 개구 위에 축 상으로(axially) 분포된 증착 헤드들의 무리로부터 상기 기판의 고립된 영역을 처리하는 단계; 상기 축 상으로 분포된 증착 헤드들에 대하여 상기 기판의 위치를 변경하는 단계; 및 상기 기판의 다른 고립된 영역을 처리하는 단계;를 포함한다.
본 발명의 일 실시예에 따른 결합식 프로세싱 챔버는 기판 지지부의 섹션 위에 규정된 처리 영역 내의 축 상으로 위치된 증착 헤드들의 무리를 고립시키는 수단; 상기 기판 지지부를 제 1 및 제 2 축을 중심으로 회전시키는 수단; 및 상기 기판 지지부의 섹션의 영역으로의 접근을 제공하는 수단으로서, 물질의 층이 상기 접근 제공 수단을 통해 상기 증착 헤드들로부터 증착될 수 있는 접근 제공 수단;을 포함한다.
본 발명의 일 실시예에 따른 결합식 프로세싱 챔버는 적어도 하나의 증착 헤드 및 축 상으로 정렬된 개구를 포함하는 서브-챔버; 기판 지지부로서, 상기 서브-챔버의 축과 상기 기판 지지부의 축은 서로 다른 기판 지지부; 및 상기 서브-챔버에 대해 상기 기판 지지부를 이동시키는 적어도 하나의 구동부로서, 상기 개구는 실질적으로 상기 기판 지지부에 의해 규정되는 영역 모두로의 접근을 제공하면서 상기 적어도 하나의 증착 헤드와 상기 개구 간의 정렬을 유지하는 적어도 하나의 구동부;를 포함한다.
본 발명에 따르면 단일 기판 상에 다수의 상이한 프로세스를 수용할 수 있다.
본 발명은 첨부한 도면을 참조로 하여 이어지는 상세한 설명에 의해 용이하게 이해될 것이며, 동일한 도면번호는 동일한 구조적 구성요소를 나타낸다.
도 1a는 본 발명의 일 실시예에 따른 처리용 챔버에 배치되는 기판을 결합식으로 처리하도록 구성된 처리용 챔버를 설명하는 단순화된 개략도이다.
도 1b는 본 발명의 일 실시예에 따른 프로세스 키트 쉴드(process kit shield)의 예시적인 상이한 위치를 나타내는 단순화된 개략도이다.
도 2는 본 발명의 일 실시예에 따른 결합식 처리용 챔버의 대체적인 도면을 설명하는 단순화된 개략도이다.
도 3은 본 발명의 일 실시예에 따른 도 2의 처리용 챔버의 다양한 컴포넌트를 보다 상세하게 설명하는 단순화된 개략도이다.
도 3a는 본 발명의 일 실시예에 따른 이중 회전 스테이지(dualrotary stage) 처리용 챔버를 제공한다.
도 3b는 본 발명의 일 실시예에 따른 이중 회전 스테이지의 상면도를 제공한다.
도 4는 본 발명의 일 실시예에 따른 프로세스 헤드 및 기판 둘 모두를 회전시키는 기능을 통해 달성되는 패턴을 설명하는 단순화된 개략도이다.
도 4a는 본 발명의 일 실시예에 따른 도 3a 및 도 3b의 이중 회전 스테이지 구성을 통해 달성되는 패턴을 설명하는 단순화된 개략도이다.
도 5는 본 발명의 일 실시예에 따른 암 커버 플레이트를 구비한 프로세스 키트 쉴드의 단면도를 설명하는 단순화된 개략도이다.
도 6은 본 발명의 일 실시예에 따른 대체적인 처리용 챔버를 설명하는 단순화된 개략도이다.
도 7a 및 도 7b는 본 발명의 일 실시예에 따른 도 6에 대해 언급된 선형적 마스크 실시예의 추가적인 세부사항을 도시한다.
도 8a 및 도 8b는 본 발명의 일 실시예에 따른 결합적 방식으로 기판을 처리하기 위해 개구(aperture)를 노출하고 고립시키기 위한 선형적 마스크 및 커버 플레이트의 이용을 더 도시한다.
도 9는 본 발명의 일 실시예에 따른 선형적 마스크 및 이동 로드(movement rods)로의 대응하는 연결을 도시하는 단순화된 개략도이다.
도 10a는 본 발명의 일 실시예에 따른 프로세스 키트 쉴드 및 선형적 마스크의 단면도를 도시하는 단순화된 개략도이다.
도 10b는 도 10a의 프로세스 키트 쉴드의 기저부를 위한 대체적인 구조적 구성이다.
도 10c는 본 발명의 일 실시예에 따른 처리용 챔버에 배치되는 기판을 결합식으로 처리하도록 구성된 처리용 챔버의 상면도를 도시하는 단순화된 개략도이다.
도 10d는 본 발명의 일 실시예에 따른 결합식 처리를 위한 기저부 플레이트 어셈블리의 단면도를 도시하는 단순화된 개략도이다.
도 11은 본 발명의 일 실시예에 따른 데이텀 쉴드(datum shield)의 단면도를 도시하는 단순화된 개략도이다.
도 12는 본 발명의 일 실시예에 따른 기판 상에 배치되는 패턴을 도시하는 단순화된 개략도이다.
도 13은 본 발명의 일 실시예에 따른 대체적인 구성을 가지는 개구를 도시하는 단순화된 개략도이다.
도 14는 본 발명의 일 실시예에 따른 직접된 HPC(High Productivity Combinatorial) 시스템을 도시하는 단순화된 개략도이다.
도 15는 본 발명의 일 실시예에 따른 결합식으로 기판을 처리하기 위한 방법 동작을 설명하는 흐름도이다.
이하 기술되는 실시예는 결합식으로 기판을 처리하도록 구성된 처리용 챔버를 위한 방법 및 시스템을 제공한다. 당업자에게 있어서, 본 발명은 특정 세부사항들의 일부 또는 전부가 없이도 이를 실현할 수 있을 것이다. 다른 경우에 있어서, 잘 알려진 프로세스 동작은 본 발명을 불필요하게 애매하게 하지 않도록 하기 위해 상세하게 기술되지 않았다.
이하 기술되는 실시예는 기판을 결합적 방식으로 처리할 수 있는 다수 영역(multi-region) 처리용 시스템 및 결합된 처리용 헤드(processing heads)에 대한 상세한 설명을 제공한다. 따라서, 기판의 상이한 영역은 다른 성질을 가질 수 있으며, 이는 물질, 단위 프로세스(예컨대, 처리 조건 또는 파라미터) 및 프로세스 시퀀스 등의 변경으로 인해 발생될 수 있다. 각각의 영역 내에서, 조건들은 바람직하게 실질적으로 균일하여, 각각의 영역 내에서 종래의 풀 웨이퍼 프로세싱을 흉내내지만, 유효한 결과가 이러한 요구조건 없이 특정 실험을 위해 획득될 수 있다. 일 실시예에서, 상이한 영역은 고립되어(isolated), 상이한 영역들 간에 상호-확산(inter-diffusion)이 발생하지 않는다.
또한, 기판의 결합식 프로세싱은 실질적으로 기판 전체에 균일하게 처리되는 종래의 처리 기술과 결합될 수 있다(예컨대, 동일한 물질, 단위 프로세스 및 프로세스 시퀀스에 종속됨). 따라서, 이하 기술되는 실시예는 제조 프로세스 플로우로부터 기판을 배출시키고, 결합식 증착 프로세싱을 수행하고, 그리고 추가적인 처리를 위해 기판을 제조 프로세스로 되돌릴 수 있다. 선택적으로, 기판은 중앙 챔버를 둘러싸 부착된 다양한 챔버에서 결합식 프로세싱 및 종래의 프로세싱 둘 모두를 허용하는 통합된 툴에서 처리될 수 있다. 그 결과, 하나의 기판에서, 변경되는 프로세스에 대한 정보 및 변경된 프로세스와 종래의 프로세스 간의 상호작용이 제공될 수 있다. 따라서, 다수의 데이터가 요구되는 프로세스를 위해 단일의 기판으로부터 사용가능하게 된다.
여기에 기술되는 처리용 챔버는 일 실시예에서 방사상의 부분(radial portion)이 삽입을 통해 규정됨에 따라 기판의 절반을 위해 최적화될 수 있다. 따라서, 증착된 층의 균일성은 종횡비(aspect ratio)가 본질적으로 종래의 조건 하에서 전체 기판을 처리하는 것에 비해 절반이므로 이러한 제한을 통해 개선되며, 여기서 기판의 전체 표면이 고려되어야 한다. 또한, 처음에는 상대적으로 큰, 타겟에서 기판까지의 거리의 균일성에 대한 영향은 처리 영역의 제한에 의해 강조된다. 그러나, 챔버는 기판의 보다 크거나 보다 작은 부분을 커버할 수 있으며, 여기에 기술되는 본 발명에 따라 여전히 동작한다. 예를 들어, 챔버의 사이즈는 헤드의 개수에 의해 기술될 수 있다. 또는, 프로세스 키트 쉴드가 비축(off-axis) 회전하는 실시예에서, 챔버는 키트 쉴드에 대한 이동 경로를 포함하기에 충분히 클 필요가 있을 것이다. 그러나, 바람직한 실시예에서 프로세스 키트 쉴드에 의해 규정되는 반응 영역의 축소된 사이즈는 구성 및 툴의 이용에 많은 장점을 가질 수 있지만, 프로세스 키트 쉴드는 본 발명을 구현하도록 요구되지는 않는다.
이하 더 기술되는 바와 같이, 기판 홀더는 챔버 내 결합식 프로세싱을 위해 기판의 표면으로의 영역적 접근을 제공하기 위한 구멍(opening)을 구비하는 베이스 플레이트와 결합하여 기판을 회전시킨다. 일 실시예에서, 베이스 플레이트는 기판 지지부의 축과 상이한 축을 기준으로 회전할 수 있으며, 그 결과 기판 전체가 액세스될 수 있다. 다른 실시예에서, 선형 마스크는 기판 상의 처리 영역의 위치 및 형상을 규정하도록 이용될 수 있다. 또한, 다른 조합 및 변형은 여기에 기술되는 본 발명의 개시를 기반으로 가능할 수 있다. 처리되는 영역의 형상은 이하 기술되는 실시예에서 논의되는 바와 같이, 고립된 원(isolated circles), 링형, 링 세그먼트 또는 호(arcs), 사각형 또는 다른 오각형, 파이 형태의 조각 등을 포함한다. 처리될 영역을 더 변경하기 위해, 섀도우 마스크(shadow mask), 다른 마스크, 또는 마스킹 기술은 특정 특징부 또는 시스템에 의해 규정되는 영역의 일부를 규정하기 위한 개구(aperture)에 더하여 포함될 수 있다.
여기에 기술되는 실시예는 증착을 포함한 다양한 응용예에 관한 것이며, 상기 증착은 에칭, 도핑, 표면 변형 또는 준비(예컨대, 클리닝 프로세스 또는 모노레이어 증착)와 같은 다른 적용 뿐만 아니라 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition)를 포함한다. 또한, 이하 기술되는 본 발명은 다른 플럭스 기반 시스템을 위해 동작할 것이다. 이하 기술되는 실시예는 기판의 결합식 프로세싱을 위해 최적화된다. 상대적으로 작은 개구(기판의 전 영역과 비교하여)의 이동 및/또는 회전은 영역을 규정하며 기판의 회전을 따르는 것은 기판의 표면 전체에 접근할 수 있도록 한다. 선택적으로, 프로세스 헤드 또는 프로세스 헤드의 클러스터는 원형 방식으로 회전될 수 있고, 기판은 상대적인 x-y 방향으로 이동되거나 상대적인 개구 및 기판 이동을 통해 표면 전체에 접근할 수 있도록 회전될 수 있다.
도 1a는 본 발명의 일 실시예에 따른 처리용 챔버 내에 배치된 기판을 결합식으로 처리하도록 구성된 처리용 챔버를 설명하는 단순화된 개략도이다. 처리용 챔버(100)는 상부 챔버 부분(116)의 아래에 배치된 하부 챔버 부분(102)을 포함한다. 하부 부분(102) 내에서 기판 지지부(106)는 그 위에 배치된 기판(108)을 수용하도록 구성되고 이는 임의의 잘 알려진 기판 지지부일 수 있으며, 이는 진공 척(vacuum chuck), 정전 척(electrostatic chuck) 또는 다른 알려진 메커니즘을 포함하지만 이에 제한되지는 않는다. 기판 지지부(106)는 기판 지지부의 중심축을 중심으로 회전할 수 있다. 일 실시예에서, 기판 지지부(106)는 약 185° 회전하여 기판의 표면에 대한 완전한 접근을 제공한다. 다른 실시예에서, 기판 지지부(106)는 360° 회전한다. 또한, 기판 지지부(106)는 수직 방향 또는 평면 방향으로 이동할 수 있다. 수직 방향 또는 평면 방향으로의 회전 및 이동은 자성 구동, 선형 구동, 웜 스크류, 리드 스크류, 구동을 통한 별도로 펌핑되는 회전식 피드(rotary feed)를포함하는 잘 알려진 구동 메커니즘을 통해 달성될 수 있다.
기판(108)은 종래의 라운드 200 밀리미터, 300 밀리미터 또는 임의이ㅡ 다른 크거나 작은 기판/웨이퍼 사이즈일 수 있다. 다른 실시예에서, 기판(108)은 사각형, 직사각형, 또는 다른 형태의 기판일 수 있다. 당업자는 기판(108)이 블랭킷 기판, 쿠폰(coupon)(예컨대, 부분적인 웨이퍼), 또는 심지어 기규정된 영역을 가지는 패턴화된 기판일 수 있음을 파악할 것이다. 다른 실시예에서, 기판(108)은 이하 기술되는 프로세싱을 통해 규정되는 영역을 가질 수 있다. 용어 "영역"은 여기에서 선택된 물질로 처리하거나 형성하기 위해 사용되는, 사용되었던, 또는 사용되도록 의도되는 기판의 국한된 영역을 의미하도록 사용된다. 영역은 하나의 영역 및/또는 기판에 기형성된 일정한 또는 주기적인 일련의 영역들을 포함할 수 있다. 영역은 임의의 편리한 형상, 예컨대, 원형, 사각형, 타원형, 쐐기모양 등을 가질 수 있다. 반도체 분야에서, 영역은 예를 들어 테스트 구조, 싱글 다이(single die), 다수의 다이, 다이의 일부, 기판의 다른 규정된 부분 또는 프로세싱을 통해 규정되는 블랭킷 기판의 규정되지 않은 영역일 수 있다.
도 1a의 챔버(100)의 상부 챔버 부분(116)은 프로세스 키트 쉴드(process kit shield)(110)를 포함하며, 이는 기판(108)의 방사상 부분 상의 갇힌 영역을 규정한다. 프로세스 키트 쉴드(110)는 본질적으로 베이스(선택적으로 쉴드와 통합됨)를 가지는 슬리브(sleeve) 및 그 안에 생성된 플라즈마를 가두기 위해 사용될 수 있는 챔버(100) 내부의 선택사항인 덮개를 구비한다. 생성된 플라즈마는 일 실시예에서 기판의 영역을 결합식으로 처리하도록 기판(108)의 노출된 표면 상에 처리하기 위해(예컨대 증착) 타겟으로부터 입자를 몰아낼 것이다. 프로세스 키트 쉴드(110)는 챔버(100) 안으로 그리고 밖으로 이동할 수 있으며, 즉, 프로세스 키트 쉴드는 교체 가능하도록 삽입된다. 프로세스 키트 쉴드(110)는 선택적인 덮개 부분, 측벽 및 베이스를 포함한다. 일 실시예에서, 프로세스 키트 쉴드(110)는 실린더 형상으로 구성되나, 프로세스 키트 쉴드는 임의의 적절한 형상일 수 있으며 실린더 형상에 제한되지는 않는다.
프로세스 키트 쉴드(110)의 베이스는 증착 또는 임의의 다른 적절한 반도체 프로세싱 작업을 위해 노출된 기판(108)의 표면을 통하는 개구(112)를 포함한다. 덮개 부분(116) 내에서, 프로세스 키트 쉴드(110)의 베이스 상에 이동가능하게 배치되는 커버 플레이트(118)가 구비된다. 커버 플레이트(118)는 일 실시예에서 개구(112)를 덮거나 노출하기 위해 프로세스 키트 쉴드(110)의 베이스의 하부 표면을 가로질러 미끄러질 수 있다. 다른 실시예에서, 커버 플레이트(118)는 이하 보다 상세하게 기술되는 바와 같이 개구(112)를 노출하거나 덮기 위해 커버 플레이트를 이동시키는 암 연장부(arm extension)를 통해 제어된다. 비록 단일의 개구가 도시되지만, 다수의 개구들이 포함될 수 있다. 각각의 개구는 제공된 커버 플레이트와 결합될 수 있거나 커버 플레이트는 동시에 또는 개별적으로 하나 이상의 개구를 덮도록 구성될 수 있다. 선택적으로, 개구(112)는 큰 구멍일 수 있으며, 플레이트(118)는 이를 완전히 덮거나 하나 또는 그 이상의 고정된 개구를 규정된 영역의 프로세싱을 위해 그 구멍 내에 위치시키도록 개구와 함께 연장할 수 있다.
도 1a의 슬리브(110)의 선택적인 상부 플레이트는 이하 더 기술되는 바와 같이 데이텀 쉴드(datum shield)로서 기능할 수 있다. 프로세스 헤드(114)(또한 증착 건(deposition guns)으로 불림)는 본 발명의 일 실시예에 따른 데이터 쉴드 내에 규정된 슬랏 내에 배치된다. 데이텀 쉴드가 챔버 내에서 이용되는 곳에서, 데이텀 쉴드 슬라이드 커버 플레이트(120)는 포함될 수 있다. 데이텀 쉴드 슬라이드 커버 플레이트(120)는 증착 건이 프로세싱을 위해 사용되지 않을 수 있는 때에 증착 건을 봉하도록 기능한다. 예를 들어, 두 개의 증착 건(114)이 도 1a에 도시된다. 프로세스 헤드(114)는 수직 방향으로 이동 가능하며, 그 결과 건들 중 하나 또는 둘 모두는 데이텀 쉴드의 슬랏으로부터 올려질 수 있다. 두 개의 프로세스 헤드가 도시되지만, 임의의 개수의 프로세스 헤드가 포함될 수 있으며, 예컨대 셋 또는 네 개의 프로세스 헤드가 포함될 수 있다. 하나 이상의 프로세스 헤드가 포함되는 곳에서, 복수의 프로세스 헤드는 프로세스 헤드의 클러스터로서 언급될 수 있다. 또한, 프로세스 헤드의 클러스터는 도 2 및 도 3을 참조로 논의되는 바와 같이 축의 둘레를 회전가능하도록 구성될 수 있다. 슬라이드 커버 플레이트(120)는 올려진 프로세스 헤드를 프로세스 키트 쉴드(110) 내에 규정된 처리 영역으로부터 고립시키도록 이동될 수 있다. 이러한 방식으로, 프로세스 헤드는 원할 때 특정 프로세스로부터 배제될 수 있다. 비록 하나의 슬라이드 커버 플레이트(120)가 도시되지만, 다수의 슬라이드 커버 플레이트들이 포함되어 데이텀 쉴드의 각각의 슬랏 또는 구멍은 커버 플레이트와 결합될 수 있다. 선택적으로, 슬라이드 커버 플레이트(120)는 쉴드 유닛(110)의 상부와 일체화되어 프로세스 헤드가 올려짐에 따라 구멍을 덮을 수 있거나, 또는 개별적인 커버가 각각의 타겟을 위해 사용될 수 있다. 또한, 도 4를 참조로 기술된 챔버의 특정 양상은 이러한 챔버 디자인에 일체화될 수 있다.
도 1a의 챔버(100)의 상부 섹션(116)은 측벽 및 프로세스 키트 쉴드(110)를 수용하는 탑 플레이트를 포함한다. 프로세스 헤드(114)에 고정되는 암 연장부(arm extensions)(114a)는 상부 부분(116) 내에 규정되는 영역을 통해 연장한다. 암 연장부(114a)는 적절한 구동부, 예컨대 리드 스크류, 웜 기어 등에 부착되어, 프로세스 헤드(114)를 상부 부분(116)의 탑 플레이트를 향하여 수직으로 이동하도록 구성된다. 암 연장부(114a)는 프로세스 헤드(114)에 회전식으로(pivotably) 부착되어 프로세스 헤드가 수직축에 대해 기울여질 수 있도록 구성될 수 있다. 일 실시예에서, 프로세스 헤드(114)는 개구(112)를 향하여 기울여진다. 다른 실시예에서, 암 연장부(114a)는 프로세스 헤드(114)의 수직 이동 및 기울임을 허용하는 벨로우(bellows)에 부착된다. 데이텀 쉴드가 이용되는 곳에서, 구멍은 프로세스 헤드의 기울임을 수용하도록 구성된다. 일 실시예에서, 프로세스 헤드는 수직 축에 대하여 10° 또는 그보다 적은 각도만큼 기울여진다. 프로세스 헤드의 틸팅은 튜닝이 가능하여, 건은 개구를 통해 증착되는 물질의 층의 균일성을 더 향상시키도록 베이스 플레이트에서 개구를 향하여 기울여질 수 있다.
도 1a에 도시된 바와 같이, 프로세스 키트 쉴드(110)는 수직 방향으로 이동가능하고, 프로세스 키트 쉴드의 축 둘레를 회전하도록 구성된다. 일 실시예에서, 프로세스 키트 쉴드(110)가 회전하는 축(111)의 중심은 기판 지지부(106)가 회전하는 축 중심 및 프로세스 헤드의 클러스터의 축(109) 둘 모두로부터 오프셋된다. 이러한 방식으로, 기판(108)의 복수의 영역은 도 4를 참조로 더 기술되는 바와 같이 결합식 프로세싱을 위해 노출될 수 있다. 프로세스 키트 쉴드(110)가 프로세스 헤드(114)의 상대적인 위치를 회전시키고 개구(112)가 동일하게 유지됨에 따라, 기판(108) 상의 영역의 프로세싱은 사이트에서 사이트로 보다 균일하게 될 것이며, 프로세스 헤드 각도 또는 상대적인 위치로 인한 변화를 포함하지 않을 것이다. 프로세스 헤드(114)가 개구(112)와 동일한 축 상에 클러스터링되는 것으로 기술되지만, 추가적인 헤드는 도핑, 임플란테이션 또는 소량의 물질, 예컨대 제한 없이 1 내지 10 %의 증착을 위해 헤드의 클러스터로부터 오프셋될 수 있다. 기판 전체의 영역으로의 접근을 제공하기 위한 대체적인 실시예는 도면에 도시된 바와 같이 호(arc) 형상으로 이동하는 것과 반대로 상부 챔버(116)의 선형적 이동을 포함할 수 있다.
도 1b는 본 발명의 일 실시예에 따른 프로세스 키트 쉴드의 예시적인 다른 위치를 도시하는 단순화된 개략도이다. 프로세스 키트 쉴드(110)는 챔버의 상부 섹션(116) 내에서 이동할 수 있다. 이하 기술되는 바와 같이, 프로세스 키트 쉴드(110)는 위치(110-1)과 (110-2) 사이를 이동하도록 축을 기준으로 회전할 수 있다. 선택적으로, 프로세스 키트 쉴드(110)는 위치(110-1)과 (110-2) 사이를 선형적으로 이동할 수 있다. 상부 섹션(116)은 이러한 이동을 수용하기 위한 임의의 적절한 형상일 수 있으며, 이는 원형, 콩팥 형상, 타원형, 사각형 등을 포함한다. 또한, 프로세스 키트 쉴드의 상대적인 사이즈는 헤드의 개수 및 다른 디자인 팩터, 예컨대 선형 대 회전형과 같은 이동 타입을 기반으로 구성될 수 있다. 따라서, 프로세스 키트 쉴드는 다른 디자인 팩터 뿐만 아니라 프로세스 헤드의 개수 및 구성에 의전하여 기판(108)보다 작거나, 크거나 그와 동일한 사이즈로 구성될 수 있다.
도 2는 본 발명의 일 실시예에 따른 결합식 처리용 챔버의 또 다른 모습을 도시하는 단순화된 개략도이다. 프로세스 챔버(100)는 상부 부분(116) 아래에 배치된 하부 부분(102)을 포함한다. 도 1에 언급된 기판 지지부는 하부 부분(102) 내에 수용된다. 도 2의 하부 부분(102)은 진공상태 형성, 또는 다른 프로세스 모니터링 동작을 위한 챔버로의 접근을 위해 이용될 수 있는 액세스 포트(136)를 포함한다. 또한, 하부 부분(102)은 기판이 하부 챔버(102)로 들어오거나 나가는 접근을 가능하게 하는 슬랏 밸브(134)를 포함한다. 일 실시예에서, 프로세스 툴(100)은 도 14를 참조로 더 기술되는 바와 같이 클러스터 툴의 일부일 수 있다. 당업자는 로봇이 슬랏 밸브(134)를 통해 기판을 프로세스 챔버(100)에 들여보내거나 밖으로 내보내도록 이용될 수 있음을 파악할 것이다. 프로세스 키트 쉴드(110)는 상부 부분(116) 내에 배치된다. 도 2를 참조로 기술되는 실시예에서, 상부 부분(116)은 프로세스 키트 쉴드(110)를 회전시키도록 이용되는 회전 스테이지(104)를 포함하며, 포함되는 경우, 프로세스 헤드와 함께 포함된다. 상부 부분(116) 내에 배치되는 프로세스 헤드는 회전 스테이지(104)의 상부 표면을 통해 돌출되는 대응하는 암 연장부(114a)에 부착된다. 또한, 회전 스테이지(104)의 상부 표면을 통한 돌출은 챔버 내의 프로세싱을 위한 열을 공급하기 위한 챔버(100)의 상부 부분(116) 내에 배치되는 가열 램프(130)이다.
도 2의 구동부(132)는 하부 부분(102) 내에 배치되는 기판 지지부를 회전하는 회전적 수단을 제공하도록 사용될 수 있다. 또한, 구동부(132)는 기판 지지부를 올리거나 내리는 기계적 수단을 제공할 수 있다. 도 2에 의해 기술되는 실시예에서, 네 개의 프로세스 건은 명세서의 다른 곳에서 기술되는 바와 같이 기판 지지부(106)와 다른 축에서 상부 부분(116)과 회전하도록 포함된다. 또한, 프로세스 키트 쉴드(110)의 베이스 아래와 기판 지지부의 상부에 배치된 기판은 기판 지지부에 의해 제공되는 회전 동작을 통해 회전될 수 있다. 프로세스 키트 쉴드(110)의 축, 프로세스 헤드의 축 및 기판 지지부의 축은 도 4를 참조로 보다 상세하게 도시된 바와 같이 기판 상의 영역의 패턴 또는 여역의 어레이를 획득하기 위해 서로 간에 오프셋되어 있다. 일 실시예에서, 프로세싱은 기판 상에 영역을 규정한다. 다른 실시예에서, 영역은 기규정되고 프로세싱 헤드는 영역에 대한 추가적인 프로세싱을 제공한다. 기판은 이 실시예에서 프로세스 키트 쉴드(110)의 베이스를 통해 위치되는 개구(112)를 통해 처리된다. 상술한 바와 같이, 프로세스 키트 쉴드(110)는 PVD(Physical Vapor Deposition) 또는 다른 플럭스 기반 프로세싱을 위해 사용되는 플라즈마를 가둘 것이다. 상부 부분(116) 내의 증착 건의 어레이 또는 클러스터는 증착되는 단일 물질 및 다양한 다른 프로세스 뿐만 아니라, 기판의 층에 상이한 물질의 코-스퍼터링을 가능하게 한다. 따라서, 물질들의 많은 조합, 또는 동일한 물질 또는 그 임의의 조합을 가지는 다수의 증착 건들은 상이한 영역에 적용되어 상이하게 처리된 영역의 어레이가 발생하도록 할 수 있다.
도 1 및 도 2를 참조로 기술되는 챔버는 종래의 프로세싱 툴이 포함되는 클러스터-툴에 도입될 수 있다. 따라서, 기판은 단일 기판 상에 다른 프로세싱 기술을 구현하기 위해, 종래의 방식으로 처리되고(즉, 웨이퍼를 가로질러 균일한 프로세싱을 제공하도록 하나의 프로세스 또는 프로세스의 세트에 웨이퍼 전체가 제공됨) 여기에 도시된 바와 같이 결합식 프로세싱 툴에 위치될 수 있다(도 14를 참조로 기술된 바와 같이 툴 내에 이동됨). 또한, 여기에 기술되는 실시예는 처리되는 기판의 상부 표면 및 증착 건 상의 타겟의 표면으로부터의 거리가 타겟의 직경보다 네 배 이상으로 큰 "롱 스로우(long throw)" 챔버를 제공한다. 예를 들어, 일 실시예에서, 타겟은 2 내지 3 인치의 사이즈를 가질 수 있으며, 처리되는 기판의 상부 표면으로부터 타겟까지의 거리가 약 8 내지 약 12 인치로 구성될 수 있다. 다른 실시예에서, 증착 건 상의 타겟의 표면은 타겟의 직경의 6 배보다 크다. 이러한 거리는 기판 상의 개구(112)에 의해 규정되는 영역 내에 증착되는 물질의 균일성을 향상시킬 것이다. 다시 말해, 기판이 상이하게 처리되는 영역을 구비하지만, 각각의 영역은 결합식 프로세싱을 통해 가능한 변화를 구현하기 위해 실질적으로 위치상 균일하게 될 것이다. 증착률은 타겟에서 기판까지의 거리가 증가함에 따라 감소할 것임을 주목해야 한다. 이러한 거리 상의 증가는 제조 툴의 처리량에 부정적인 영향을 미칠 것이며, 따라서 종래의 처리용 툴를 위해 고려되지는 않는다. 그러나, 야기되는 균일성 및 결합식으로 단일 기판을 처리함으로써 획득되는 많은 데이터는 증착률의 감소로 인한 임의의 처리량에 대한 영향보다 더 크다. 챔버는 효율적이기 위해 롱 스로우를 요구하지 않지만, 이러한 배열은 구현될 수 있는 구성이다.
도 3은 본 발명의 일 실시예에 따라 도 2의 프로세스 챔버의 다양한 컴포넌트를 상세하게 도시하는 단순화된 개략도이다. 도 3에서, 회전 스테이지는 암 연장부(114a)가 돌출하는 상부 플레이트를 포함한다. 암 연장부(114a)는 프로세스 헤드(114)에 부착된다. 프로세스 헤드(114)가 증착 건인 실시예에서, 타겟(140)은 그에 부착된다. 당업자는 일 실시예에서 타겟(140)이 자력(magnetism)을 이용하여 헤드(114)에 결합될 수 있음을 파악할 것이다. 증착 건(114)은 KURT J.LESKER 사 또는 MEIVAC 사에서 제조한 것과 같은 상업적으로 사용가능한 건일 수 있다. 세 개의 증착 건이 도 3의 실시예에서 클러스터링되었지만, 임으의 적절한 수의 증착 건이 프로세스 툴에 포함될 수 있다. 프로세스 헤드(114)는 프로세스 키트 쉴드(110)에 의해 규정되는 기판의 방사상 부분 상에 클러스터링된다. 프로세스 헤드의 사이즈, 프로세스 키트 쉴드(110)에 의해 규정되는 가둠 영역, 및 기판 사이즈와 같은 고려사항들은 시스템에 적합할 수 있는 증착 헤드의 개수에 영향을 미칠 것이다.
암(144)는 회전 스테이지의 상부 플레이트를 통해 프로세스 키트 쉴드(110) 내에서 규정되는 가둠 영역(confinement area)으로 돌출한다. 암(144)은 프로세스 키트 쉴드(110)의 베이스로 연장되고 개구(112)에 대해 커버를 제공하는 섹션(114a)을 구비한 베이스의 표면 상에 방사상으로 연장될 것이다. 따라서, 암(144)를 회전하고 비틀 회전 메커니즘은 개구(112)를 덮고 열도록 사용될 수 있다. 이러한 방식으로, 개구(112)는 봉해져, 인화(burn in) 또는 기판 지지부(106) 상에 배치된 기판을 고립시키는 것이 유리한 다른 프로세싱 작업 도중, 개구(112)는 회전 암(144)에 의해 폐쇄될 수 있다. 다른 폐쇄 메커니즘, 예컨대 도 1에 기술된 커버 플레이트가 또한 적용될 수 있다.
도 3에 도시된 바와 같이, 회전 스테이지(104) 및 프로세스 키트 쉴드(110)는 개구(112)를 기판 지지부(106) 상에 배치된 기판의 상이한 영역 상에 이동시키기 위해 회전된다. 바람직하게, 프로세스 헤드의 클러스터의 축은 개구(들)의 축과 동일하여, 보다 균일한 프로세싱 결과가 결합식으로 처리된 영역을 가로질러 획득되고, 따라서, 그 결과는 영역에 대한 프로세스 헤드의 각도 또는 위치와 대조적으로 다른 프로세싱에 의해 설명될 수 있으나, 이러한 구성은 반드시 요구되는 것은 아니다. 또한, 기판 지지부(106)는 그 축을 중심으로 회전하여 도 4에 도시된 바와 같이 많은 영역이 처리되는 기판의 표면 상에 노출될 것이다. 구동 메커니즘(146)은 기판 지지부(106)를 회전시키는 회전력을 제공할 수 있다. 또한, 선형 구동부는 기판 지지부의 수직적 이동을 가능하게 하기 위해 기판 지지부(106)와 결합될 수 있다. 따라서, 프로세스 키트 쉴드(110)과 프로세스 키트 쉴드의 베이스의 대응하는 개구(112)의 회전 이동을 통해, 기판 지지부(106)의 회전 이동과 결합하여, 기판의 임의의 영역은 결합식 프로세싱에 접근될 수 있다. 프로세스 키트 쉴드(110)의 회전 이동은 기판 지지부(106) 상에 배치된 기판을 가로질러 개구(112)의 방사형 이동을 제공한다. 프로세스 키트 쉴드(110)의 회전축은 기판 지지부(106)의 회전축으로부터 오프셋된다.
도 3a는 본 발명의 일 실시예에 따른 이중 회전 스테이지 프로세싱 챔버를 제공한다. 도 3a의 챔버(102)는 이중 회전 밀봉을 도입하여 기판 지지부가 기판 지지부의 중심축 및 중심축으로부터 오프셋된 축 둘 모두의 중심으로 회전할 수 있다. 이러한 방식으로, 프로세스 키트 쉴드는 정적이지만 웨이퍼의 전 영역을 커버하는 것이 달성된다. 도 3b는 본 발명의 일 실시예에 따른 이중 회전 스테이지의 상면도를 제공한다. 중심축 기판 지지부 회전 스테이지(103)는 기판 지지부의 중심축 중심의 회전을 제공하는 반면, 방사상 회전 스테이지(105)는 비-중심축(off center axis) 중심으로 기판 지지부 회전을 제공한다. 일 실시예에서, 회전 스테이지(103)는 4 인치의 회전 실(rotary seal)을 포함하는 반면, 회전 스테이지(105)는 10 인치의 회전 실을 포함한다. 다른 실시예에서, 비-중심축과 중심축 간의 거리는 처리되는 기판의 직경의 약 절반이다. 당업자는 회전 실의 예시적인 치수 및 중심축으로부터의 비-중심축까지의 거리가 상이한 구성이 여기에 기술되는 실시예의 범위 내에 포함되므로 제한적으로 해석되지 않는 것을 파악할 것이다. 도 3a에 도시된 바와 같이, 이중 회전 스테이지를 달성하기 위한 구조는 주로 챔버(102)의 하부 표면에 부착된다. 플랜지(101)는 챔버(102)의 하부 표면에 배치된다. 제 1 회전 실(113)은 플랜지(101)의 하부 표면에 부착된다. 어댑터(115)는 제 1 회전 실(113)과 제 2 회전 실(121)을 일체화하는 수단을 제공한다. 예시적인 실시예에 도시된 바와 같이, 제 1 회전 실(113)은 제 2 회전 실(121)보다 크다. 대응하는 구동 모터는 기판 지지부가 그 축 중심 및 비-중심축 중심으로 구동하기 위한 힘을 제공하여, 이 대체적인 실시예에서 프로세스 키트 쉴드가 이동하지 않고도 프로세스 키트 쉴드의 개구를 통해 기판의 모든 표면의 증착이 가능하도록 한다. 도 3a 및 도 3b의 실시예에 따르면, 기판 지지부는 기판 지지부의 경계 주위에 규정된 제 1 회전 실과 함께 도시된다. 또한, 기판 지지부는 제 1 회전 실을 확장하는 제 2 회전 실을 구비하는 플레이트(회전 스테이지(105))에 일체화된다.
도 4는 도 1 내지 도 3을 참조로 기술된 본 발명의 일 실시예에 따른 프로세스 헤드 및 기판 둘 모두를 회전하는 기능을 통해 달성되는 패턴을 도시하는 단순화된 개략도이다. 기판(108)은 회전될 수 있고, 프로세스 헤드의 어레이 또는 클러스터, 예컨대 증착 건들은 회전될 수 있다. 도시된 바와 같이, 기판(108)의 회전 축은 건 또는 건들의 클러스터의 회전 축으로부터 오프셋되고, 전술한 두 회전축들은 일 실시예에서 프로세스 키트 쉴드의 회전축으로부터 오프셋된다. 프로세스 키트 및 프로세스 헤드 또는 건이 회전함에 따라, 기판 표면을 가로지르는 방사상의 이동은 다수의 영역들(180)을 규정하기 위해 제공된다. 일 실시예에서, 프로세스 키트 쉴드의 베이스 내의 구멍, 즉 개구는 중앙에 배치되거나 또는 베이스의 중앙으로부터 오프셋될 수 있으나, 바람직하게 전술한 바와 같이, 프로세스 헤드와 축상으로 배열되고 그러한 배열은 프로세스 키트의 회전 도중 유지된다(비록 시스템이 도핑, 임플란테이션 또는 축상으로 배열되지 않거나 각도를 가지며 위치되는 다른 프로세싱을 위한 추가적인 프로세스 헤드를 포함하더라도). 또한, 개구는 명세서의 다른 곳에서 언급되는 바와 같이 임의의 형태로 구성될 수 있다. 예를 들어, 개구(112)가 사각형 형태이면, 개구는 베이스 플레이트의 고정된 지점에서 회전할 수 있어, 프로세스 헤드(114)가 회전될 때 사각형 영역은 정렬된 어레이(즉, 영역 경계들 간의 다양한 각도와 대조적으로 거의 평행한 영역 경계)로 생성된다. 기판(108)의 회전은 실질적으로 기판 전체로의 접근을 더 가능하게 할 것이며, 기판의 다수의 영역들 상에 프로세싱 또는 증착을 허용할 것이다. 영역들은 중복될 수 있거나 영역들은 고립될 수 있다. 물론, 중복과 고립된 영역의 일부 조합도 가능하다.
도 4a는 본 발명의 일 실시예에 따른 도 3a 및 도 3b의 이중 회전 스테이지 구성을 통해 달성되는 패턴을 도시하는 단순화된 개략도이다. 제 1 회전 스테이지는 라인(117)로 지시된 바와 같이 기판의 중심축 중심에 대한 기판의 회전을 제공한다. 제 2 회전 스테이지는 라인(119)로 지시되는 바와 같이 축(119-1) 중심에 대한 기판의 회전을 제공한다. 축(119-1)에서 기판이 회전하는 중심축까지의 거리는 원(121)의 반지름으로 지시된다. 일 실시예에서, 이러한 거리는 약 기판의 반지름과 동일하다. 그러나, 거리는 원하는 만큼 변경될 수 있다. 이중 회전 스테이지를 도입하는 실시예는 비-중심축 중심에 대한 기판(및 기판 지지부)의 회전을 수용함으로 인해 큰 발자취를 가지는 챔버를 포함한다.
도 5는 본 발명의 대체적인 실시예를 도시한다. 결합식 프로세싱 챔버(400)는 상부 챔버(416) 및 하부 챔버(402)를 포함한다. 하부 챔버(402)는 기판 지지부(406)를 포함함, 이는 기판(108)을 지지하기 위해 상술한 바와 같이 회전할 수 있다. 상부 챔버(416)는 외벽, 구멍(이하 보다 상세하게 기술됨)을 구비하는 베이스 플레이트, 이동가능한 쉴드(410), 프로세싱 헤드(414) 및 선택적으로 데이텀 쉴드를 포함한다. 구멍(4121), 선형 마스크(418a) 및 슬라이드가능한 플레이트(418b)는 이하 보다 상세하게 기술된다. 도 5는 커버 플레이트(420) 및 키트 쉴드(410)의 대체적인 실시예를 도시한다. 이 실시예에서, 키트 쉴드(410)는 수직적으로 이동가능하다. 도시된 바와 같이, 상부에 위치하는 경우, 키트 쉘드(410)는 커버 플레이트(420)에 대한 접근을 제공하는 밸브를 차단한다. 헤드의 변화가 요구되면, 키트 쉴드(410)는 아래로 이동할 수 있고, 커버 플레이트(420)는 챔버로 이동하여 상부 챔버(416)의 아래 부분과 프로세스 헤드(414)를 포함하는 상부 부분 사이에 차단을 제공한다. 이러한 방식으로, 헤드는 변경되거나, 제공되거나 또는 챔버(416)의 아래 부분의 진공 상태를 손실하거나 대기로의 노출 없이 추가적인 프로세싱을 위해 변경될 수 있다. 이러한 챔버 구현은 도 1의 챔버와 함께 사용될 수 있고 다양한 컴포넌트는 여기에 포함되는 본 발명의 상세한 설명을 기반으로 당업자에 의해 이해되는 바와 같이 상호교환적으로 사용될 수 있다.
도 6은 본 발명의 일 실시예에 따른 대체적인 프로세싱 챔버를 도시하는 단순화된 개략도이다. 프로세스 챔버(400)의 하부 부분(402)은 접근 포트(436) 및 슬랏 밸브(434)를 포함한다. 슬랏 밸브(434)는 기판의 프로세스 챔버(400)로의 진입 및 그로부터의 배출을 위한 접근을 제공한다. 진공 펌프(456)는 챔버 부분(402) 내에서 발생하는 특정 프로세싱 동작, 예컨대 증착 동작에 필수적인 매우 높은 진공 조건을 제공하기 위해 접근 포트에 부착된다. 프로세스 키트 슬리브(410)는 프로세싱 챔버(400) 내에 배치되고 상부 부분(406)은 프로세스 키트 쉴드의 시야를 제공하기 위해 소량 올려진다. 로드(rods)(452,454)는 챔버 부분(402)으로부터 연장되고 선형 마스크 및 프로세스 키트 쉴드(410)의 베이스를 통해 규정되는 개구를 노출하거나 폐쇄할 대응하는 플래그를 제공한다. 로드(452,454)에 대한 메커니즘의 보다 구체적인 내용은 도 7a 내지 도 9를 참조로 제공된다.
상부 챔버 부분(406)은 복수의 타겟들(453)을 보유하는 연장부(450)를 포함한다. 복수의 타겟들(453)은 프로세스 챔버(400)로 이동되고 대응하는 증착 헤드에 위치될 수 있다. 당업자는 로봇이 타겟을 증착 건으로부터 제거하고 타겟을 저장 연장부(450) 내에 위치할 수 있음을 인식할 것이다. 제거된 타겟을 저장한 후, 로봇은 저장된 타겟들(453)로부터 다른 타겟을 집어오고 타겟을 대응하는 프로세스 헤드에 위치시킬 수 있다. 일 실시예에서, 타겟은 자력으로 프로세스 헤드에 부착된다. 이 실시예에서, 로봇은 타겟을 집을 수 있으며 프로세스 헤드는 집어넣어져, 타겟은 제거를 위해 프로세스 헤드로부터 분리된다. 많은 종류의 물질은 여기에 기술된 실시예를 통해 결합적 방식으로 프로세싱 챔버 내에서 단일 기판 상에 증착될 수 있다. 다수의 헤드는 상이한 물질들을 기판의 상이한 영역들에 코-스퍼터링 하도록 사용될 수 있거나 및/또는 상이한 물질들의 코-스퍼터링 도중 다른 프로세싱 조건들로 물질을 코-스퍼터링하도록 사용될 수 있다. 따라서, 단일 기판은 물질, 프로세스 조건, 프로세스 시퀀스 및 단위 프로세스에 대한 다수의 조합에 대한 데이터를 산출할 수 있다.
도 7a 및 도 7b는 본 발명의 일 실시예에 따른 도 6을 참조로 전술된 선형 마스크 실시예의 추가적인 세부사항을 도시한다. 도 7a에서, 기판 지지부(406)는 그 안에 배치된 기판(108)을 구비한다. 프로세스 쉴드(410)는 기판(108)의 방사상 부분 상에 프로세싱 영역을 가둔다. 선형 마스크(418a)는 슬라이드가능한 커버(418b)를 위한 지지를 제공한다. 또한, 도 7b에 도시된 바와 같이, 선형 마스크(418a)는 그를 통해 규정된 개구(412)를 구비한다. 개구(412)는 커버 플레이트(418b)의 이동을 통해 노출되거나 덮여진다. 선형 마스크(418a)는 그를 통해 규정된 많은 개구들(412)를 구비할 수 있으며 단일 개구에 제한되지 않는다. 또한, 개구(412)의 형상은 임의의 적절한 형상이며 원형으로 제한되지 않고, 사변형의 타원(quadrilateral oval), 오각형, 호, 쐐기 모양 또는 다른 형상일 수 있다. 선형 마스크(418a)는 기판(108)의 표면의 방사상 부분을 가로질러 선형적으로 이동하기 위해 로드(454)에 부착된다. 커버 플레이트(418b)는 로드(452)에 부착되어, 커버 플레이트(418b)는 필요할 때마다 개구(412)를 노출시키거나 고립시키도록 독립적으로 이동될 수 있다. 이 실시예에서 프로세스 키트 쉴드(410)는 베이스의 직경을 가로질러 규정된 슬랏을 구비하여, 개구(412)는 기판(108)의 영역을 노출시키도록 선형 마스크(418a)의 이동을 통해 슬랏을 따라 어느 곳으로도 이동할 수 있다.
도 8a 및 도 8b는 본 발명의 일 실시예에 따른 결합적 방식으로 기판을 처리하기 위해 개구를 노출하고 고립시키도록 선형 마스크 및 커버 플레이트를 이용하는 것을 더 도시한다. 기판 지지부(406)는 프로세스 키트 쉴드(410)가 프로세싱을 위해 기판의 방사상 부분을 고립시키거나 가두는 곳에서 기판을 지지한다. 상술한 바와 같이, 키트 쉴드는 기판 전체 또는 그 일부만을 커버할 수 있다. 프로세스 키트 쉴드(410)는 프로세스 헤드(414)를 위한 개구를 구비하는 데이텀 쉴드로서 기능할 수 있는 상부 플레이트(460)을 선택적으로 포함한다. 선형 마스크(418a)는 프로세스 키트 쉴드(410)의 베이스의 직경을 가로질러 연장된다. 프로세스 키트 쉴드(410)의 베이스는 베이스를 가로질러 규정되는 슬랏을 포함하며, 여기서 슬랏은 바람직하게 개구(412)보다 더 넓어, 선형 마스크(418a)의 개구(412)는 처리될 영역을 규정한다. 선형 마스크(418a)는 기판 상의 처리될 영역을 따라 배열하거나 규정하도록 베이스 플레이트를 가로질러 이동가능하다. 커버 플레이트(418b)는 개구(412)를 노출하거나 덮기 위해 선형 마스크(418a)의 표면 위를 슬라이드가능하게 이동할 것이다. 도 8a에서, 개구(412)는 커버 플레이트(418b)가 후퇴함에 따라 노출된다. 도 8b에서, 커버 플레이트(418b)가 앞으로 이동할 때 개구(412)는 덮여진다. 전술한 바와 같이, 개구(412)의 폐쇄에 의한 기판의 고립은 프로세스 키트 쉴드(410) 내에서 프로세싱, 챔버 또는 헤드 조건 설정, 전-처리 단계 등이 기판(108)에 영향을 가하지 않은 채 발생하도록 할 것이다.
도 9는 본 발명의 일 실시예에 따른 선형 마스크 및 이동 로드로의 대응하는 연결을 도시하는 단순화된 개략도이다. 기판 지지부(406)는 그 위에 배치된 기판(108)을 구비한다. 선형 마스크(418a)는 기판(108)의 부분 위에 배치되고 프로세스 키트 쉴드의 베이스를 따라 배치된다. 커버 플레이트(418b)는 선형 마스크(418a)의 표면 위를 슬라이드가능하게 이동하여 선형 마스크 내의 개구가 커버 플레이트(418b)의 이동에 의해 덮혀지거나 열려질 수 있다. 로드(452)는 커버 플레이트(418b)의 이동을 제어하도록 구성된다. 일 실시예에서, 로드(452)는 단순하게 선형 마스크(418a) 내의 홈이 형성된 영역을 가로질러 커버 플레이트를 슬라이드 가능하게 밀어내기 위해 커버 플레이트(418b)에 부착되는 푸쉬 로드일 수 있다. 로드(454)는 이와 유사하게 기판(108)의 표면 위에서 선형 마스크(418a)의 이동을 제어하여, 개구 또는 개구들이 프로세스 키트 쉴드(410)에 의해 규정되는 가둬진 처리 영역 내에서 기판(108)의 상이한 영역들 위에 위치될 수 있을 것이다. 이러한 동작은 기판이 한 위치에서 덮혀질수 있도록 하는 하나의 마스크 또는 커버 플레이트와 함께 구현될 수도 있으며, 하나 또는 극 이상의 개구의 위치가 다른 위치의 쉴드(410)의 베이스 플레이트 내에 위치하도록 허용한다. 이러한 설정은 추가적인 선형 이동을 요구하는 반면, 다수의 이동부를 구비하는 것을 제거한다. 이러한 시스템 또는 기술된 다른 시스템에서, 마스크 또는 커버 플레이트는 도시된 바와 같이 상부에 위치하는 것보다 베이스 내에 내장될 수도 있다.
도 10a는 본 발명의 일 시릿예에 따른 선형 마스크를 구비한 프로세스 키트 쉴드(410)의 단면도를 도시하는 단순화된 개략도이다. 프로세스 키트 쉴드(410)는 프로세스 키트 쉴드(410)의 베이스를 가로질러 연장하는 슬랏(412a)을 포함한다. 이 실시예에서, 슬랏(412a)은 프로세스 키트 쉴드의 베이스의 직경을 가로질러 연장한다. 슬랏 위에 배치된 것은 슬라이드 가능한 커버 플레이트(418b)를 가지는 선형 마스크(418a)이다. 선형 마스크(418a)는 쉴드(410)의 베이스의 구멍을 가로질러 선형적으로 마스크를 이동함으로써 슬랏(412a)을 따라 어느 곳으로도 조절될 수 있는 개구(412)를 포함한다. 개구(412)는 임의의 적절한 형상일 수 있다. 일 실시예에서, 커버 플레이트(418b)는 선형 마스크(418a)의 임의의 표면에 접촉하지 않는다. 도 10a에 도시된 바와 같이, 개구(412)를 규정하는 내부 표면은 일 실시예에서 경사지거나 비스듬하게 구성될 수 있다.
당업자는 대응하는 조각들 간의 갭은 본 발명의 일 실시예에 따라 플라즈마를 비추지 않도록 충분히 작은 것을 인식할 것이다. 예를 들어, 슬라이드 커버 플레이트(418b) 및 선형 마스크(418a) 간의 갭은 약 1/50 인치일 수 있다. 유사하게, 선형 마스크(418a)의 하부 표면과 프로세스 키트 쉴드(410)의 베이스의 상부 표면 간의 갭은 약 1/50 인치이다. 일 실시예에서, 프로세스 키트 쉴드(410)의 베이스의 두께는 약 1/25 인치이다. 또한, 프로세스 키트 쉴드는 기판의 표면 위로 약 1/50 인치의 거리만큼 이격되어 위치될 수 있다. 따라서, 선형 마스크(418a)의 하부 표면과 프로세스 키트 쉴드(410)의 베이스 아래에 배치된 기판의 상부 표면으로부터의 전체 거리는 약 2/25 인치이다. 전술한 바와 같이, 프로세스 키트 쉴드(410), 선형 마스크(418a), 및 커버 플레이트(418b)를 위한 구조물의 물질은 세라믹일 수 있거나, 또는 처리물질 및 조건들과 양립할 수 있는 임의의 적절한 물질이 이용될 수 있다.
도 10b는 도 10a의 프로세스 키트 쉴드의 베이스에 대한 대체적인 구조적 구성이다. 프로세스 키트 쉴드(410)의 베이스는 구멍 또는 슬랏(412a)을 규정한다. 슬랏(412a) 위에 배칟되는 것은 선형 마스크(418a)이다. 프로세스 키트 쉴드(410)의 베이스는 슬랏(412a)의 길이를 따라 규정되는 쇼율더(shoulder)를 포함하며, 상기 쇼율더는 선형 마스크(418a)에 대한 지지를 제공한다. 선형 마스크(418a)는 개구($12)를 포함하며, 이는 슬랏(412a) 상에 배열될 때 프로세스 키트 쉴드(410)의 베이스 아래에 배치된 기판의 표면에 대한 접근을 제공한다. 슬랏(412a)은 고정도니 구멍이지만, 개구(412)는 선형 마스크(418a) 내에 고정되며, 선형 마스크(418a)의 이동에 의해 지시되는 대로 이동가능하다. 상술한 바와 같이, 선형 마스크(418a)는 다수의 개구를 구비할 수 있고, 커버 플레이트(418b)는 프로세싱 환경에 따라 개구들 중 하나 또는 그 이상으로의 접근을 차단할 수 있다. 선형 마스크(418a)은 또한 커버 플레이트(418b)를 지지하도록 구성된 쇼율더를 포함한다. 일 실시예에서, 선형 마스크(418a) 및 커버 플레이트(418b)는 그들이 배치된 곳 위의 쇼울더 표면에 접촉하지 않는다. 도 10b의 실시예는 간결한 프로파일을 허용하고, 상술한 바와 같이, 대응하는 조각들 간의 갭이 플라즈마를 비추지 않도록 충분히 작다. 또한, 선형 마스크(418a) 및 커버 플레이트(418b)가 실질적으로 베이스 플레이트와 함께 세정되므로, 이들은 영역의 프로세싱에 영향을 미칠 수 있는 개구 근처의 플라즈마로 섭동되지 않을 것이다. 도 10b의 실시예는 선형 마스크(418a)를 수용하도록 리세스(recess)를 구비하는 프로세스 키트 쉴드(410)의 베이스로서 더 기술될 수 있다. 선형 마스크(418a)는 유사하게 커버 플레이트(418b)를 수용하는 리세스를 포함한다. 도 10a에서, 선형 마스크(418a)는 프로세스 키트 쉴드(410)의 베이스의 상부 표면의 일부 위에 배치되는 반면, 커버 플레이트(418b)는 선형 마스크(418a)의 상부 표면의 리세스 내에 배치된다. 선형 마스크(418a) 및/또는 커버 플레이트(418b)가 리세스에 배치되는 실시예에서, 리세스의 측벽은 대응하는 선형 마스크 또는 커버 플레이트의 이동 방향을 나타낸다.
도 10c는 본 발명의 일 실시예에 따른 프로세싱 챔버에 배치된 기판을 결합식으로 처리하도록 구성된 프로세싱 챔버의 상면도를 도시하는 단순화된 개략도이다. 처리용 키트 쉴드를 포함하는 상부 부분(416)은 기판 지지부(106)의 일부 위에 배치된다. 선형 마스크(418a)는 프로세스 키트 쉴드의 베이스 플레이트의 슬랏 내에 배치된다. 도 10c의 실시예에서, 슬랏은 선형 마스크(418a)의 선형 이동을 나타낸다. 다시 말해, 기판 지지부(106)의 반경을 가로지르는 슬랏의 구성은 반경 위의 선형 마스크(418a)의 선형 이동을 규정한다. 따라서, 기판 지지부(106)에 배치된 기판의 전체 표면은 기판 지지부의 회전 및 선형 마스크(418a)의 선형 이동으로 인해 접근가능하다. 개구는 바람직하게 접근을 완료할 수 있도록 적어도 기판의 반경을 가로질러 이동해야하지만, 이러한 범위에 제한될 필요는 없다. 상부 부분(416)의 직경은 일 실시예에서 선형 마스크에 슬랏의 길이를 수용하도록 구성된다. 다시 말해, 슬랏의 길이는 상부 부분의 최소 직경을 결정할 것이다.
도 10d는 본 발명의 다른 실시예에 따른 결합식 프로세싱을 위한 베이스 플레이트 어셈블리의 단면도의 단순화된 도면이다. 도 10d에서, 프로세스 키트 쉴드의 베이스(411)는 그를 통해 규정된 슬랏(미도시)을 구비한다. 베이스(411)의 아래에는 커버 플레이트(418b) 및 선형 마스크(418a)(이동 개구 플레이트로서 언급될 수도 있음)가 구비되며, 둘 모두는 상호 간에 독립적으로 선형 이동한다. 도 10b를 참조로 상술한 바와 같이, 선형 마스크(418a) 및 커버 플레이트(418b)는 도 10d의 적층된 구조와 대조적으로 쇼율더에 의해 규정된 리세스 내에 배치될 수 있다. 또한, 위 도 10a, 도 10b 또는 도 10c 중 임의의 도면에서, 섀도우 마스크는 베이스(411), 선형 마스크(418a) 및 커버 플레이트(418b)의 위 또는 아래 중 어느 하나에 도입될 수 있어 프로세스에 제공되는 프로세싱 영역 내의 영역을 더 제한한다. 예를 들어, 캐패시턴스 또는 메모리 엘리먼트 테스팅을 위한 다수의 전극들은 섀도우 마스크를 사용하여 단일 영역에 도입될 수 있다. 마스크가 상부 챔버(416) 내에 있으면, 이는 바람직하게 가능한 한 얇아야 하고 실질적으로 선형 마스크보다 더 넓어 플라즈마의 섭동을 방지해야 하나, 이는 반드시 수행될 필요는 없다.
도 11은 본 발명의 일 실시예에 따른 데이텀 쉴드의 단면도를 도시하는 단순화된 개략도이다. 예시적인 데이텀 쉴드(160)는 프로세스 키트 쉴드(110) 아래의 기판의 표면에 대해 상대적으로 상이한 높이에 위치될 프로세스 헤드(114) 또는 증착 건을 위해 제공된다. 상술한 바와 같이, 데이텀 쉴드의 사용은 선택사항이며 여기에 기술되는 실시예에 필수적인 것은 아니다. 데이텀 쉴드가 포함되는 곳에서, 데이텀 쉴드는 도 8a 및 도 8b를 참조로 도시되는 바와 같이 모든 증착 건들(114)에 대해 유사한 높이를 제공하는 평면 형상이다. 선택적으로, 데이텀 쉴드(160)는 도 11에 도시된 바와 같이 증착 건 각각에 대해 상이한 높이를 가능하도록 할 수 있다. 데이텀 쉴드(160)는 프로세스 키트 쉴드(110) 위에 배치된다. 프로세스 헤드(114)는 암(114a)에 부착되고 데이텀 쉴드(160) 내의 프로세스 헤드를 수용하도록 구성된 슬랏 내에 배치된다. 데이텀 쉴드(160)는 데이텀 쉴드 내에 배치된 도관(170)을 통해 공급되는 냉각수를 구비할 수 있다. 물론, 냉각 특징부는 선택사항이다.
처리 기체(process gas)는 처리 기체 저장고(172,174)에서 대응하는 프로세스 헤드(114)로 공급될 수 있다. 일 실시예에서, 처리 기체는 암 연장부(114a)를 통해 프로세스 헤드(114)로 공급될 수 있다. 그리고 나서, 노즐(178)은 프로세스 헤드에 부착된 타겟(152) 근처에 처리 기체를 공급하도록 이용될 것이다. 대체적인 실시예에서, 처리 기체는 데이텀 쉴드(160)를 통해 노즐(176)로 공급된다. 상술한 바와 같이, 프로세스 헤드(114)는 데이텀 쉴드(160) 내에 규정된 구멍으로 들어가거나 그로부터 나오도록 이동할 수 있다. 또한, 슬라이드 커버 플레이트는 도 1에 도시된 바와 같이 증착 헤드가 구멍으로부터 제거될 때 데이텀 쉴드 내의 구멍을 고립시킬 수 있다. 이러한 방식으로, 프로세스 헤드는 원하는 대로 프로세스 키트 쉴드(110) 내의 프로세싱으로부터 고립될 수 있다. 선택적으로, 다른 메커니즘, 예컨대 홀 채우기(hole fill) 또는 플러그는 이러한 고립을 제공하도록 구현될 수 있다. 프로세스 헤드는 상술한 바와 같이 수직축으로부터 기울여질 수 있다. 일 실시예에서, 프로세스 헤드 중 일부는 기울어진 반면, 프로세스 헤드의 클러스터 내의 다른 것은 기울여지지 않고 처리되는 기판의 표면으로부터 상이한 높이에 위치될 수 있다. 일 실시예에서, 데이텀 쉴드(160)는 기판의 표면으로부터의 거리를 증가하거나 감소하도록 수직으로 이동할 수 있다.
도 12는 본 발명의 일 실시예에 따른 기판 위에 배치된 패턴을 도시하는 단순화된 개략도이다. 도 12에서, 영역(180)의 어레이는 기판(108) 상에서 처리된다. 프로세싱을 위한 영역으로의 접근을 제공하기 위해, 프로세싱 단계들 간에 그리고 실험적 디자인 개구(112)마다 베이스 플레이트 내의 슬랏 내에서 기판(108)의 표면을 가로질러 이동되고, 기판은 필요한만큼 회전되어 다수의 영역들은 하나의 기판에서 결합식으로 처리될 수 있다.
도 13은 본 발명의 일 실시예에 따른 대체적인 구성을 가지는 개구를 도시하는 단순화된 개략도이다. 기판(108)은 프로세스 키트 쉴드(110) 아래에 배치된다. 프로세스 키트 쉴드(110)는 기판(108)의 방사상 부분을 가두고, 프로세스 키트 쉴드의 베이스 내에 규정된 파이 형태 또는 삼각형 형태의 개구(112)를 포함한다. 기판(108)이 회전함에 따라, 기판(108)의 상이한 영역은 개구(112) 아래에 노출됨에 따라 처리될 수 있다. 이 실시예에서, 기판은 회전되고 특정 위치에서 정지되며, 프로세싱은 일어나고 그리고 나서, 기판은 다음 위치로 회전되어 기판의 다음 영역에서 처리되거나 증착된다. 프로세스 키트 쉴드(110)는 이 실시예에서 정지된 상태로 유지된다. 따라서, 여기에 기술되는 실시예를 통해, 기판의 고립되고 이산된(discrete) 영역은 단위 프로세스, 프로세스 시퀀스 및 결합적 방식으로 물질을 제공하기 위해 결합적 방식으로 상이하게 처리될 수 있다.
도 14는 본 발명의 일 실시예에 따른 일체화된 HPC(High Productivity Combinatorial) 시스템을 도시하는 단순화된 개략도이다. HPC 시스템은 복수의 프로세싱 모듈을 지지하는 프레임(900)을 포함한다. 프레임(900)은 일 실시예에 따르면 단일의 프레임일 수 있다. 일 실시예에서, 프레임(900) 내의 환경은 제어된다. 로드 락/팩터리 인터페이스(load lock/factory interface)(902)는 복수의 HPC 시스템의 모듈로의 접근을 제공한다. 로봇(914)은 모듈들 간의 기판(및 마스크)의 이동을 위해 제공되고 로드 락(902)으로 들어가고 그로부터 나오는 이동을 위해 제공된다. 모듈(904 내지 912)은 모듈들의 임의의 세트일 수 있고, 바람직하게 하나 또는 그 이상의 결합식 모듈들을 포함한다. 예를 들어, 모듈(904)은 배향(orientation)/가스배출(degassing) 모듈일 수 있으며, 모듈(906)은 플라즈마 또는 비-플라즈마 중 어느 하나를 기반으로 한 클린 모듈일 수 있으며, 모듈(908,910)은 본 발명에 따르거나 다른 디자인에 따른 결합식 모듈일 수 있으며, 모듈(912)은 실험 디자인에 필요한 대로 종래 방식의 클린 또는 가스 배출을 제공할 수 있다.
임의의 타입의 챔버 또는 챔버들의 조합이 구현될 수 있으며, 여기에 기술되는 내용은 단지 하나의 가능한 조합을 설명하는 것이며 결합식 프로세싱 또는 결합식과 종래의 프로세싱을 합한 기판/웨이퍼의 프로세싱을 조합하도록 지원될 수 있는 잠재적인 챔버 또는 프로세스를 제한하는 것으로 해석되지 않는다. 일 실시예에서, 중앙집중화된 컨트롤러, 즉 컴퓨팅 장치(911)는 HPC 시스템의 프로세스를 제어할 수 있다. 하나의 가능한 HPC 시스템의 추가적인 세부사항은 미국 특허출원번호 11/672,478 및 11/672,473에 기술된다. HPC 시스템을 사용하여, 복수의 방법이 결합식 프로세스를 도입하여 기판 상에 물질을 증착하도록 도입될 수 있다.
도 15는 본 발명의 일 실시예에 따른 결합식으로 기판을 처리하기 위한 방법 동작을 도시하는 흐름도이다. 상기 방법은 기판을 받는 동작(200)으로 시작한다. 상술한 바와 같이, 기판은 전술한 바와 같이 기판을 결합식으로 처리하는 기능을 가지는 프로세스 툴로 들여보내질 수 있다. 그리고 나서, 상기 방법은 기판의 일부가 고정된 개구를 포함하는 베이스 플레이트를 통해 프로세싱을 위해 노출되는 동작(202)로 진행한다. 도 1 내지 도 3에 기술된 고정된 개구를 구비한 베이스를 가지는 프로세스 키트 쉴드 또는 도 4 내지 도 9에 기술된 이동가능한 선형 마스크 및 커버 플레이트를 구비한 슬랏은 동작(202)의 기판의 일부를 노출할 수 있는 예시적인 툴이다. 본 발명의 이들 실시예 각각에서, 커버 플레이트는 베이스 플레이트 및/또는 선형 마스크를 통해 기구를 노출시키도록 이동되거나 조절될 수 있다. 그리고 나서, 상기 방법은 기판의 영역이 처리되는 동작(204)로 진행한다. 프로세스는 상술한 바와 같이 기판의 방사상 부분 위에 프로세스 키트 쉴드 내에서 플라즈마를 생성하는 단계를 포함하거나, 증착, 에칭, 클리닝 등 또는 임의의 다른 적절한 프로세싱 동작을 가능하게 하는 다른 잘 알려진 방법을 포함할 수 있다. 영역은 다음 단계로 이동하기 전에 순차적으로 수행되는 다수의 프로세스 단계를 구비할 수 있거나 또는 하나의 프로세스 단계만을 구현할 수 있다. 프로세스 동작(204) 후, 결정 동작(205)에서 프로세싱을 계속할지 여부가 결정된다. 프로세싱이 계속되지 않으면, 상기 방법은 종료한다. 프로세싱이 계속되면, 개구 또는 기판을 이동할지 여부가 결정된다. 개구가 이동될 예정이면, 프로세스 키트가 회전되거나 또는 선형 마스크 및 커버 플레이트가 동작(206)에서 조정될 것이다. 기판이 이동할 예정이면, 기판 지지부는 동작(208)에서 회전된다. 예를 들어, 개구가 이동되면, 상기 방법은 기판이 회전될 필요가 있는지 여부를 결정할 수 있거나 또는 다른 동작이 병렬적으로 발생할 수 있다. 개구 및/또는 기판이 이동되면, 프로세스는 동작(202)로 돌아가고 상술한 바와 같이 반복된다. 따라서, 기판 상의 다수의 영역들은 실험이 완료되거나 또는 추가적인 영역을 생성하기 위한 기판의 공간이 없을 때까지 다수의 영역들을 위해 이용되는 상이한 물질, 상이한 프로세스 조건, 상이한 단위 프로세스, 또는 상이한 프로세스 시퀀스를 포함하는 결합적 방식으로 처리된다.
요약컨대, 상술한 실시예는 일 실시예에서 결합식 프로세스가 증착 시스템 내의 기판에 적용가능하도록 할 수 있다. 챔버 내에 배치되고 기판 표면과 마주보는 단일의 프로세스 헤드 또는 프로세스 헤드의 클러스터는 프로세스 헤드와 기판 표면 사이의 베이스 플레이트의 구멍을 통해 기판 표면으로 접근한다. 프로세스 헤드의 축은 실질적으로 처리되는 기판의 평면 또는 기판이 안착하는 기판 지지부와 직교한다. 기판이 원형이 아닌 경우, 예컨대 사각형 또는 다른 형상인 경우, 방사상 부분(radial portion)은 기판의 폭 또는 길이로서 규정될 수 있다. 여기에 규정된 실시예의 프로세스 헤드는 방사상 부분 위에 클러스터링 되며, 본질적으로 기판의 절반에 집중되어, 증착되는 층의 균일성을 더 개선하지만, 반드시 그러할 필요는 없으며, 디자인 선택에 따라 보다 많거나 적은 기판의 영역을 커버할 수 있다.
청구될 수 있는 추가적인 실시예는 기판에 사이트 고립가능한 방식으로 박막을 증착할 수 있는 증착 시스템을 포함한다. 증착 시스템은 기판 지지부, 및 기판 지지부 위에 배치되는 타겟을 지지하는 증착 헤드를 포함한다. 기판 지지부와 마주보는 타겟의 표면은 기판 지지부의 상부 표면으로부터 이격된 다수의 타겟 직경들을 가진다. 시스템은 베이스 플레이트를 통해 규정되는 고정된 개구를 구비한 이동가능한 베이스 플레이트를 포함하며, 이동가능한 베이스 플레이트는 기판 지지부 위에 배치된다. 시스템은 이동가능한 베이스로부터 연장하는 측벽을 구비한 슬리브(sleeve)를 포함한다. 슬리브는 이동가능할 수 있으며, 데이텀 쉴드를 포함할 수 있다. 데이텀 쉴드는 증착 헤드를 수용하는 구멍을 구비한다. 일 실시예에서, 고정된 개구는 기판이 기판 지지부에 배치될 때, 기판의 표면의 일부를 증착 헤드로 노출시킨다. 시스템은 베이스 내의 개구의 일부를 덮도록 구성된 슬라이드 가능한 멤버를 포함할 수 있다. 시스템은 일 실시예에서 서로에 대해 축상으로(axially) 위치되는 다수의 증착 헤드들을 포함한다. 다수의 증착 헤드들은 증착 시스템 내에 배치되는 슬리브의 직경 내에서 함께 클러스터링되고, 슬리브는 일 실시예에서 기판 지집주의 방사상 부분을 고립시킨다. 다른 실시예에서, 슬라이드 가능한 멤버는 다수의 증착 헤드들의 축과 함께 배열된 개구의 일부를 노출시킨다.
일 실시예에서, 결합식으로 기판을 처리하는 방법이 제공된다. 상기 방법은 기판을 받는 단계 및 증착을 위해 고정된 개구를 포함하는 베이스 플레이트를 통해 기판의 적어도 한 영역을 노출하는 단계를 포함한다. 기판의 일부 위에 규정된 영역에 가둬진 플라즈마가 생성된다. 영역은 베이스 플레이트로부터 연장된 벽에 의해 규정되고, 기판의 부분은 영역을 포함한다. 상기 방법은 영역 내 기판 위에 배치된 타겟으로부터 영역 상에 물질의 층을 증착하는 단계를 포함하며, 타겟은 기판의 영역으로부터 다수의 타겟 직경을 가진다. 추가적인 방법의 특징은 기판의 상이한 영역을 노출시키는 단계, 및 상기 상이한 영역에 물질의 층을 증착하는 단계를 포함한다. 기판의 상이한 영역을 노출시키는 단계는 베이스 플레이트 및 고정된 개구 위에 배치된 슬라이드 플레이트를 이동시키는 단계를 포함할 수 있다. 일 실시예에서, 증착은 영역 내 기판 위에 축 상으로 배치된 다수의 타겟들로부터 야기되고, 다수의 타겟들의 축은 적어도 한 영역과 함께 정렬된다. 다른 실시예에서, 다수의 타겟 직경들은 적어도 6 개의 타겟 직경들이며, 다수의 타겟들 중 하나 또는 그 이상은 영역으로부터 고립될 수 있다.
기판의 이산된 영역(discrete regions) 상에 박막을 증착하도록 구성된 시스템이 또한 제공된다. 시스템은 기판을 지지하는수단 및 상기 기판 지지 수단과 마주보는 타겟으로부터 물질을 생성하는 수단을 포함하며, 타겟은 기판 지지 수단에 배치된 기판으로부터 이격된 다수의 타겟 직경들을 가진다. 시스템은 타겟으로부터 물질을 증착시키기 위해 기판의 영역을 노출시키는 수단을 더 포함한다. 시스템은 기판 지지 수단의 섹션 위에 규정된 프로세싱 영역 내의 타겟으로부터 물질을 포함하는 수단 및 물질 포함 수단의 상부 부분을 이동시키는 수단을 포함할 수 있다. 다수의 타겟은 생성 수단을 위해 사용될 수 있다. 시스템은 다수의 타겟들 중 하나를 기판 지지 수단의 섹션 위에 규정된 프로세싱 영역으로부터 고립시키는 수단을 포함할 수 있다.
다른 실시예에서, 결합식 증착 챔버가 제공된다. 챔버는 증착 챔버 내에 위치된 기판 지지부를 포함하며, 기판 지지부는 방사상 섹션을 구비하고, 복수의 증착 헤드가 기판 지지부 위에 배치된다. 복수의 증착 헤드는 기판 지지부와 마주보는 타겟 표면을 구비하고, 기판 지지부의 방사상 섹션 위에 축 상으로 위치된다. 챔버는 기판 지지부의 방사상 섹션 위에 배치된 베이스 플레이트를 포함하고, 베이스 플레이트는 그를 통해 규정된 구멍을 구비한다. 베이스 플레이트는 기판 지지부의 방사상 섹션 위에 가둠 영역을 규정하기 위해 베이스 플레이트로부터 연장되어 측벽을 포함한다. 베이스 플레이트는 기판 지지부의 방사상 섹션 위의 영역에 플라즈마를 가둘 수 있다. 복수의 증착 헤드 각각은 기판 지지부의 표면에 대해 수직 방향으로 이동하도록 구성된다. 복수이 증착 헤드 각각은 일 실시예에서 챔버의 플라즈마 영역으로부터 독립적으로 고립될 수 있다. 다른 실시예에서, 복수의 증착 헤드의 일부는 상이한 직경을 가진다. 챔버는 기판 지지부 상에 배치된 기판의 방사상 부분의 노출된 세그먼트의 표면에 증착 영역을 규정하도록 구성된 단일의 선형적 관절형 마스크(linearly articulating mask)를 포함할 수 있다. 챔버는 기판의 방사상 섹션 위에 규정된 프로세싱 영역 내에 복수의 증착 헤드를 보유한 슬리브를 더 포함할 수 있으며, 슬리브는 복수의 증착 헤드들의 축으로부터 그리고 기판 지지부의 축으로부터 오프셋된 축을 가진다.
다른 실시예에서, 사이트가 고립된 증착을 위한 시스템이 제공된다. 시스템은 프레임 주변에 클러스터링된 복수의 프로세싱 모듈들을 포함하며, 복수의 프로세싱 모듈들 중 적어도 하나는 챔버를 포함한다. 챔버는 챔버 내에서 코-스퍼터링 프로세스가 가능하도록 하는 복수의 증착 헤드들을 포함하며, 복수의 증착 헤드들은 기판 지지부 위에 배치된다. 챔버는 기판 지지부 위에 배치된 기판의 일부 위에 플라즈마 가둠 영역을 규정하는 슬리브를 더 포함한다. 슬리브는 측벽을 통해 베이스로 연결된 기저부 및 상부를 구비하며, 상기 상부는 복수의 증착 헤드들에 대응하는 복수의 구멍을 포함한다. 복수의 증착 헤드들은 대응하는 구멍으로 수직적으로 이동하도록 구성된다. 챔버 상부는 가둠 영역으로부터 각각의 증착 헤드를 고립시키기 위해 대응하는 구멍을 폐쇄하도록 구성된 복수의 이동가능한 도어를 포함할 수 있다. 증착 헤드들에 대한 복수의 타겟들을 저장하는 저장 액세서리가 선택적으로 포함된다. 저장 액세서리는 증착 헤드들에 대해 복수의 타겟들의 교환을 가능하게 하도록 챔버로의 접근을 구비한다. 챔버와 저장 액세서리 간에 복수의 타겟들을 이송하기 위한 로봇은 일 실시예에 포함된다. 상기 상부는 복수의 증착 헤드들을 위한 냉각을 제공하도록 구성되고 복수의 구멍들 각각에 인접하여 위치된 가스 배출구를 제공할 수 있다. 복수의 증착 헤드들은 수직축으로부터 10°까지 기울여지도록 구성된다. 슬리브의 베이스는 기판의 부분 내의 영역에 대응하는 구멍을 포함하고, 구멍은 채널의 길이방향 위에 배치된 슬라이드 가능한 멤버를 구비한 베이스의 직경을 가로지르는 채널이다. 슬라이드 가능한 멤버는 그를 통해 규정된 개구를 구비하며, 개구는 구멍의 일부를 노출시킨다.
기판의 결합식 증착을 위한 방법이 제공된다. 상기 방법은 프로세싱 챔버로 기판을 받는 단계 및 프로세싱 챔버 내의 기판의 방사상 부분 위에 규정된 프로세싱 영역을 고립시키는 단계를 포함한다. 프로세싱 영역은 복수의 증착 헤드들을 포함한다. 기판의 방사상 부분의 영역을 노출시키는 구멍이 제공되고 복수의 증착 헤드들은 노출된 영역에 층을 형성하도록 코-스퍼터링을 수행한다. 상기 방법은 코-스퍼터링 후 기판을 회전시키는 단계 및 다음의 노출된 영역에 대해 코-스퍼터링을 반복하는 단계를 포함한다. 상기 방법은 주변에 복수의 증착 헤드들이 위치된 축과 상이한 축 주변에서 복수의 증착 헤드들을 회전시키는 단계를 포함할 수 있다. 일 실시예에서, 상기 방법은 코-스퍼터링 전에 복수의 증착 헤드들 중 하나를 고립시키는 단계 및 기판에 대해 헤드들 중 하나의 거리를 조절하는 단계를 포함한다.
다른 실시예에서, 결합식 증착 챔버가 제공된다. 챔버는 기판을 지지하는 수단 및 기판 지지부 위에서 복수의 증착 타겟들을 지지하는 수단을 포함하며, 복수의 증착 타겟들은 기판 지지부와 마주본다. 복수의 증착 타겟들은 기판 지지부의 방사상 섹션 위에 축 상으로 위치된다. 챔버는 방사상 섹션의 일부를 노출시키는 구멍을 제공하는 수단 및 기판 지지부의 방사상 섹션 위의 챔버 내에 가둠 영역을 규정하는 수단을 포함한다. 일 실시예에서, 가둠 영역을 규정하는 수단은 기판 지지부의 방사상 섹션 위의 영역에 플라즈마를 가둔다. 챔버는 복수의 증착 타겟들을 기판 지지 수단의 표면에 대해 수직 방향으로 이동시키는 수단 및 복수의 증착 타겟들 중 하나를 챔버의 플라즈마 영역으로부터 고립시키는 수단을 포함할 수 있다. 일 실시예에서, 복수의 증착 타겟들에 대한 축은 복수의 증착 타겟들의 회전을 지지하는 수단에 대한 축과 상이하다.
결합식 프로세싱 챔버가 일 실시예에서 제공된다. 챔버는 적어도 하나의 프로세스 헤드, 회전가능한 기판 지지부 및 베이스 플레이트가 기판에 접촉하지 않은 채 기판이 기판 지지부 상에 위치할 수 있도록 기판 지지부 위에 배치된 베이스 플레이트를 포함한다. 베이스 플레이트는 기판 지지부의 일부를 프로세스 헤드로 노출시키는 고정된 구멍을 구비한다. 이동가능한 플레이트는 고정된 구멍의 일부를 노출시키는 고정된 개구를 구비하며, 이동가능한 플레이트는 베이스 플레이트의 리세스 내에 슬라이드 가능하도록 위치된다. 베이스 플레이트는 기판 지지부의 근처에 위치될 수 있어 개구는 처리될 기판의 영역을 규정한다. 이동가능한 플레이트는 상이한 사이즈를 가지는 다수의 고정된 개구를 포함하며, 다수의 고정된 개구 중 하나는 프로세싱 도중 챔버 내에서 노출된다. 이동 가능한 플레이트는 기판 위에 규정되고 고정된 구멍에 의해 제한된 평면에서 이동가능하다. 기판 지지부의 회전은 적어도 180°이다. 기판 지지부의 평면적 이동 및 기판 지지부의 회전은 기판의 전체 표면으로의 접근을 제공한다. 챔버는 고정된 개구를 위한 이동가능한 캡을 포함할 수 있다. 챔버는 적어도 두 개의 고정된 개구를 포함할 수 있고 이동가능한 캡은 적어도 두 개의 고정된 개구들 중 하나를 덮는 반면, 적어도 두 개의 고정된 개구 중 다른 개구는 기판의 일부로의 접근을 제공한다. 고정된 개구는 둥글거나, 사각형이거나 또는 파이 형태로 구성된 형상의 그룹으로부터 선택될 수 있다. 챔버는 챔버를 규정하기 위해 베이스 플레이트로부터 연장되는 외측 측벽 및 외측 측벽 내에 배치된 내측 측벽을 포함하며, 내측 측벽은 기판 지지부의 직경보다 작은 직경을 가지며, 내측 측벽은 기판 지지부와 적어도 하나의 증착 헤드 사이에 배치되며, 내측 측벽 또는 외측 측벽 중 적어도 하나는 이동가능하다. 이동 가능한 플레이트의 상부 표면은 베이스 플레이트의 상부 표면과 함께 실질적으로 세정된다. 일 실시예에서, 이동 가능한 캡은 이동가능한 플레이트 상의 슬랏 내에 위치되어, 이동가능한 플레이트의 상부 표면 및 이동가능한 캡의 상부 표면은 실질적으로 베이스 플레이트와 함께 세정된다. 챔버는 적어도 하나의 프로세스 헤드를 챔버의 프로세싱 영역으로부터 고립시키도록 배치되는 고립 플레이트를 포함할 수 있다. 챔버는 복수의 타겟들을 보유하는 연장부를 구비할 수 있으며, 복수의 타겟들은 적어도 하나의 프로세스 헤드 상의 타겟과 상호교환 가능한 반면, 고립 플레이트는 적어도 하나의 프로세스 헤드를 고립시킨다.
다른 실시예에서, 결합식 증착 방법이 제공된다. 상기 방법은 기판을 받는 단계, 및 고정된 개구를 구비하는 선형 마스크를 위치시킴으로써 고정된 구멍을 가지는 베이스 플레이트를 통한 프로세싱을 위해 기판의 일부를 노출시키는 단계를 포함하며, 선형 마스크는 베이스 플레이트의 리세스 내에 슬라이드가능하게 배치된다. 상기 방법에 포함되는 단계로, 개구에 의해 규정된 기판 상의 영역을 처리하는 단계, 기판을 회전시키는 단계, 및 노출 단계, 처리 단계 및 회전 단계를 반복하여 기판 상의 다수의 영역들이 상이하게 처리되는 단계를 포함한다. 상기 방법은 기판의 섹션 위에 규정된 영역에 가두어진 플라즈마를 생성하는 단계를 포함할 수 있으며, 상기 영역은 베이스 플레이트로부터 연장된 벽에 의해 규정되며, 상기 기판의 섹션은 기판의 일부를 포함한다. 상이하게 처리하는 단계는 물질을 변경하는 단계, 프로세스 조건을 변경하는 단계, 또는 프로세스 시퀀스를 변경하는 단계 중 하나를 포함한다. 일 실시예에서, 프로세싱은 다수의 증착 헤드들로부터 물질의 층을 동시 발생적으로 생성한다. 프로세싱은 추가적인 층을 증착하지 않고 기판을 변경한다. 프로세싱은 반응성 이온 에칭 또는 임플란테이션 중 하나를 포함할 수 있다. 상기 방법은 다수의 상이한 타겟들을 사용하여 층을 증착시키는 단계를 포함하며, 다수의 상이한 타겟들 각각은 기판보다 작고 기판의 영역보다 큰 표면적을 가진다. 고정된 구멍 위에 배치된 고정된 개구는 영역을 프로세싱으로 노출시키도록 조절될 수 있다. 상기 방법은 다수의 상이한 타겟들 중 하나를 다수의 상이한 타겟들 중 다른 하나로 변경하기 전, 다수의 상이한 타겟들 중 하나를 기판으로부터 고립시키는 단계를 포함한다. 일 실시예에서, 노출단계, 처리 단계, 및 회전 단계를 반복하기 전에, 상기 방법은 기판의 상이한 영역을 노출시키도록 선형 마스크를 방사상으로 이동시키는 단계를 포함한다.
다른 실시예에서, 결합식 프로세싱 챔버가 제공된다. 챔버는 챔버 내에서 기판을 회전가능하게 지지하는 수단, 기판의 표면의 일부를 노출시키는 수단, 고정된 구멍을 규정하는 후퇴된(recessed) 표면 내에 배치된 이동가능한 고정된 개구를 통해 노출되는 부분의 위치를 위치적으로 변경하는 수단을 포함하는 부분 노출 수단, 및 기판의 표면의 일부를 처리하는 수단을 포함한다. 챔버는 기판의 섹션 위에 프로세스를 포함하는 수단을 포함할 수 있으며, 섹션은 기판의 표면의 노출된 부분보다 크고 기판보다 작다. 일 실시예에서, 기판은 원형이고 포함 수단은 기판의 반지름과 동일한 직경을 가진다. 기판의 표면에 직교한 방향으로 물질을 포함하는 수단의 적어도 일부를 이동시키는 수단이 제공될 수 있다. 챔버는 처리 수단을 변경하거나, 클리닝하거나 또는 보호하는 것 중 하나를 위해 처리 수단을 챔버로부터 고립시키는 고립 수단을 포함할 수 있다. 부분의 위치를 위치적으로 변경하는 수단은 후퇴된 표면에 의해 규정된 평면을 선형적으로 이동시킨다.
다른 실시예에서, 적어도 하나의 프로세스 헤드, 기판 지지부에 대해 상부 챔버를 회전시키는 메커니즘, 베이스 플레이트가 기판에 접촉하지 않은 채 기판이 기판 지집주에 위치하도록 하는 기판 지지부 위에 위치되며, 기판 지짖부의 고립된 부분을 프로세스 헤드로 노출시키기 위해 다수의 고정된 구멍을 구비하는 베이스 플레이트, 및 적어도 하나의 프로세스 헤드를 기판 지지부로부터 고립시키도록 고정된 구멍 각각을 덮도록 배치되는 플래그(flag)를 포함하는 상부 챔버를 구비하는 결합식 프로세싱 챔버가 제공된다. 챔버는 증착, 에칭 및 임플란테이션으로 구성된 그룹으로부터 선택된 프로세싱 동작을 수행한다. 일 실시예에서, 각각의 대응하는 고정된 구멍에 대해 하나의 플래그가 구비된다. 플래그는 하나 이상의 구멍을 동시에 덮을 수 있다. 상부 챔버는 기판의 직경보다 큰 직경을 가지며, 구멍은 베이스 플레이트 내에 배치된 이동가능한 마스크 내에서 규정된다. 구멍은 기판의 한 에지로부터 기판의 반대쪽 에지까지 이동할 수 있다.
일 실시예에서, 적어도 하나의 프로세스 헤드, 회전가능한 기판 지지부, 베이스 플레이트가 기판에 접촉하지 않은 채 기판이 기판 지지부에 위치할 수 있도록 기판 지지부 위에 위치되며, 기판 지지부의 일부를 프로세스 헤드에 노출시키기 위한 고정된 구멍을 가지는 베이스 플레이트, 및 고정된 구멍의 일부를 노출시키는 고정된 개구를 가지며, 이동가능한 플레이트의 후퇴된 표면 내에 배치된 커버 플레이트를 가지는 이동가능한 플레이트를 구비하는 결합식 프로세싱 챔버가 제공된다. 이동가능한 플레이트는 베이스 플레이트의 후퇴된 표면 내에 배치된다. 이동가능한 플레이트는 추가적인 고정된 개구를 포함하고 커버 플레이트는 고정된 개구 중 하나 또는 그 이상을 고립시키도록 구성된다. 베이스 플레이트는 기판 지지부의 일부분 위에 위치되고 이동가능한 플레이트는 기판 지지부의 일부분 위에 위치된다.
다른 실시예에서, 프레임 주변에 클러스터링된 복수의 프로세싱 모듈들을 구비하는 반도체 프로세싱 시스템이 제공된다. 복수의 프로세싱 모듈 중 적어도 하나는 제 1 축 주위에 클러스터링된 복수의 증착 헤드들, 복수의 증착 헤드들 아래에 배치된 베이스 플레이트에 대해 이동가능한 복수의 증착 헤드들, 베이스 플레이트를 통해 규정된 개구를 구비한 베이스 플레이트를 포함한다. 일 실시예에서, 개구는 제 1 축 상에 배치된다. 복수의 프로세싱 모듈들 중 적어도 하나의 제 1 축과 상이한 축을 중심으로 회전하는 회전가능한 기판 지지부가 포함된다. 복수의 프로세싱 모듈들 중 적어도 하나에서 복수의 증착 헤드들을 기판 지지부의 섹션 위에 규정된 프로세싱 영역에 고립시키는 슬리브가 제공된다. 프로세싱 모듈들 중 하나는 종래의 풀 웨이퍼 증착 모듈일 수 있다. 슬리브는 커버 플레이트가 복수의 증착 헤드들 중 하나를 고립시킬 수 있는 구멍을 구비한다. 복수의 프로세싱 모듈들 중 적어도 하나는 복수의 증착 헤드 각각에 대해 대응하는 구멍을 가지는 데이텀 평면을 포함한다. 일 실시예에서, 데이텀 플레인의 대응하는 구멍들 중 둘은 서로 간에 다른 높이로 위치한다. 복수의 증착 헤드들은 제 1 축과 다른 축을 중심으로 회전할 수 있다.
증착 실시예는 대응하는 타겟들로부터 다수의 물질을 증착하거나, 단일 타겟으로부터 단일 물질을 증착하거나, 또는 단일 기판 상의 영역에 결합적인 어레이를 달성하도록 그 임의의 조합으로 증착할 수 있다. 예를 들어, 일 응용예는 각각의 프로세스 헤드에 구비되는 두 개의 티타늄 타겟들 및 니켈 타겟을 구비하는 제 3 프로세스 헤드를 포함할 수 있다. 두 개의 티타늄 타겟들은 단일 티타늄 타겟에 비해 두 배의 증착률을 가능하게 할 것이다. 티타늄 및 니켈의 조합을 변경하는 것은 기판의 상이한 영역에서 증착될 수 있고, 추가적인 프로세싱, 예컨대 산소를 이용한 반응성 스퍼터링, 급속 열 작업(rapid thermal operations), 도핑 또는 다른 후처리는 박막 상에 수행될 수 있다. 다른 실시예에서, 다른 타겟, 예컨대 알루미늄, 하프늄, 탄탈륨, 텅스텐 또는 다른 알려진 물질들은 제 4 헤드에 포함될 수 있으며, 티타늄 및 니켈 증착 도중 집어넣을 수 있어 오염되는 것을 방지한다. 헤드는 위치로 이동될 수 있고 레이어는 증착될 수 있거나 또는 알루미늄은 티타늄 및/또는 니켈과 조합되어 증착될 수 있다. 따라서, 많은 조합과 치환이 여기에 기술된 프로세싱 시스템을 통해 가능하게 된다. 전술한 바와 같이, 시스템은 박막 증착에 더하여 다른 프로세스를 가능하게 하고, 이들은 단지 일 예 또는 가능한 사용예이다.
또한, 결합식 프로세싱은 종래의 프로세싱 기술과 결합되어 단일 기판 상의 물질 조합, 프로세스 시퀀스 조합, 단위 프로세스 조합, 및 프로세싱 조건 조합에 대한 추가적인 데이터를 제공할 수 있다. 전술된 실시예는 기판 위의 개구의 위치, 개구의 사이즈, 개구의 형상 및 기판의 영역에 증착된 물질의 조합을 조절할 수 있다. 또한, 전술한 실시예는 영역 내에서 균일성을 가능하게 하며, 여기서 균일성은 비-균일성에 대한 1 시그마의 1%로서 표현된다.
본 발명의 일부를 형성하는 여기에 기술된 임의의 동작은 유용한 기계 동작이다. 본 발명은 또한 이들 동작을 수행하는 장치에 관한 것이다. 상기 장치는 요구되는 목적을 위해 특별히 구성될 수 있거나, 또는 상기 장치는 선택적으로 구동되거나 컴퓨터에 저장된 컴퓨터 프로그램에 의해 구성되는 범용 기계일 수 있다. 특히, 다양한 범용 기계장치는 여기에 개시된 바에 따라 작성된 컴퓨터 프로그램과 함께 사용되거나, 또는 요구되는 동작을 수행하기 위해 보다 특수화된 장치를 구성하는 것이 더 편리할 수 있다.
본 발명의 방법 양상은 여기에 기술되는 프로세스 툴을 위한 레시피로서 실행될 컴퓨터로 판독가능한 매체에 저장된 컴퓨터로 판독가능한 코드로 구현될 수도 있다. 컴퓨터로 판독가능한 매체는 데이터를 저장할 수 있는 임의의 데이터 저장 장치이며, 이는 컴퓨터 시스템에 의해 판독될 수 있다. 컴퓨터로 판독가능한 매체는 또한 컴퓨터 코드가 구현된 전자적 캐리어 웨이브 또는 다른 신호를 포함할 수도 있다. 컴퓨터로 판독가능한 매체의 예는 하드 드라이브, NAS(Network Attached Storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프 및 다른 광학 및 비-광학 데이터 저장 장치를 포함한다. 컴퓨터로 판독가능한 매체는 네트워크와 결합된 컴퓨터 시스템 상에 분산될 수도 있어, 컴퓨터로 판독가능한 코드는 분산된 방식으로 저장되고 실행된다.
비록 전술한 발명은 분명한 이해를 위한 목적으로 다소 상세하게 기술되었으나, 특정 변경 및 변형이 추가된 청구범위의 범위 내에서 실현될 수 있음은 분명할 것이다. 따라서, 본 실시예는 설명적이며 제한적이지 않은 것으로 고려될 것이며, 본 발명은 여기에 제시된 세부사항으로 제한되지 않으며, 추가된 청구범위의 범위 및 균등범위 내에서 변경될 수 있다. 청구범위에서, 구성요소 및/또는 단계는 청구범위에서 명시적으로 언급되지 않는 한, 동작의 임의의 특정 순서를 함축하지 않는다.
100: 프로세싱 챔버
106: 기판 지지부
108: 기판
110: 프로세스 키트 쉴드
112: 개구
114: 프로세스 헤드

Claims (18)

  1. 제 1 축 주위에서 무리를 형성하며, 제 2 축을 중심으로 회전하는 회전가능한 어셈블리에 부착되는 복수의 증착 헤드들;
    제 3 축을 중심으로 회전하는 회전가능한 기판 지지부; 및
    상기 기판 지지부의 일부를 노출시키는 개구(aperture)를 구비하며, 상기 개구는 상기 제 1 축에 대해 정렬되는 베이스 플레이트를 포함하고,
    상기 제 1 축, 제 2 축 및 제 3 축은 서로 오프셋되어 있는 것을 특징으로 하는 결합식 프로세싱 챔버.
  2. 제 1항에 있어서,
    상기 베이스 플레이트는 측벽을 통해 상기 회전가능한 어셈블리에 부착되며 상기 제 2 축에 대해 회전하는 결합식 프로세싱 챔버.
  3. 제 2항에 있어서,
    상기 회전가능한 어셈블리 및 상기 회전가능한 베이스 플레이트는 공통된(common) 직경을 가지는 결합식 프로세싱 챔버.
  4. 제 1항에 있어서,
    상기 복수의 증착 헤드들을 상기 베이스 플레이트로부터 고립시킬 수 있는 배리어를 더 포함하는 결합식 프로세싱 챔버.
  5. 제 1항에 기재된 결합식 프로세싱 챔버를 사용하여 기판을 결합식으로 처리하는 방법으로,
    기판의 고립된 영역으로의 접근을 제공하는 개구 위에 축 상으로(axially) 분포된 증착 헤드들의 무리로부터 상기 기판의 고립된 영역을 처리하는 단계;
    상기 축 상으로 분포된 증착 헤드들에 대하여 상기 기판의 위치를 변경하는 단계; 및
    상기 기판의 다른 고립된 영역을 처리하는 단계;
    를 포함하는 결합식 기판 처리 방법.
  6. 제 5항에 있어서,
    상기 증착 헤드들의 무리는 프로세싱 챔버 내 상기 기판의 일부분 위에서 고립되고, 상기 일부분은 상기 개구를 포함하는 결합식 기판 처리 방법.
  7. 제 5항에 있어서,
    상기 기판의 다수의 고립된 영역들을 동시 발생적으로 처리하는 단계를 더 포함하는 결합식 기판 처리 방법.
  8. 제 5항에 있어서,
    물질의 층을 증착한 후, 상기 증착 헤드들의 무리를 처리 영역의 아래 부분으로부터 고립시키는 단계; 및
    상기 증착 헤드들 중 하나에 부착된 타겟을 변경하는 단계;
    를 포함하는 결합식 기판 처리 방법.
  9. 제 5항에 있어서, 상기 기판의 위치를 변경하는 단계는,
    상기 기판을 상기 기판의 축을 중심으로 및 상기 기판의 축으로부터 오프셋된 축을 중심으로 회전시키는 단계를 포함하는 결합식 기판 처리 방법.
  10. 제 1 축 주위에서 무리를 형성하며, 제 2 축을 중심으로 회전하는 회전가능한 어셈블리에 부착되는 복수의 증착 헤드들;
    중심축인 제 3 축과 상기 중심축으로부터 오프셋된 제 4 축을 중심으로 회전하는 회전가능한 기판 지지부;
    상기 기판 지지부의 일부를 노출시키는 개구(aperture)를 구비하는 베이스 플레이트;
    상기 기판 지지부의 섹션 위에 규정된 처리 영역 내의 축 상으로 위치된 증착 헤드들의 무리를 고립시키는 수단;
    상기 기판 지지부를 제 3 및 제 4 축을 중심으로 회전시키는 수단; 및
    상기 기판 지지부의 섹션의 영역으로의 접근을 제공하는 수단으로서, 물질의 층이 상기 접근 제공 수단을 통해 상기 증착 헤드들로부터 증착될 수 있는 접근 제공 수단을 포함하고,
    상기 제 1 축, 제 2 축 및 제 3 축은 서로 오프셋되어 있고,
    상기 개구는 상기 제 1 축에 대해 정렬되는 것을 특징으로 하는 결합식 프로세싱 챔버.
  11. 제 10항에 있어서,
    상기 기판 지지부의 제 3 축 및 제 4 축은 상기 증착 헤드의 무리의 축과 상이한 결합식 프로세싱 챔버.
  12. 제 10항에 있어서,
    상기 접근 제공 수단은 상기 제 3 및 제 4 축 중 어느 하나에 대해 정렬되는 결합식 프로세싱 챔버.
  13. 제 10항에 있어서,
    상기 축 상으로 위치된 증착 헤드들의 무리를 상기 처리 영역의 아래 부분으로부터 고립시키는 수단을 더 포함하는 결합식 프로세싱 챔버.
  14. 제 10항에 있어서,
    상기 증착 헤드들 각각을 상기 기판 지지부의 면에 대해 수직인 평면에서 이동시키는 수단을 더 포함하는 결합식 프로세싱 챔버.
  15. 제 1 축 주위에서 무리를 형성하며, 제 2 축을 중심으로 회전하는 회전가능한 어셈블리에 부착되는 복수의 증착 헤드들;
    제 3 축을 중심으로 회전하는 회전가능한 기판 지지부;
    적어도 하나의 증착 헤드 및 상기 기판 지지부의 일부를 노출시키는 개구(aperture)를 갖는 베이스 플레이트를 포함하는 서브-챔버;
    기판 지지부로서, 상기 서브-챔버의 축과 상기 기판 지지부의 축은 서로 다른 기판 지지부; 및
    상기 서브-챔버에 대해 상기 기판 지지부를 이동시키는 적어도 하나의 구동부로서, 상기 개구는 상기 기판 지지부에 의해 규정되는 영역 모두로의 접근을 제공하면서 상기 적어도 하나의 증착 헤드와 상기 개구 간의 정렬을 유지하는 적어도 하나의 구동부를 포함하고,
    상기 제 1 축, 제 2 축 및 제 3 축은 서로 오프셋되어 있고,
    상기 개구는 상기 제 1 축에 대해 정렬되는 것을 특징으로 하는 결합식 프로세싱 챔버.
  16. 제 15항에 있어서,
    상기 구동부는 상기 서브-챔버 또는 상기 기판 지지부 중 어느 하나를 회전시키는 결합식 프로세싱 챔버.
  17. 제 15항에 있어서,
    상기 적어도 하나의 구동부는 상기 기판 지지부의 중심 축을 중심으로 상기 기판 지지부를 회전시키고, 상기 기판 지지부를 비-중심축(off-center axis)을 중심으로 회전시키는 다른 구동부를 더 포함하는 결합식 프로세싱 챔버.
  18. 제 15항에 있어서,
    상기 기판 지지부는 상기 기판 지지부의 경계 주위로 규정된 제 1 회전 실(rotary seal)을 포함하고, 상기 기판 지지부는 상기 제 1 회전 실을 둘러싼 제 2 회전 실을 구비하는 플레이트에 일체화되는 결합식 프로세싱 챔버.
KR1020107006879A 2007-09-05 2008-09-05 결합식 처리 시스템 KR101534885B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US96995507P 2007-09-05 2007-09-05
US60/969,955 2007-09-05
US12/027,980 US8771483B2 (en) 2007-09-05 2008-02-07 Combinatorial process system
US12/027,980 2008-02-07
US12/028,643 2008-02-08
US12/028,643 US8449678B2 (en) 2007-09-05 2008-02-08 Combinatorial process system
PCT/US2008/075459 WO2009033067A2 (en) 2007-09-05 2008-09-05 Combinatorial process system

Publications (2)

Publication Number Publication Date
KR20100065347A KR20100065347A (ko) 2010-06-16
KR101534885B1 true KR101534885B1 (ko) 2015-07-07

Family

ID=40407931

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107006879A KR101534885B1 (ko) 2007-09-05 2008-09-05 결합식 처리 시스템

Country Status (7)

Country Link
US (6) US8771483B2 (ko)
EP (1) EP2186115A4 (ko)
JP (1) JP5500593B2 (ko)
KR (1) KR101534885B1 (ko)
CN (1) CN101919027B (ko)
TW (1) TWI409900B (ko)
WO (1) WO2009033067A2 (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8882917B1 (en) 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US7947531B1 (en) * 2008-08-28 2011-05-24 Intermolecular, Inc. Combinatorial evaluation of dry semiconductor processes
WO2010041562A1 (ja) * 2008-10-07 2010-04-15 川崎重工業株式会社 基板搬送ロボットおよびシステム
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US20120048723A1 (en) * 2010-08-24 2012-03-01 Varian Semiconductor Equipment Associates, Inc. Sputter target feed system
FI20105908A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
CN102479670B (zh) * 2010-11-30 2015-11-25 中芯国际集成电路制造(北京)有限公司 一种半导体装置及使用方法
US8298849B2 (en) * 2011-01-31 2012-10-30 Intermolecular, Inc. Nitrogen reactive sputtering of Cu-In-Ga-N for solar cells
GB201102337D0 (en) 2011-02-09 2011-03-23 Univ Ulster A plasma based surface augmentation method
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20130130509A1 (en) * 2011-11-21 2013-05-23 Intermolecular, Inc. Combinatorial spot rastering for film uniformity and film tuning in sputtered films
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
US20130168231A1 (en) * 2011-12-31 2013-07-04 Intermolecular Inc. Method For Sputter Deposition And RF Plasma Sputter Etch Combinatorial Processing
US8575027B1 (en) 2012-06-26 2013-11-05 Intermolecular, Inc. Sputtering and aligning multiple layers having different boundaries
US8980653B2 (en) * 2012-09-19 2015-03-17 Intermolecular, Inc. Combinatorial optimization of interlayer parameters
US9076674B2 (en) * 2012-09-25 2015-07-07 Intermolecular, Inc. Method and apparatus for improving particle performance
US8663397B1 (en) * 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
EP2935643B1 (en) * 2012-12-21 2018-08-01 Doosan Fuel Cell America, Inc. Deposition cloud tower with adjustable field
US20140183161A1 (en) * 2012-12-28 2014-07-03 Intermolecular, Inc. Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20140262749A1 (en) * 2013-03-14 2014-09-18 Intermolecular, Inc. Methods of Plasma Surface Treatment in a PVD Chamber
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US9318306B2 (en) 2013-12-20 2016-04-19 Intermolecular, Inc. Interchangeable sputter gun head
JP6557992B2 (ja) * 2015-02-25 2019-08-14 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
CN109070504A (zh) * 2016-04-27 2018-12-21 依视路国际公司 装备有可移动遮板的用于涂覆的基材支架及其使用方法
CN106477912A (zh) * 2016-09-23 2017-03-08 东莞市联洲知识产权运营管理有限公司 一种镀膜遮掩板平移机构
KR102380159B1 (ko) * 2017-03-02 2022-03-29 삼성디스플레이 주식회사 증착 설비, 표시 장치의 제조 방법, 및 이 방법에 의해 제조된 표시 장치
JP7141989B2 (ja) * 2018-09-28 2022-09-26 芝浦メカトロニクス株式会社 成膜装置
KR20210027601A (ko) * 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
TWI762230B (zh) * 2021-03-08 2022-04-21 天虹科技股份有限公司 遮擋機構及具有遮擋機構的基板處理腔室
CN115074671A (zh) * 2021-03-11 2022-09-20 鑫天虹(厦门)科技有限公司 遮挡机构及具有遮挡机构的基板处理腔室

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005347A1 (en) * 2000-07-10 2002-01-17 Piero Sferlazzo Dual-scan thin film processing system
US6458285B1 (en) * 1999-05-14 2002-10-01 Murata Manufacturing Co., Ltd. Method and apparatus for frequency control of piezoelectric components
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310614A (en) * 1979-03-19 1982-01-12 Xerox Corporation Method and apparatus for pretreating and depositing thin films on substrates
JPH01312835A (ja) 1988-06-09 1989-12-18 Nec Kyushu Ltd プラズマcvd装置
JPH05234893A (ja) * 1992-02-18 1993-09-10 Tokyo Electron Ltd スパッタ方法
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
JPH11106901A (ja) * 1997-10-01 1999-04-20 Nikon Corp 光学薄膜成膜装置
JP2000144380A (ja) * 1998-11-10 2000-05-26 Mitsui Eng & Shipbuild Co Ltd 超耐食性合金及びその作製方法
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
US6554905B1 (en) 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US7125587B2 (en) * 2002-05-20 2006-10-24 Varian Semiconductor Equipment Associates Inc. Ion beam for enhancing optical properties of materials
US6774373B2 (en) * 2002-07-29 2004-08-10 Axcelis Technologies, Inc. Adjustable implantation angle workpiece support structure for an ion beam implanter
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060249372A1 (en) * 2005-04-11 2006-11-09 Intematix Corporation Biased target ion bean deposition (BTIBD) for the production of combinatorial materials libraries

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458285B1 (en) * 1999-05-14 2002-10-01 Murata Manufacturing Co., Ltd. Method and apparatus for frequency control of piezoelectric components
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US20020005347A1 (en) * 2000-07-10 2002-01-17 Piero Sferlazzo Dual-scan thin film processing system

Also Published As

Publication number Publication date
CN101919027A (zh) 2010-12-15
US8758581B2 (en) 2014-06-24
US8387563B2 (en) 2013-03-05
US8932995B2 (en) 2015-01-13
WO2009033067A2 (en) 2009-03-12
US20120142197A1 (en) 2012-06-07
KR20100065347A (ko) 2010-06-16
EP2186115A2 (en) 2010-05-19
JP5500593B2 (ja) 2014-05-21
US20120149180A1 (en) 2012-06-14
WO2009033067A3 (en) 2010-07-29
CN101919027B (zh) 2012-09-05
US8449678B2 (en) 2013-05-28
US20150093898A1 (en) 2015-04-02
EP2186115A4 (en) 2014-04-30
JP2011503345A (ja) 2011-01-27
TWI409900B (zh) 2013-09-21
US20090061108A1 (en) 2009-03-05
US20090069924A1 (en) 2009-03-12
US20090061087A1 (en) 2009-03-05
US8771483B2 (en) 2014-07-08
TW200933782A (en) 2009-08-01

Similar Documents

Publication Publication Date Title
KR101534885B1 (ko) 결합식 처리 시스템
KR101534886B1 (ko) 다중-영역 프로세싱 시스템 및 헤드
KR20020006020A (ko) 원자 층 증착 공정을 위한 공정 스테이션
US9318306B2 (en) Interchangeable sputter gun head
WO2012154682A1 (en) Combinatorial and full substrate sputter deposition tool and method
EP0158133B1 (en) Vacuum manipulator system
US8974649B2 (en) Combinatorial RF bias method for PVD
US8709270B2 (en) Masking method and apparatus
US20120168304A1 (en) Physical Vapor Deposition Tool with Gas Separation
US20130130509A1 (en) Combinatorial spot rastering for film uniformity and film tuning in sputtered films
CN111066138B (zh) 基板支撑装置及基板处理设备
US20070045239A1 (en) Apparatus and method for processing a microfeature workpiece using a plasma
US20140124359A1 (en) New Magnet Design Which Improves Erosion Profile for PVD Systems

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190704

Year of fee payment: 5