KR20020006020A - 원자 층 증착 공정을 위한 공정 스테이션 - Google Patents

원자 층 증착 공정을 위한 공정 스테이션 Download PDF

Info

Publication number
KR20020006020A
KR20020006020A KR1020017008296A KR20017008296A KR20020006020A KR 20020006020 A KR20020006020 A KR 20020006020A KR 1020017008296 A KR1020017008296 A KR 1020017008296A KR 20017008296 A KR20017008296 A KR 20017008296A KR 20020006020 A KR20020006020 A KR 20020006020A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
pedestal
ald
gas
Prior art date
Application number
KR1020017008296A
Other languages
English (en)
Other versions
KR100446485B1 (ko
Inventor
케네쓰 도어링
칼제이. 게일위스키
프라새드엔. 가드질
토마스이. 세이델
Original Assignee
추후제출
제누스 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 제누스 인코퍼레이티드 filed Critical 추후제출
Publication of KR20020006020A publication Critical patent/KR20020006020A/ko
Application granted granted Critical
Publication of KR100446485B1 publication Critical patent/KR100446485B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

표준 클러스터 도구(1100)에 적용할 수 있는 공정 스테이션(1201)은 히터판(1303)을 포함하는 웨이퍼지지 표면(1307)을 가지는 수직으로 이동가능한 받침대(1215)를 가진다. 하부 위치에서 웨이퍼(1219)는 공정 스테이션 안팎으로 전달되며, 상부 위치에서 받침대(1215)는 공정 챔버(1204) 안의 하부 원형 개구부가 있는 환상의 펌핑 경로를 형성한다. 공정 챔버(1204)의 하부 개구부에서 대체 가능한 링(1253)은 공정 펌핑 속도가 다른 공정에 맞도록 하여준다. 받침대(1215)는 또한 받침대(1215) 주위에 환상의 펌핑 경로를 정의하는 주변 덮개(1257)를 갖는다. 두 영역 히터판(1303)은 받침대(1215)의 상부에 적용되고, 공급장치(1301)에 연결되며, 히터판(1303)이 빠르고 간단히 대체되도록 하여준다. 공정 챔버(1204)의 상부는 제거 가능하며, 사용자가 받침대(1215)나 히터(1303) 조립체를 제거하도록 하여준다. 본 시스템은 원자 층 증착 공정에 사용된다.

Description

원자 층 증착 공정을 위한 공정 스테이션{PROCESSING CHAMBER FOR ATOMIC LAYER DEPOSITION PROCESSES}
본 발명은 PCT로 출원한 "원자층 증착을 위한 수직 적재된 공정 반응기와 클러스터 장치"의 명칭으로 8/29/97에 출원한 일련번호 08/920,708과 "화학적 기상증착 공정을 위한 다목적 공정 챔버"의 명칭으로 3/3/97에 출원된 일련번호 08/810,255의 연속된 발명이다. 상술한 이전 출원은 여기에 참고로 포함되었으며, 이들의 우선권이 주장되었다.
얇은 증착막을 위한 박막기술 분야의 요구사항은 증가된 보다 넓은 기판 상에 향상된 균일성과, 향상된 생산 일드(yield)와 높은 생산성이며, 다양한 반도체 디바이스의 생산에 있어서 기판을 코팅하는 장치에 의해 개발된 최신 기술이 이들의 구동력이다. 예를 들면, 마이크로 프로세서 생산에서 달성된 공정제어와 균일 박막증착은 직접 달성될 수 있는 클락 주파수에 영향을 준다. 새로운 재료와 공동으로 이러한 동일 인자들은 또한 단일 칩이나 디바이스 상에 사용 가능한 메모리 밀도를 보다 높이게 한다. 이러한 디바이스들이 작아질수록, 균일성이 보다 요구되고 두께 제어 요구도 또한 매우 상승한다.
본 분야에서 잘 알려진 다양한 기술들이 기판이나 집적회로를 위한 생산 단계에서의 다른 기판에 박막을 올리기 위해 존재한다. 박막증착을 위해 가능한 보다 확립된 기술들 가운데, 화학적 기상증착(CVD)과 급속 열 CVD(Rapid Thermal CVD, RTCVD)와 같은 변형예들이 자주 사용되며, 상업적으로 사용된다. CVD의 변형인 원자층 증착(ALD)은 비교적 최근 기술로서, 균일성과 탁월한 스텝 커버리지(step coverage)와 기판 크기에 대한 투명성이 좋은 방법이다. 그러나, ALD는 일반적으로 CVD나 RTCVD의 증착률(통상 1000Å/min) 보다 낮은 증착률(통상 100Å/min)을 나타낸다.
CVD와 RTCVD는 모두 기판 표면상에 원하는 균일 두께의 박막층을 만들기 위해 공정 챔버 내에 균일 상태로 유지하는 특정한 균일 기판온도와 프리커서(precursor, 화학적 종류)가 요구되는 플럭스(flux)에 의존하는 장치이다. 이러한 요구사항들은 기판 크기가 증가함에 따라 심각해지며, 보다 복잡한 챔버 설계와 적절한 균일도를 유지하기 위한 가스 흐름 기술이 요구된다. 예를 들면, 반응기 챔버 내의 75밀리 기판은 가스 흐름, 균일 가열, 그리고 프리커서 분포에 대한 공정 제어가 동일 시스템에서 200밀리 기판에 대해 요구되는 것 보다 적게 필요하다. 그리고, 기판 크기는 수평면으로 300밀리와 400밀리에 달하게 된다.
반응물질과 반응에 의한 생성물질이 증착 표면에 매우 근접하게 서로 존재하므로, CVD 증착에서의 다른 문제점은, 각 증착 층에 반응 생성물과 다른 오염물이 포함될 가능성이 있다는 것이다. 또한, 반응물 사용의 효율이 CVD에서는 낮으며,챔버 압력을 감소시킴으로써 역작용을 일으킨다. 또한, 매우 활성화된 프리커서 분자들은, 박막의 질에 해로운 원하지 않는 입자를 생성할 수 있는 단일 가스 상 반응에 기여한다.
RTCVD 공정을 수용하는 기업들과 RTCVD 장치의 생산자들은 제한 반응 공정(Limited Reaction Processing, LRP)의 개념을 도입하여 이러한 문제들에 접근하였으며, 단일 기판이 반응 챔버에 놓이며 박막을 증착하기 위해 적절한 복사 소스의 도움으로 급속히 가열된다. 급속 가열은 반응 스위치의 역할을 하며, 다른 공정에서 가능한 것 보다 박막의 두께를 고려하여 보다 높은 제어단계를 제공한다. RTCVD는 또한 CVD에 비하여 단축된 공정시간의 장점이 있으며, 낮은 공정비용 그리고 개선된 공정제어의 장점이 있다. 본 발명에 의한 RTCVD는 매우 얇고 균일한 박막의 증착을 위한 새로운 기술을 제공한다. RTCVD는 수많은 장비 생산업자에 의하여 연구개발단계에서 상업적 단계로 꾸준히 진입하고 있다.
RTCVD는 일반 CVD에 대하여 확실한 장점을 가지기는 하지만, 공정에 사용되는 온도와 같은 본질적인 문제점이 또한 있기도 하다. 보다 넓은 면적은 보다 정교한 온도제어를 요구하며, 이것이 달성되지 않으면 기판 내의 혼란을 가져온다. 또한, 오염이 없고 급속한 온도변화와 고 진공에 따라 견딜 수 있는 적절한 챔버를 구비해야 하는 것은 면적이 커짐에 따라 보다 중대한 요구사항이 된다.
박막기술의 다른 주요 영역은 많은 디바이스에 있어서 본질적인 복잡한 표면에 대한 높은 균일성과 두께제어를 시스템에 제공하는 능력이다. 이러한 현상은 통상적으로 스텝 커버리지(step coverage)와 관련된다. CVD의 경우는 겨냥선 물리기상증착(physical vapor deposition, PVD)에 있어서 보다 스텝 커버리지가 좋으나, 초기 증착 단계에서 불연속 응집을 가져오는 많은 반응 분자들의 좋지 않은 흡수가 발생한다. 응집된 영역(island)은 수평과 수직으로 계속하여 자라며, 결국 합체되어 연속 박막을 형성한다. 증착의 초기단계에서 그러한 박막은 불연속적이다. 다른 인자들, 분자간의 평균 자유행정, 주요 표면 치수, 그리고 프리커서 반응성은 또한 공정을 복잡하게 하여 CVD 공정으로 매우 얇은 박막을 증착할 때에 복잡한 표면에의 적절한 스텝 커버리지를 가지게 하여 높은 균일도를 얻는 것이 본질적으로 매우 어렵다. RTCVD가 스텝 커버리지에 있어서 기존의 CVD보다 실질적으로 우월하다는 것이 증명되지는 않았다.
ALD는 CVD나 RTCVD에 비해서 느리지는 하지만 복잡한 표면에 대해 매우 균일한 박막을 증착하는 현저한 능력을 보여준다. 이는 CVD나 RTCVD와는 달리 플럭스에 의존하지 않는 것이 일부 이유이다. 이러한 ALD의 플럭스에 무관한 성질은 종래의 CVD나 RTCVD에 비하여 낮은 온도에서의 공정을 가능하게 해준다.
ALD 공정은 기판의 증착 표면에서 화학 흡착에 의해 이루어진다. ALD 기술은 1980년대 초반에 ZnS 다결정 그리고 비결정 박막과 전장발광 표시장치를 위한 유전체 옥사이드의 성장을 위해 원자층 에피탁시(Atomic Layer Epitaxy, ALE)의 개념에 기반을 두고 개발되었다. ALD 기술은 화학흡착에 의한 활성 프리커서 입자들의 포화 단일층 형성 원리에 기반을 둔다. ALD에서 적당한 활성 프리커서들은 교대로 증착 챔버 안으로 펄스로 전달된다. 활성 프리커서의 각 분사는 불활성 가스 퍼지로 분리된다. 각 프리커서 분사는 균일한 고체 박막층을 형성하기 위해 이전에 증착된 층세 부가하여 새로운 원자층을 제공한다. 이러한 과정은 원하는 박막두께를 형성하기 위해 반복된다.
ALD의 개념을 강조하는 내용의 ALE 분야의 좋은 참고문은 Tuomo Suntola에 의해 쓰여지고 Elsevier Science B.V.의 D.T.J. Hurle에 의해 1994년에 편집된 'Handbookd of Crystal Growth, Vol.3'이다. 이 장의 제목은 '원자층 에피탁시'이다. 이 참고문은 배경지식으로서 참조로 여기에 포함되었다.
ALD의 일반개념을 더 설명하기 위하여 도 1a 및 1b를 참조하자. 도 1a는 물질 A와 B의 박막을 형성하기 위한 ALD 공정의 초기 단계에서 기판 표면의 단면을 나타내며, 이들 물질은 이 예에서 기본 물질로 고려된다. 도 1a는 집적회로 제작단계에서 기판을 보여준다. 재료 A 고체층은 초기 기판 표면에 형성된다. A 층 위로 B 물질 층이 올라가며, 도시된 공정단계에서 리간드(ligand) y의 최상층이 있다. 층들은 표면으로 첫 번째 프리커서 가스 Ax와 두 번째 프리커서 가스 By를 교대로 펄스로 주입하여 제공된다. 프리커서 펄스 사이에 공정영역이 배출되고 퍼지가스의 펄스가 분사된다.
도 1b는 이 예에서 AB 고체 물질을 제공하는 데에 사용되는 교차 펄스 공정의 완전 일 싸이클을 보여준다. 싸이클에서 첫 번째 펄스 가스 Ax는 가스입력이 없는 전이 시간에 따라 만들어진다. 그리고 나서, 중간 퍼지가스의 중간 펄스가 다른 전이에 의해 연속된다. 그리고 가스 By가 펄스 입력되고 전이단계가 있고, 다시 퍼지 펄스가 진행된다. 하나의 싸이클은 하나의 Ax와 By의 펄스를 포함하며, 각 프리커서 펄스는 퍼지가스 펄스에 의해 분리된다.
상기에 간단히 기술된 바와 같이, ALD는 화학흡착에 의해 진행된다. 초기 기판은 공정 영역에 활성 리간드의 표면을 나타낸다. 첫 번째 가스 펄스, 이 경우는 Ax는 A층을 이루고 리간드 x의 표면을 이룬다. 퍼지 후에, By가 반응지역으로 펄스로 주입된다. y 리간드는 x 리간드와 반응하여 xy를 형성하고, 도 1a와 같이 y 표면을 떠난다. 이 예에서 한 싸이클이 약 1초정도로 공정의 싸이클이 계속 진행된다.
ALD에 의해 제공되는 박막형성의 독특한 메카니즘은 상술한 방법들이 비해 몇가지 장점을 갖는다. 한 가지 장점은 ALD의 플럭스에 영향을 받지 않는 성질로부터 나오며, 기판 크기에 관계없고 반응기 설계와 작동의 단순화를 가져온다. 예를 들면, 200밀리 기판은 상술한 자기 제한적인 화학흡착 현상으로 인하여 동일 반응 챔버에서 100밀리 기판에 증착된 것과 동일한 균일층을 수용할 것이다. 또한, 일단 포화 단일층이 형성되면 증착 면적은 전달되는 프리커서의 양에 대해 독립적이다. 따라서 단순한 반응기 설계가 가능하다. 그리고, ALD 공정에서 가스의 동적운동은 비교적 역할이 작으므로, 설계의 제한이 작아진다. ALD 공정의 또 다른 확실한 장점은 프리커서인 화학적 물질들이 같이 주입되는 것이 아니라 ALD 반응기 안으로 독립적으로 주입되므로 서로에 대한 높은 반응성을 피할 수 있다는 것이다. CVD에서의 문제인 고 반응성은 ALD에서는 장점으로 된다.
이러한 고 반응성은 낮은 반응 온도를 가능하게 하고, 공정 화학단계를 단순화한다. 다른 장점은 화학흡착에 의한 표면 반응은 복잡한 표면에 대해 거의 완벽한 스텝 커버리지를 이룬다는 것이다.
ALD가 박막 증착에 있어서 상술한 장점을 가지리라 생각되기는 하지만, ALD는 아직 상업적 공정에 적용되지는 못하였다. 그 이유는 대부분 시스템 관점과 구성에 있다. 예를 들면, ALD 시스템에서의 많은 초기 개발은 CVD와 RTCVD와 같이 배치 공정 접근을 시도하였다. 동시에 배치 반응 챔버에 몇 개의 기판을 넣어 공정을 수행함으로써, 처리량을 증가시키려 하였다.
불행히도, 배치 공정은 몇 가지 본질적인 단점을 가지고 있으며, 배치 공정에 의한 ALD의 생산 제한은 일단의 문제점들을 형량해 보아야 한다. 예를 들면, 배치 공정 시스템에 있어서 배치 반응기 안의 기판 대 기판, 그리고 배치 대 배치로부터의 상호 오염이 중요한 문제이다. 또한, 배치 공정은 공저제어와 기판 대 기판 그리고 배치 대 배치간의 공정 재현성을 방해하며, 후면 증착 방법을 막는다. 이러한 요소들의 모두는 전체 시스템의 유지, 일드, 신뢰성에 영향을 미쳐 순수 생산량과 생산에 영향을 가져온다. 본 발명의 출원시기에, ALD가 상업적 생산에 적용되는 ALD 기술과 관련된 이러한 문제점들을 교정하기 위한 산업상의 어떠한 방법도 알려지지 않았다.
확실히 필요한 것은 고가의 클린 룸과 관련된 생산 공간을 사용하여 다수의 기판이 공정을 거치면서 많은 생산과 일드를 제공하는 독특하고 혁신적인 고 생산성 ALD 시스템 구조와 가스 전달 시스템이다. 본 발명은 ALD 기술의 현재의 제한점을 극복하여 효과적으로 생산하는 시스템 접근법을 기술하며, ALD 시스템의 상업적 실행가능성을 이룬다.
본 발명은 화학적 기상증착(CVD)을 수행하는 방법 및 그 장치와 관련되며, 특히 원자 층 증착(Atomic Layer Deposition, ALD) 공정과 관련된다.
도 1a 는 일반적인 ALD 공정의 도식도이다.
도 1b 는 ALD 가스 펄스공급을 위한 통상적인 시간 다이아그램이다.
도 2 는 본 발명의 실시예에 따른 하부 측면 컴팩트 반응기의 등비례도이다.
도 3a 는 본 발명의 실시예에 따른 플랩형(flap-type) 게이트밸브를 나타내는 도 1의 컴팩트 반응기 장치의 등 비례도이다.
도 3b 는 본 발명의 실시예에 따른 도 1에 나타난 두 개의 컴팩트 반응기 장치의 우측 측면도이다.
도 4 는 본 발명의 다른 실시예에 따른 로드락(load lock)과 통합된 VESCAR 27의 입면도.
도 5 는 본 발명에 따른 ALD 수직적재 시스템 구조의 입면도.
도 6 은 단일 LP-CAR 장치의 다중 기판의 공정을 위해 적용된 본 발명의 실시예에 따른 LP-CAR의 평면도.
도 7 은 본 발명의 대체 실시예에 다른 생산장치 19의 평면도.
도 8 은 본 발명의 실시예에 따른 후면에서 본 도 1의 적재형 컴팩트 반응기장치의 입면도.
도 9 는 본 발명의 실시예에 따른 프리커서 트랩장치와 가스 재순환장치의 다이아그램.
도 10A 는 본 발명의 실시예에 따라 사용된, 알려진 클러스터 도구 기반 공정장치의 이상적 평면도.
도 10B 는 알려진 종래의 CVD 공정의 단면 입면도.
도 11A 는 본 발명의 선호되는 실시예에 다른 다목적 공정 스테이션의 등비례도.
도 11B 는 도 11A의 다목적 공정챔버의 확대도.
도 11C 는 도 11A의 다목적 공정 스테이션 장치의 절단 입면도.
도 11D 는 도 11A의 다목적 공정챔버의 입면도.
도 11E 는 전달모드에 나타난 도 11A의 장치의 입면도.
도 12 는 도 11E로부터 전기 되먹임장치를 포함하는 받침대를 통한 입단면도.
도 13A 는 도 12의 전기 되먹임장치의 입단면도.
도 13B 는 도 13C의 선 13B-13B을 따라, 도 13A의 되먹임장치 몸통 조립체를 통하여 본 단면도.
도 13C 는 도 13A의 되먹임 장치의 평면도.
도 14A 는 도 12로부터 세라믹 절연 장벽의 측면 입면도.
도 14B 는 도 14A의 측면에 나타난 절연 장역의 평면도.
도 15A 는 본 발명의 실시예에 따른 두 지역 히터판의 등 비례도.
도 15B 는 도 15A의 히터판의 평면도.
도 15C 는 도 15A의 히터판의 측면도.
도 16A 는 본 발명의 실시예에 따른 연결기둥의 등 비례도.
도 16B 는 도 16A의 연결기둥의 말단도.
도 16C 는 도 16A와 도 16B의 연결 기둥의 단면도.
본 발명의 선호되는 실시예에 있어서, 클러스터(cluster) 시스템을 위한 ALD 공정 스테이션이 제공되며, 이는 첫 번째 단면 영역이 있는 하부 말단을 가지는 공정 챔버부와; 공정 챔버부 아래의 베이스 챔버부를 포함하고, 상기 베이스 챔버부는 진공 펌프부와 기판 전달부를 가지고, 공정 챔버의 원형 하부 말단 아래에 두 번째 단면 영역과 첫 번째 단면 영역보다 큰 진공 펌프부를 포함하고; 첫 번째 단면 영역보다 작고 수직 이동을 허용하는 동적 진공 밀폐부에 의해 전달 포트 아래의 베이스 챔버에 적용된 세 번째 단면 영역을 가진 상부 기판지지 표면을 가지는 기판지지 받침대와; 상기 기판지지 받침대를 실질적으로 공정 챔버의 하부 말단과 평행한 공정 위치에서, 혹은 펌프 포트 위와 전달 포트 아래의 베이스 챔버부 내의 하부 전달위치에서 상부지지 표면에 놓기 위해 이동시키도록 적용된 수직 이동 구동 시스템; 그리고 공정 챔버에 장착된 탈착 가능한 가스 공급 덮개를 포함하며, 덮개는 ALD 프로토콜에 따라 가스를 공급한다. 공정 위치에서 기판지지 받침대로, 기판지지 받침대의 단면영역과 보다 큰 첫 번째 단면은 진공 펌프 포트를 통하여 공정 챔버부로부터 첫 번째 제한된 펌핑 속도를 결정하는 첫 번째 전체 효과 영역을 가지는 첫 번째 펌핑 경로를 형성한다. 하부 전달부에서 기판지지 받침대로, 기판지지 받침대의 단면영역과 보다 큰 두 번째 단면 영역은 첫 번째 효과 영역보다 넓은 두 번째 효과 영역을 가지는 두 번째 환상의 펌핑 경로를 형성하며, 첫 번째 제한된 펌핑 속도보다 큰 공정챔버로부터의 두 번째 펌핑 속도를 갖는다.
일부 실시예에서, 첫 번째 단면영역은 대체 가능한 링으로 형성되어, 첫 번째 펌핑속도가 일정한 외부 직경과 다른 내부 직경을 갖는 대체 가능한 링을 교환하여 증가적으로 변하게 한다. 또한, 상부 지지표면에서 시작하고 상부 지지표면 아래로 뻗어있는 기판 받침대부를 둘러싸는 환상의 덮개가 있을 수 있으며, 상부 지지표면의 높이에서 환상 덮개의 펌핑영역은 실질적으로 첫 번째 단면 영역과 동일하다. 이는 공정 위치 안의 기판지지 받침대로, 환상 덮개가 공정 챔버로부터의 모든 가스 흐름을 환상의 덮개와 기판지지 받침대 사이에 환상 덮개 내에서 흐르도록 제한하는 첫 번째 단면영역과 정합한다.
선호되는 실시예에서, 공정챔버의 상부 말단을 덮는 탈착 가능한 덮개는 탈착가능 밀폐부와 장착되며, 덮개와 동적 진공 밀폐부는 떼어낼 수 있고, 기판지지 받침대가 베이스 챔버 내에서 공정 챔버 영역을 통하여 상방으로부터 빼내지도록 하여준다. 탈착가능한 덮개는 선호되는 실시예에서, 공정 위치 내에서 기판지지 받침대로 기판지지 받침대 상에 지지된 기판의 노출된 표면 위로 균일하게 공정 가스를 제공하기 위한 가스 배분 장치를 포함한다.
어떤 경우에는, 기판지지 받침대는 상부지지 표면과 평행한 마감판을 포함하며, 공정 챔버를 위한 진공 경계, 마감판으로부터 열적으로 절연된 공정 챔버 상의 히터판 그리고 히터판으로부터 위로 일정간격 떨어진 전기적으로 절연된 서스셉터(susceptor)를 형성하고, 서스셉터는 상부 지지표면을 형성한다. 히터판은 적어도 두 개의 분리되어 전력공급되는 가열영역을 가지는 복합 히터가 될 수 있으며, 판을 가로지르는 온도분포가 분리되어 전력공급되는 영역에 전력을 제어함으로써 조절되도록 해준다. 이러한 관점에서, 내부 가열 영역은 적어도 하나의 실질적으로 히터판을 통과하는 홈에 의하여 외부 가열영역으로부터 분리된다. 선호되는 실시예에서, 내부 가열영역은 히터판에 의하여 가열되는 기판의 단면영역과 실질적으로 동일한 단면을 갖는다. 일부 선호되는 경우에, 동적 진공 밀폐부는 스테인레스강 벨로우즈이다.
본 발명은 여러 가지 실시예를 통하여 융통성있고 효과적인 ALD 공정을 반도체 웨이퍼에 적용하는 방법을 제공하며, 다양한 관점이 상세히 후술된다.
ALD 기술의 상업화를 고려해보면, 배치형식의 ALD 시스템, 코팅되는 기판들이 일반적으로 다른 평면에 배열되는 시스템, 그리고 많은 수의 기판들이 단일 반응기에서 동시에 코팅되는 시스템이 생산성의 입장에서 매력적으로 여겨졌으나, 이러한 종류의 큰 배치 시스템은 아래에 기술된 몇 실시예에서 보여주는 단일 가스 경로를 가지는 컴팩트한 낮은 프로파일 장치에 비하여 몇 가지 심각한 결점을 갖는다. 이들 어려움들은 다음과 같다.
(a) 배치 시스템의 가스 펄스공급은 컴팩트 단일 기판 시스템에서와 같이 정확하고 빠르지 못하다.
(b) 후면 증착을 피하는 것이 다중 기판 시스템에서는 어렵다. 후면 증착을 피하기 위해서는 각 기판이 정전 척과 같은 장치를 포함하는 전용 히터에 고정되어야 한다.
(c) 플라즈마 세척은 큰 배치 시스템에서는 단일 기판 시스템에 비하여 비효율적임이 발견되었다. 내부장착 플라즈마 세척은 유지 세척 사이에 매우 긴 시간을 요구한다.
(d) 가스고갈 효과는 배치 공정 반응기에서는 심각한 공정 제한이 될 수 있으며 배치시스템에서 처리하기는 어렵다.
(e) 공정제어, 기판 대 기판의 재현성, 공정편차와 유지를 위해 단일 기판 시스템이 배치 시스템보다 융통성이 있다. 배치 시스템은 비교적 작은 자국 클러스터링 구조 형상에는 쉽게 맞지 않는다.
이러한 그리고 다른 이유들로 인하여 본 발명은 낮은 프로파일의 컴팩트 ALD 반응기(LP-CAR, low-profile compact ALD reactor)를 포함하는 독특한 ALD 공정 방법을 개발하였으며, 내부 체적과 외부 높이를 감소시키고, 빠른 가스전환과 향상된 공정제어, 또한 특유의 시스템 구조를 이룬다. 본 독특한 구조는 수직으로 적재된 다중 장치 시스템을 포함하며 일련의 통합에 클러스터링 기법을 적용할 수 있다.
상술한 예에서, 본 발명은 독특한 낮은 프로파일의 컴팩트한 반응기를 제안하며, 생산에 있어 ALD 반응기의 사용을 위한 독특한 시스템 구조는 배치형태의 ALD 시스템이 갖는 제한점들을 해결한다.
본 발명에 의한 실시예들에서 LP-CAR의 독특한 설계에 있어서, 높은 생산성은 반응기 내에서 코팅되기 위해 제공된 표면적에 비하여 반응기의 내부 공정체적이 최소화되어 일부 촉진되는 빠른 가스전환으로 인한다. 단일 기판 반응기의 길이와 폭은 수용되는 최대 기판으로 정해지며, 보통 기판 직경의 약 1.5배이고(만약 기판이 둥글다면), 반응기의 내부 높이는 내부 체적을 위한 제어 치수이다. 본 발명에 의한 실시예에서, 본 발명자는 단일의 방해 없는 코팅될 기판으로의 가스공급경로를 가지는 것의 장점을 알아냈으며, 이는 일반적으로 코팅될 표면이 통상의 평면에 있을 것을 요구한다.
경계층 조건과 적절한 가스 흐름이 달성되어야만 하며, 대체적인 플라즈마 덮개 설계가 바람직하다. ALD 공정은 또한 공정 중에 기판을 가열하기 위하여 공정 체적 내에 기판 히터를 필요로 하고, 가스 전달과 가스 배출 하부 시스템이 부가적으로 특별히 필요하다. 이러한 요구사항들이 모두 주어지면, 본 발명의 실시예에서, 단일 기판 공정을 위한 낮은 프로파일의 컴팩트 ALD 반응기(LP-CAR)가 제공된다. 후술한 본 발명에 의한 실시예에서, 낮은 프로파일은 수평 치수에 대비하여 반응기의 높이로 정의된다. 수평 치수에 대한 LP-CAR의 높이 비율은 본 발명의 다른 실시예에서 특정 시스템 요구사항에 따라 변할 수 있다. 그러나, 수평치수에 대한 높이의 비는 통상적으로 1보다 작으며, 0.2만큼 낮아질 수 있다. 약 0.65의 비율은 여기의 실시예에 있어서 보통 값이다.
본 발명의 실시예에서, LP-CARS는 독립적으로 제어가능한 반응기들이며, 생산성 요구도와 공정일련의 원하는 융통성을 위해 독특한 구조의 빌딩 블록으로 사용될 수 있다. 선호되는 시스템 실시예에서, LP-CARS는 수직으로 적재되며, 이는 정확한 공정의 효율적인 사용을 촉진한다. 수직적재 구조는 수직적재 컴팩트 ALD 반응기(vertically-stacked compact ALD reactor)의 이름을 따서 VESCAR(상표)로 일컬어진다.
아래의 일부 실시예에서 알 수 있듯이, VESCAR 시스템은 독립형 구조이며, 기판들이 카세트 적재 로드락 하부시스템을 통하여 VESCAR 장치로부터 들어가고 나온다. 다른 실시예에서, 하나 이상의 로드락과 VESCAR 장치들이 클러스터 도구 제어 시스템에 연결되어 있으며, ALD를 비롯한 CVD, PVD, 세적기, 리쏘그래피 그리고 다른 공정 하부시스템을 포함할 수 있다.
도 2는 본 발명의 실시예에 따른 컴팩트 반응기 장치(33)의 다이아그램이며, 실질적으로 단일 평면에 제공된 코팅될 기판을 포함하며, 기판 표면으로의 단일 가스흐름 경로를 가진다. 본 발명에 따른 선호되는 실시예에서 반응기 장치의 일 측면 상의 기판 입출력(I/O) 개구부(53)가 게이트 밸브와 함께 구비되고, 아래에 보다 상세히 기술된다.
공정이 진행될 위치에서 적재된 기판(45) 위로의 가스흐름은 증착이 발생할 기판(45)의 표면과 실질적으로 평행한 수평방향이며, 일 측면(가스입력)으로부터 들어가고 반대방향으로 나온다(가스출력). 도 1b 위에 기술된 바와 같이 프리커서(precursor)들은 교대로 반응기 장치(33)로 펄스입력되고 가스 퍼지가 뒤를 잇는다. 이 실시예에서 가스흐름은 도면에 화살표로 도시된바와 같이 오른쪽으로부터(가스입력) 왼쪽으로(가스출력) 이동한다. 다른 실시예에서, 가스흐름은 왼쪽에서 오른쪽일 수도 있다. 일 실시예에서 각각의 컴팩트 반응기는 반응기 몸체에 설치된 입출력 머니폴드(manifold)를 갖는다.
컴팩트 반응기 장치(33)는 스테인레스강, 알루미늄, 복합재료, 혹은 다른 가해진 진공을 유지할 수 있고 증착 챔버에 알려진 적절한 특성을 가진 어떠한 재료로부터도 만들어질 수 있다. 일 실시예에서, 반응기 장치(33)는 진공하에서의 강도를 증가시키기 위해 구조적 립(rib)을 사용해 강화될 수 있다. 도시된 실시예에서의 컴팩트 반응기 장치(33)는 전체 높이 h를 가지며, 증착을 의한 적어도 단일 기판을 수용하도록 폭과 깊이를 갖는다. 스케일링은 매우 작은 기판으로부터 400밀리 직경이나 그 이상에 이르는 다른 크기의 기판에 맞도록 이루어진다.
h로 표시된 고정영역(49)의 실지 높이는, 수평치수의 견지에서, 매우 중요한 파라미터이며, 이 높이는 반응기의 내부 체적을 정의하는 데에 기여하며, 이 체적 내에서 가스 펄스입력과 공정이 일어난다. 외부 높이는 위에서 간단히 기술되었듯이, 아래에 자세히 기술된 시스템 구조 내에서 반응기의 적재를 촉진하는 낮은 프로파일을 제공하도록 제어된다. 본 발명의 실시예에 따른 LP-CAR 장치 내의 반응 영역의 내부 높이는 코팅될 기판 표면영역에 비하여 실질적인 최소체적을 구비하도록 분리되어 제어되며, 이는 가스 사용을 최대화하고 빠른 가스전환을 도와준다. 보다 일반적으로 말하면, 본 발명자에 의하여 발견된 주요 문제는, 표면 포화를 확신하기 위한 프리커서의 충분한 양의 제공과 가스의 과잉없이 코팅될 표면으로의 가스전달 속도가 최대화되어야 한다는 것이다.
기판 직경 300밀리를 위해 제공되는 LP-CAR에 있어서, 본 발명에 따른 실시예의 내부 높이는 약 1인치가 선호되나, 실시예에 따라 일부 변할 수 있다. 반응기 영역의 수평 내부치수와 내부 높이의 비율은 약 0.25를 초과하지 않는 것이 좋으며, 이는 빠른 가스전환과 효율적인 프리커서 사용을 보장하기 위한 것이다.
일부 실시예에서의 신축자재의 기판 리프트 핀(도시안됨)은 기판의 지지를 위해 기판의 고정영역(49)의 바닥표면에 위치한다. 기판의 고정영역(49)에 있는 기판 리프트 핀의 수는 통상적으로 세 개 이상이며, 핀들은 기판을 수평으로 지지하는 패턴으로 배열된다.
기판 리프트 핀은 RTCVD와 같은 공정에서 반응기 챔버 내에서 기판의 수평지지를 위해 통상적으로 사용된다. 일부 실시예에서, 기판 리프트 핀은 기판지지 트래이의 부분이다. 다른 실시예에서는, 기판 리프트 핀들은 반응기 챔버 내에 설치되어 있다. 통상적으로, 기판 리프트 핀들은 약간의 열발산을 제공하고 표면 코팅 변형을 피하기 위해 기판 표면에 위치한다. 이러한 규칙은 RTCVD와 같이 더 많은 열을 사용하는 공정에서 중요하며, 기판이 양쪽표면 모두 동시에 공정이 이루어지는 경우에도 중요하다. 일부 실시예에서, 적절한 열용량을 가진 편평한 정전척(electrostatic chuck, ESC)이 후면 증착을 제거하기 위해 공정 중에 기판을 고정하는 데에 사용될 수 있다.
컴팩트 반응기 장치(33)는 기판 공정 중에 가열 및 냉각된다. 영역(51)은 열저항 코일과 같은 가열장치가 수용되는 히터 구역을 나타낸다. 영역(47)은 반응기 장치(33)의 상부 표면을 통한 냉각 라인을 포함한다. 본 분야의 당업자들은 공정 중에 다른 종류의 화학물질이나 프리커서가 다양한 공정에 사용되면 컴팩트 반응기 장치(33) 내부에 다른 온도가 유지되어야 한다는 것을 알 것이다. 따라서, 본 발명은 알려진 증착의 다양한 가열 및 냉각방법이 본 발명에 의한 실시예에 적용가능하도록 한다. 이와 유사하게, 영역(51)은 다른 시간동안, 예를 들면 내부 어닐링을 수행하는 등 필요한 시간동안 열 수준을 전달하는 데에 요구되는 하나이상의 가열요소 형태를 수용한다.
도 3a는 본 발명의 실시예에 다른 도 2의 컴팩트 반응기 장치(33)의 단순화된 도면으로, 플랩타입의 떨어져서 조정이 가능한 밸브(52)가 개구부(53)를 덮거나 노출시키기 위해 구비된다. 이 밸브는 공정 중 닫히고, LP-CAR로의 기판 전달을 위해 열린다. 이 실시예에서 개구부(53)를 둘러싸는 진공 밀폐부(46)가 있으며, 이는 오링(o-ring)이나 사각링, 금속 밀폐기, 혹은 다른 알려진 진공 밀폐기가 될 수 있다. 밸브(52)는 작동 중에 장치를 격리시키는 진공 밀폐기에 대하여 잠그도록 구비된다. 일 실시예에서 플랜지(54)는 게이트 밸브(52) 뒤에 위치하며, 뒤에 보다 자세히 기술될 생산 구조에서의 진공 챔버의 연결 벽의 비진공 측면에 대하여 밀폐를 제공하는 진공 밀폐부(48)를 갖는다.
밸브(52)와 같은 게이트 밸브를 자동 제어하는 알려진 많은 방법들이 있다. 본 발명의 선호되는 실시예에서, 본 밸브는 플랩타입(flap-type) 밸브이며, 캠구동 전기 메카니즘이 구비되고, 반응기 장치(33)의 벽과 밸브 문 상의 피봇 암(pivot arm, 도시안됨)에 장착된다. 전기 단자는 작동 중에 비진공 측으로부터 반응기 장치(33)의 몸체를 통과한다. 본 발명의 관점을 벗어나지 않는 범위에서, 게이트 밸브(52)를 열고 닫는 캠타입 장치의 장착을 위한 다양한 방법이 사용될 수 있다. 전기적으로 구동되는 캠 장치는 일반적이며 잘 알려져 있다.
여기에 제시된 실시예는 LP-CAR이 입출력 개구부를 위해 어떻게 게이트 밸브가 구비되는가의 일례를 보여준다. 다른 실시예에서, 플랩타입 문은 아래로부터 보다는 상부로부터 회전하도록 구비될 수도 있다. 다른 실시예에서, 플랩타입 문 대신에, 캠 구동 미끄럼 문이 사용될 수 있다. 선호되는 실시예에서, 플랩타입 문은 설계가 설치의 단순성으로 인하여 사용된다.
플랜지(54)의 실제 모양뿐만 아니라 게이트 밸브(52)도 본 발명의 관점을 벗어나지 않고 상당히 변형될 수 있다는 것을 당업자는 알 것이다. 예를 들면, 플랜지(54)는 모딴 사각형이나 타원형의 형태일 수 있다. 이와 유사하게, 게이트 밸브(52)는 상술한 것과는 다른 형태를 가질 수도 있다. 일부 실시예에서, 밀폐 접속부는 반응기 몸체의 일체 부분으로서의 플랜지를 사용하지 않고 구비될 수도 있다.
도 3b는 본 발명의 실시예에 따른 도 3a에 기술된 두 개의 컴팩트 반응기 장치의 측면 입면도로써, 플랜지(54)와 진공 챔버의 접속 벽(42)이 형성된 진공 연결부를 나타낸다. 비진공 측면에 적재 고정물이나 랙(rack, 도3b에 도시안됨)이 반응기 장치(33a,33b)와 본 발명의 실시예에 따른 VESCAR 시스템의 부분이 되는 도 3b에 도시되지 않은 다른 반응기 장치를 지지하기 위해 사용된다. 수직 모양으로 반응기 장치를 지지하고 거리를 유지하는 데에 사용되는 적재 고정물이나 랙은 어떠한 내구성 재료, 즉 스테인레스강이나 다른 적절한 재료로부터 각 반응기 장치를 지지하고 발생할 수 있는 치수변화에 저항할 수 있는 한 만들어질 수 있다. 시스템에서 공유된 연결부에 접속된 하나 이상의 부품들을 위치시키기 위하여 사용되는 고정물은 비교적 일반적이고 잘 알려져 있다. 본 발명에 따른 이 실시예에서 적재 고정물을 위한 중요 특성은 반응기 장치를 균일하고 정확히 간격을 가지게 하고, 기판의 완전하고 재현성있는 전달을 촉진하고, 무게를 지지하는 것이다. 일 실시예에서 적절한 위치배치를 위한 제거가능한 스페이서를 가지는 팩의 형태의 고정물이 사용될 수 있다. 다른 실시예에서, 정확한 간격배치는 나사나 이와 유사부품을적용시켜 이루어질 수 있다.
다양한 실시예에서, 수직 적재로 LP-CAR 장치의 간격배치는 각 반응기의 하부 고온영역과 각 근접 반응기의 상부 냉각영역 사이의 열적 격리를 제공하게 하여준다. 이와 유사하게, 적재에서 LP-CAR의 최상부와 최하단부는 다른 반응기에 대해 유사한 열적 환경을 가져야 한다.
도 3b에 도시된 챔버 벽의 왼쪽(42)으로의 영역은 후술되는 수직적재 시스템 내의 진공 전달 챔버의 진공 영역이다. 챔버 벽에 플랜지(54)의 고정은 종래의 고정기술인 소켓머리 나사와 같은 부품을 사용하여 달성될 수 있다. 대체 실시예에서 정합 플랜지는 챔버 벽에 용접을 통하여 고정되며, 플랜지(54)는 정합 플랜지에 고정되어 연결을 완성한다. 그러한 경우에, 정합 플랜지는 플랜지(54)에 있는 개구부 안으로 맞는 정렬 핀을 가질 수 있다. 여기에 기술된 것 외에 다양한 형상을 갖는 것이 가능하다는 것을 당업자는 잘 알 것이다.
도 4는 본 발명에 의한 생산 시스템 실시예에 따른 카세트 로드락(21)에 직접 연결된 VESCAR 시스템(27)의 입면도이다. 이 실시예에서, 이전 공정을 거친 기판들이 카세트 로드락으로 적재된다. 이 구조에서, 공정 방으로부터 클린룸 환경을 분리하는 벽은 카세트 로드락(21)과 VESCAR 장치(27)가 연결되는 개구부를 갖는다. 이러한 종류의 클린룸 접속은 생산 시스템 분야에서 잘 알려져 있으며, 중요한 클린룸 공간을 보존한다.
VESCAR 장치(27)는 벽(42, 도3b 참조)이 있는 진공 제어 챔버(32)와 수평 및 수직 확장능력이 있는 Z축 로봇(31)를 포함하며, 여기서는 카세트 로드락(21) 안으로 확장하는 것을 보여준다. 이전 공정을 거친 기판들이 적재된 카세트(79)는 Z축 로봇(31)이 기판을 집어 VESCAR 장치(27) 안으로 옮길 수 있도록 위치한다. 일단 VESCAR 장치(27) 안에서, Z축 로봇(31)은 180도 회전하고 기판을 반응기 장치 안으로 놓기 위해 적절한 수직위치로 확장하며, 10(a-j)은 진공 벽(42)에 연결된 수직으로 적재된 구조에 나타나 있다.
도 4에 도시된 하나 위에 다른 하나가 있는 10개의 LP-CAR 장치들은 요구 생산량을 위한 실질적인 개수로 고려된다. 본 발명의 일부 실시예에서, Z축 로봇(31)과 관련된 하나이상의 확장 및 전달 암이 있으며, 생산에 있어서 어떠한 전달도 피하기 위하여 하나 이상의 작동체가 있다. 완성된 기판은 상술한 적재단계와 반대로 빼내어지고, 카세트(79)에 다시 놓여진다.
도 4의 VESCAR 구조는 최소 비용 구조이며, 보다 복잡한 VESCAR 구조로의 출발점이다. 또한, 도시된 구조는 개발공정 단계에서의 사용과 다중 LP-CAR 장치의 사용과 같은 연구개발 형태에 좋다. 도 4의 VESCAR 시스템에서 개발된 공정들은 후술하는 보다 복잡한 공정 계획에 사용될 수 있다.
도 5는 본 발명의 실시예에 다른 ALD 생산 시스템(19)의 입면도이다. 여기에 기술된 다양한 부품들의 독특한 조합과 자동화는 종래의 ALD 반응기에서 가능한 시스템 구조와 연관된 장애물을 효과적으로 극복한다. 기술된 실시예들과 후술될 예들은 느린 증착률, 부족한 생산 공간의 사용, 그리고 현재의 ALD 응용과 경쟁 공정이 직면한 다른 문제들에 대한 상당한 해결책을 제공한다.
이제 도 5를 참조하면, VESCAR 장치(27)는 도 4를 참조하여 또한 상술한 분리된 컴팩트 반응기 장치들(33a-j)의 부착을 위한 수직 연결부를 가지는 진공 챔버(32)를 포함한다. 컴팩트 반응기 장치들(33a-j)은 진공 챔버(32)와 분리하여 그리고 통합하여 적절한 진공을 유지하도록 적용된다. 플랩타입 게이트 밸브는 각 컴팩트 반응기 장치(33a-j)가 분리된 펌프다운(게이트 폐쇄)과 진공 챔버(32) 내의 진공 공유(게이트 개방)를 하게 한다. 각 반응기에의 각각 구비하는 것은 진공, 퍼지 그리고 공정 가스의 흐름을 허용하며, 상술한 플랩타입 밸브를 적재하고 내리는 것을 포함하는 적절한 밸브작용은 기판이 챔버(32) 안팎으로 수직으로 적재된 반응기로부터 그리고 반응기 쪽으로 전달하도록 하여준다.
본 발명의 관점을 벗어나지 않고 도 4 및 5에 도시된 수보다 많거나 적은 VESCAR 장치(27)에 수직으로 적재된 컴팩트 반응기 장치가 있을 수 있다. 도 5를 참조하여 여기에 기술된 실시예에 있어서, 10개의 컴팩트 반응기 장치(33a-j)가 있으나, 본 발명의 실제 적용에 있어서는 알려진 상업 공정에 대하여 경쟁적 방법에 있어서의 높은 생산성을 촉진하기 위해 적절한 만큼의 수의 많은 컴팩트 반응기 장치가 VESCAR 장치(27)에 포함될 수 있다. 실제 수의 제한은 수직 공간에 의하여 이루어지며, 목적달성을 위한 제어장비의 범위와 맞아야 한다.
Z축 로봇(31)은 컴팩트 반응기 장치(33a-j)에 대하여 기판들을 자동적으로 적재하고 내리기 위해, 그리고 다른 재료 조절 장비와 연결하기 위해 챔버(32)에 구비된다. Z축 로봇(31)은 수평과 수직 위치로 확장할 수 있으며, 각 컴팩트 반응기 장치(33a-j)와 연결되도록 프로그램된다. Z축 로봇(31)은 또한 원하는 어떠한 단계에서도 기판을 반응기에 적재하도록 프로그램될 수 있다. 예를 들면, 기판들은 하부에서 상부로, 상부에서 하부로, 중간에서 상부로 기타 위치로 적재될 수 있다. 기판들은 하나의 컴팩트 반응기 장치로부터 내려질 수 있고, 다른 컴팩트 반응기 장치로 재적재될 수 있다. 일부 실시예에서, 다중 기판 조정 장치가 있으며, 이들은 말단 작동기나 유사한 것으로 단일 Z축 로봇과 관련된다.
컴팩트 반응기 장치(33a-j)는 챔버의 일 벽을 따라서 챔버(32)와 연결되고, Z축 로봇에 의하여 적재와 하역에 오류가 없도록 주의하여 간격을 띄운다. 반응기는 진공 밀폐부로 챔버와 연결되고, 도면을 참조하여 좀더 상세히 아래에 기술된 챔버(32) 외부의 랙 조립체에 의해 지지된다.
이 실시예에서, 진공 중심 로보식 기판 조정기(23)는 게이트 밸브(29)를 통하여 VESCAR 장치(27)와 연결된다. 게이트 밸브(29)는 VESCAR 장치(27)가 기판 전달 사이에 클러스터 도구 조정기로부터 격리되도록 하여주는 진공 밸브이다. 회전 메카니즘(25)을 통하여 작동되는 전달 메카니즘(43)은 기판을 Z축 로봇(31) 전후로 적재하거나 하역한다. 도 1의 전달 메카니즘(43)은 게이트 밸브(29)에 확장되는 것을 보여준다. 도시된 위치로부터 180도 위치에 있어서, 전달 메카니즘(43)은 카세트 로드락(21)으로 확장할 수 있으며, 이전 공정을 거친 기판들은 적재되고 완성된 기판들은 하역된다. 조정기(23)에 의해 서술된 로봇식 기판 조절 시스템은 상업적으로 구입이 가능하며, Brooks Automation, Equipe 그리고 Smart Machine과 같은 생산회사가 있다.
본 발명의 선호되는 실시예에서, 이전 공정을 거친 기판들은 우선 수직으로 향한 카세트나 랙(도시안됨) 내에서 카세트 로드락(21) 안으로 놓여진다. 기판이카세트 로드락(21)에 놓여진 후, 로드락은 잠기고 진공포트(도시안됨)를 통하여 특정 진공상태로 만들어진다. 로봇식 조정기(23) 내의 전달 체적은 또한 진공포트를 통하여 특정 진공상태로 만들어진다. 진공 챔버(32)는 유사한 진공포트(도시안됨)를 통하여 펌프다운된다. 모든 장치들이 적절히 펌핑되면, 게이트 밸브(35)는 전달 메카니즘(43)이 한번에 하나씩 기판을 잡을 수 있도록 카세트 로드락(21) 안으로 확장하도록 하여준다. 카세트 로드락(21) 안의 카세트 조정기(도시안됨)는 이전 공정을 거친 기판들을 고정하는 수직 카세트를 고정하는 플랫폼을 올리거나 낮추거나 할 수 있다.
전달 메카니즘(43)이 기판을 수용하면, 로봇식 조정기 내로 다시 후퇴하고 VESCAR 장치(27)로 확장하도록 180도 회전위치로 회전한다. 통상적으로 게이트(35)는 전달 사이에 잠기나, 이것은 많은 공정 흐름 방법에서 반드시 요구되는 것은 아니다. VESCAR 장치(27)에서 전달 메카니즘으로, 게이트 밸브(29)는 전달 메카니즘(43)이 기판을 Z축 로봇(31)으로 전달하도록 하기 위해 열린다. 그러면, Z축 로봇(31)은 이를 받고, 수직 적재 컴팩트 반응기 장치의 하나나 다른 것으로 기판을 적재한다.
많은 작동기법이 가능하다. 도시된 구조에서, 하나의 선호되는 기법이 적재-하역 카세트(21)의 위치와 동일 개수의 컴팩트 ALD 반응기로 시스템이 적용된다. 전달은 모든 기판들이 로드락(21)으로부터 반응기 장치로 전달될 때까지 계속되며(모든 반응기 장치는 코팅될 각 기판을 가진다), 중간 밸브가 닫히고, 반응기 장치(33a-j) 안에서의 공정을 시작한다. 이 시스템은 배치 시스템의 공정단계를가지며, 모든 기판들은 각각 격리된 반응기 장치 안에서 공정이 이루어진다.
다른 기법들이 가능하다. 각 컴팩트 반응기는 격리된 게이트 밸브를 가지므로, 일부 방법에 있어서 반응기 공정은 기판이 적재되자마자 시작할 수 있다. 다른 공정 흐름기법이 당업자들에게 가능할 것이다.
일 실시예에서, 각 펌핑과 격리가 챔버에 구비되므로, 반응기가 적재될 때에 그리고 반응기 장치에서 공정이 시작되기 전에, 챔버(32) 압력이 불활성 가스를 흘려 각 반응기의 플랩타입 밸브들을 가로지른 압력차이를 제공하는 데에 충분한 수준까지 증가하고, 각 반응기 밸브들에 부가적인 밀폐력을 제공한다.
모든 공정이 컴팩트 반응기 장치(33a-j) 내에서 완성되면, 각 장치에 설치된 플랩타입 게이트 밸브들(도 3a의 52요소)은 기판이 상기 적재방향의 반대 방향으로 하역되도록 열린다. 하나씩 완성된 기판들이 동일 카세트로 적재되며, 기판들이 이에 수용된다. 로드락(21)은 닫힌 밸브(35)로 공기유입되며, 완성된 기판이 적재된 카세트는 제거된다. 이 과정은 이전 공정을 거친 카세트 로드락(21) 안의 기판들이 처음부터 다시 카세트에 적재되어 끝날 때까지 자동화되어있다. 게이트 밸브 개방, 전달 속도, 공정 길이, 펌프다운 과정, 그리고 다른 요구 사항과 관련된 시간적 특성들은 이전에 알려진 기술에 의해 제어 소프트웨어나 하드웨어의 프로그램 기능으로 가능하다.
일부 ALD 공정의 플럭스에 독립적인 이유 때문에, 층들은 상술한 바와 같이 화학흡착에 의하여 증착표면상에 형성되고, 알려진 바와 같이 컴팩트 반응기 장치(33a-j)와 같은 장치들은 300밀리 기판과 같은 최적의 크기의 기판들을 수용할수 있는 폭을 갖도록 설계될 수 있다. 또한, 보다 작은 기판들은 컴팩트 반응기 장치(33a-j) 크기를 줄이지 않고 동일 시스템에서 공정이 가능하다. 다른 실시예에서, 치수가 줄여진 시스템이 작은 기판들을 하나씩 공정수행하기 위한 목적으로 실시될 수 있거나, 스케일이 커진 시스템이 평판 디스플레이 같은 다른 생산품을 위해 실시될 수 있을 것이다.
본 발명에 의한 일부 실시예에 있어서, 상술한 특정 기판의 크기를 위해 개발된 LP-CAR은 보다 작은 크기의 다중 기판들을 공정수행 하기 위하여 적용될 것이다. 도 6은 점선 원(70)으로 표시된 보통의 기판 크기를 가지고 도 3a에 도시된 LP-CAR(33) 타입의 평면도이다. 본 발명의 대체 실시예에서 LP-CAR은 LP-CAR 장치(33) 내의 기판(70) 보다 작은 세 개의 기판들(72)을 공정수행하는데 적용된다. 일부 실시예에서, 장치(33)는 회전 척이 구비되어, 기판(72)이 통상의 이전 점에서 놓이고 수용될 것이다. 다른 실시예에서, 로보식의 전달장치는 기판을 화로 상의 원하는 위치에 놓도록 적용될 수 있다. 또 다른 실시예에서, 다중 기판들은 LP-CAR 장치를 드나들어 전달되는 통상의 캐리어 내에서 조정된다. 이것은 단일 기판 LP-CAR 설계의 사용을 다수의 기판들이 동일 공정 평면에서 가능하게 해준다.
상술한 독특한 구조는 이전 기술로는 이룰 수 없는 완전히 자동화된 상업적 ALD 공정을 제공한다. VESCAR 장치(27)를 사용하여, 고 공정 생산성이 CVD, PECVD 그리고 이와 유사한 경쟁기술에 비하여 달성될 수 있다. 또한, ALD 공정을 통하여 얻을 수 있는 고유의 균일성 개선으로 인하여, 그리고 분리된 반응기 장치들이 배치기술에 대신하여 사용된다는 사실로 인하여, 교차 오염이나 이와 유사한 문제점들 없이 높은 일드률이 실현될 수 있다. 그리고, 이러한 장점들을 달성하기 위하여, 컴팩트 장치들의 수직 적재성으로 인하여 협소한 생산 플로어 공간이 사용될 수 있다.
도 5에 기술된 실시예에 있어서, VESCAR 장치(27)와 함께 사용될 수 있는 장치의 가능한 많은 배열의 일례를 보여준다. 여기에는 단지 하나의 카세트 로드락(21)과 하나의 VESCAR 장치(27)가 있지만, 부가적인 로드락이나 VESCAR 장치가 부가될 수 있는 로봇식 조정기(23) 상의 두 개의 부가적인 위치들이 있다. 상술한 부가적 장치와 관련된 상세사항은 부가적인 실시예를 통하여 아래에 설명된다.
도 7은 본 발명의 대체적인 실시예에 다른 도 5의 생산 시스템(19)의 도면으로, VESCAR 장치나 카세트 로드락은 부가적인 공정, CVD, 세척이나 유사한 공정의 수행을 위하여 로봇식 조정기(23)와 연결된다. 로봇식 조정기(23)는 도 7에서 위치 A, B, C, D로 표시된 네 개의 90도 위치를 갖는다. 위치 A는 게이트 밸브(35)와 연결되고, 카세트 로드락(21)은 또한 도 5를 참조하여 기술된다. 위치 B는 게이트 밸브(75)와 카세트 로드락(71)에 연결된다. 위치 C는 게이트 밸브(29)에 연결되고 VESCAR 장치(27)는 또한 도 1을 참조하여 기술된다. 위치 D는 게이트 밸브(77)와 두 번째 VESCAR 장치(73)에 연결된다. 전달 메카니즘(43)은 도 5의 작동 유닛(25)에 의해 제어되며, 각 위치에 도달하기 위해 회전한다. 도 7에서 메카니즘(43)은 VESCAR 장치(27)내에 수용된 위치 안에서 적재된 기판이 있는 위치 C에서 확장된 것을 보여준다. 게이트 밸브(29)는 기판을 전달을 허용하는 개방 위치에 있다. 전달 메카니즘(43)은 또한 후퇴한 위치(점선으로 표시)에 있으며, 위치 B에서 게이트 밸브(75)와 카세트 로드락(71) 방향을 향하는 것을 보여준다. 이 예에서, 전달 메카니즘은 카세트 로드락(71)으로부터 기판을 받고, VESCAR 장치(27) 안에 그것을 놓는다. 전달 메카니즘(43)은 네 가지 위치, 확장, 수축, 회전 그리고 확장이 로드락으로부터 VESCAR 장치로 성공적으로 기판을 전달하고 로드락으로 다시 적재하기 위하여 수행되는 그와 같은 방법으로 작동한다.
일 실시예에서, 세 개의 VESCAR 장치와 하나의 카세트 로드락이 사용되며, 다른 평행 공정들(한 공정 전용의 모듈 안의 모든 반응기 장치들)은 각 공정 모듈 안에서 수행된다. 이와 유사하게, 일련의 공정(다른 공정에 사용되는 하나의 공정 모듈 안의 각 반응기 장치)은 또한 수행될 수 있다. 다른 실시예에서, 하나의 공정 모듈은 일련의 공정에 사용되며, 다른 공정 모듈은 두 개의 카세트 로드락을 포함하는 시스템으로 평행 공정에 사용된다. 상술한 본 발명의 관점을 벗어나지 않고 생산 시스템(19)이 사용될 수 있는 많은 공정 형태가 있을 수 있다는 것을 당업자는 알 수 있을 것이다.
도 8은 본 발명의 실시예에 따른 챔버 벽(42)과 연결된 10개의 수직으로 적재된 반응기 장치의 세 개를 나타내는 VESCAR 장치(27)의 후면도이다. 수직을 향한 가스 입력 머니폴드(55)는 가스나 증기 재료를 반응기로 전달하기 위하여 수직적재 반응기 장치의 일측면 상에 나타난다. 본 발명의 선호되는 실시예에서, 다중 프리커서들과 불활성 가스들은 공정 중에 교대로 반응기 장치(33) 안으로 펄스입력되지만, 단지 하나의 머니폴드(55) 만이 도면에서 혼란을 피하기 위하여 도시되었다. 본 발명의 실지 실시에서, 하나의 머니폴드는 각 프리커서 가스나 증기 그리고 적어도 하나의 퍼지가스를 위하여 사용된다. 따라서, 최고 세 개의 머니폴드가 통상적으로 사용될 것이다.
본 발명의 선호되는 실시예에 있어서, 밸브있는 챠지 튜브(charge tube)가 각 가스나 제공된 증기를 위한 각 반응기에의 공급량을 조절하기 위해 사용된다. 도 8에서, 그러한 챠지 튜브가 도시된다. 이들 분리된 챠지 튜브들은 미리 정해진 체적이며, 제어된 압력과 온도의 증기나 가스로 채워져, 가스나 증기의 입자 수가 알려진다. 각 챠지 튜브는 두 개의 밸브에 의하여 차단되며, 이들 밸브는 튜브(62)의 경우에는 챠지 밸브(54)와 분사밸브(61)가 된다. 분사밸브(61)가 열린 상태에서는, 튜브의 그 구획의 충전된 내용물이 반응기 장치(33)로 배출된다. 분사밸브(61)가 닫혀 챠지밸브(54)가 열리면, 챠지 튜브가 미리 정해진 압력과 온도에서 프리커서 가스, 증기 혹은 퍼지 가스로 채워진다.
급속 연결 플랜지(56)는 가스와 프리커서 소스를 반응기 장치(33)에 연결하는 데에 사용되며, 다른 급속 연결부는 각 반응기 장치로의 모든 가스와 증기 라인을 비교적 빠른 릴리즈를 허용하기 위해 제공된다. 프리커서와 가스 퍼지의 펄스 공급은 ALD 공정에서 요구되는 일련의 방법으로 수행된다. 각 펄스 시간은 통상적으로 매우 짧으며(공정에 따라 약 50에서 300 밀리초), 펄스들은 통상적으로 짧은 전이시간에 의해 분리된다. 이로 인하여 빠른 스위치 전환 특성의 밸브가 포함된다. 빠른 전환 밸브는 잘 알려져 있다.
수직으로 향한 진공 배출 머니폴드(63)는 이 실시예에서 반응 챔버로부터 가스와 증기를 배출하기 위해 급속 연결 고정부(58)를 통하여 반응기 장치(33)의 우측에 연결된다. 급속 연결부의 사용은 제거를 촉진하고 각 반응기의 사용을 촉진하기 위한 것이다. 이와 같은 급속 연결부는 잘 알려져 있으며, 다양한 모양과 형상을 얻는 것이 가능하다. 진공 차단 밸브(60)는 보수와 교환을 위해 제공된다. 이 밸브는 통상적으로 가스 펄스입력동안에 열려져 있다.
전력은 대표적인 전기선(57)에 의하여 반응기 장치(33)로 공급된다. 전력은 도 3B의 게이트 밸브(52)나 가열 소스 그리고 이와 유사한 다양한 요소들에게 전력을 공급하기 위해 제공된다. 제어 신호는 밸브와 같은 요소를 위해 제공되며 대표적인 제어선(59)을 통하여 공급된다. 전기 커넥터(67,68)는 반응기 장치(33)의 급속 제거를 촉진하기 위하여 전기선(57,59)과 같은 선으로 구비된다.
도 2를 참조하여 기술한 바와 같이, 통상적으로 기판은 공정 중에 가열되고 공정 후에 냉각되어야 한다. 따라서, 커넥터는 또한 액체 냉각을 위해 구비된다. 냉각 시스템에서 재생 냉매는 냉각 반응기에서 일반적이다. 그러한 시스템은 잘 알려져있다.
가열 소스가 반응기(33) 내에 설치되며, 본 발명에 따른 실시예에서의 가열기는 CAR 장치를 위한 독특한 전체 낮은 프로파일을 수용하기 위한 높이로 제한된다.
본 발명에 따른 실시예에서, 하나의 진공 펌프가 모든 혹은 컴팩트 반응기 장치(33)의 어떤 수도 펌프다운 할 수 있다. 이것은 진공 펌프와 반응기 장치 사이에 설치된 진공 연결부에 의해 이루어지며, 반응기 장치로부터 나오는 모든 진공 라인은 연결된다. 각 연결에 있어서, 밸브는 각 프로그램된 명령에 따라 열고 닫을 수 있도록 구비되어, 반응기 장치의 어떠한 조합도 동시에 혹은 분리되어 펌프다운 될 수 있다. 선호되는 실시예에서, 하나 이상의 반응기 장치에 질소나 공기가 들어올 수 있으며, 폐쇄 밸브(60,61) 들에 의하여 격리되고, 진공하의 다른 장치들을 남겨놓는다.
본 발명에 따른 실시예에 있어서, 각각의 컴팩트 반응기 장치는 도 5의 진공 챔버(32)의 연결 벽으로부터 급속 연결부를 제거하고, 전기 선을 뽑고, 플랜지(54)를 연결 챔버 벽으로부터 분리하고, 반응기 장치(33)를 위치잡기나 지지를 위해 사용된 적재 고정물이나 랙으로부터 분리함으로써 쉽게 제거될 수 있다. 어떤 경우에 플랜지 플러그(오링이 있는 단단한 플랜지)는 챔버 벽이나 정합 플랜지에 볼트 결합이나 클램프 결합하기 위해 제공되어, 많은 반응기 장치가 유지를 위해 제거될 것이고, 다른 LP-CAR 장치로 대체하거나 시스템의 완전한 폐쇄를 요구하지 않는다.
본 발명의 관점을 벗어나지 않고 반응기 장치의 손쉬운 제거를 촉진하는 데에 사용되는 많은 급속 연결부가 가능하다는 것을 당업자는 알 수 있다. 이러한 방법들과 하드웨어는 잘 알려져 있다.
도 9는 본 발명의 실시예에 다른 가스 리싸이클과 프리커서 트랩장치의 다이아그램으로서, 가스는 재생될 수 있는 유해한 생성물은 처리를 위해 모을 수 있다. 프리커서들과 가스 퍼지는 분리되어 컴팩트 반응기 장치(33) 안으로 펄스입력되므로, 프리커서들이나 생성물들은 따로 모일 것이다. 재생과 트랩 시스템(65)은 도4의 가스 입력 머니폴드(55)가 있는 3 경로 급속 스위칭 공압 밸브를 연결하는 되먹임 제어로 각 반응기의 배출 측면 상에 설치된다. 따라서, 퍼지가스 P는 반응기 장치(33)로 다시 재생된다. A 및 B로 표시된 화학적 물질들은, 유해 물질의 처리를 위해 제거될 수 있는 프리커서 트랩(69, 저온트랩) 내에서 분리되어 모이게된다. 비유해한 가스들이나 화학물질들은 프리커서 트랩(69)을 통과하여 배출 가스에 의해 펌핑된다. 여기에 기술된 혁신적인 방법은 사용 가스를 줄여주고 좀더 환경친화적인 공정을 제공한다.
일련의 공정의 경우에, 각 반응기는 상술한 바와 같은 트랩 시스템(65)과 같은 장치를 가질 수 있다는 것은 당업자에게 자명하다. 그러나, 병렬 공정에 있어서, 각 반응기에서 동일 공정이 수행되며, 트랩 시스템은 배출 측에서 사용될 것이다.
도 5의 생산 시스템(19)과 같은 장치는 본 발명의 관점을 벗어나지 않고 다양한 기술로 사용되고 통합될 수 있다는 것은 당업자에게 자명하다. 예를 들면, VESCAR 장치(27)는 CVD 시스템, 세척 모듈, 리쏘그래피 장치, 혹은 다른 알려진 공정에서의 로봇식 조정기의 플랫폼 연결부를 공유할 것이다. 또한, 화학흡착을 통한 ALD 공정의 본질적인 균일성 특징 때문에 기판의 크기제한이나 반응기 수 제한이 없다는 것도 자명하다. 따라서, VESCAR 장치(27)는 다른 경쟁 기술에 대하여 최적의 상업적 응용으로 설계될 수 있다. 다른 형상이나 응용례가 가능할 것이며, 상당수는 이미 상술하였다.
도 10A는 종래 기술에서 사용되었고 본 발명에서도 쓰이는 클러스터 도구 기반 공정 시스템(cluster-tool-based processing system)의 평면도이다. 클러스터 도구 자신은 실질적으로 진공 챔버(1101) 내에서 작동하는 재료 처리 시스템이다. 웨이퍼 전달장치(1103)는 진공 챔버의 중앙으로부터 작동하기 위하여 위치하며, 실질적으로 원형 진공 전달 챔버(1101)의 둘레 주위에 달려진 공정 스테이션에 전후로 확장하거나 회전함으로써, 통상적으로 IC 생산 공정에 사용되는 반도체 웨치퍼인 기판을 위치시키거나 수용하도록 조정된다.
도시된 시스템에서 1에서 6까지 번호를 가진 여섯 개의 스테이션 위치가 있으며, 이들 각각 스테이션은 플랜지와 슬릿 밸브 장치(1102)를 설치함으로써 챔버(1101)에 적용된다. 이 기법에서, 두 개의 스테이션(5,6)은 웨이퍼를 챔버(1101) 안팎으로 가져오는 공기잠금의 역할을 수행하며, 도시되지 않은 펌프장치에 의해 고 진공을 가지고, 나머지 네 개의 스테이션(1,2,3,4)은 공정이 가능하다.
웨이퍼들은 외부로부터 챔버(1101) 안으로 로드락(1104)을 통하여 이동하며, 통상적으로 네 개의 공정 스테이션을 연속하여 거치고, 로드락 해제기(1105)를 통하여 외부로 돌아온다. 그러나, 웨이퍼들이 네 개의 공정 스테이션을 연속적으로 거치는 것은 반드시 필요하지 않으며, 전달장치(1103)는 어떠한 원하는 순서로도 놓고 수용하는 능력이 있다.
도 10B는 도 10A의 스테이션(1106)의 단면도로서, 그러한 공정 스테이션의 일부 부가적인 전형적인 특성을 보여준다. 스테이션(1106)은 플랜지된 슬릿 밸브장치(1102)를 통하여 도 10A의 챔버(1101)와 연결하는 밀폐가능한 공정 챔버에 기반을 둔다. 웨이퍼들이 공정을 위해 챔버(1107) 안으로 들어오고 공정 후에 제거되는 것은 이 연결부를 통해서이다. 챔버(1107)는 진공 펌프 포트(1109)를 가지며, 이를 통하여 챔버는 진공이 되고, 공정 중에 웨이퍼(1111)를 지지하기 위한 가열가능한 화로(1110)도 진공이 된다. 공정에 사용되는 가스들은 가스 입력부와 제어 유닛(1115)으로부터 링 머니폴드(1113)와 샤워헤드 머니폴드(1112)를 통하여 도관을 통해 입력된다.
도 10A의 시스템에서, 챔버(1101)는 챔버 전체 체적을 고진공 하로 유지하기 위하여 항상 상당한 진공 펌핑이 이루어진다. 목적은 공정 스테이션 사이의 공기 가스에 의하여 오염되는 것을 피하는 것이다. 공정이 이루어지는 웨이퍼들은 통상적으로 캐리어 안인 로드락 챔버(1104) 안에 놓여지고, 로드락은 챔버(1101) 내의 진공 수준의 순서에 따라 진공 수준으로 진공이 만들어진다. 내부 밸브가 열리고, 웨이퍼들은 전달장치(1103)에 의해서 로드락으로부터 수용되고, 공정 스테이션(1-4) 중의 하나에 전달된다.
통상적으로, 공정 스테이션들 중의 하나에서 공정이 이루어지는 중에, 진공 펌핑은 공정 가스의 초과량을 사용하지 않고 공정 챔버 압력을 제어하기 위하여 조절된다. 그러한 조절은 여러 가지 방법으로 수행될 수 있으며, 이에는 제어가능한 개구부를 가지는 밸브가 있다. 전형적인 공정 싸이클에서, 공정이 완성된 후에, 가스들은 장치(1115, 도10B) 내에서 밸브가 열리고, 가스 조절 메카니즘은 공정 챔버에서 최대 펌핑 속도를 만들기 위해 열린다. 목적은 전달 챔버(1107)의 압력에 근접한 값으로 공정 챔버 내의 가스 압력을 감소시키기 위함이다. 그러면,장치(1102)의 슬릿 밸브가 열리고, 전달 장치(1103)가 공정 챔버로 들어가고, 공정을 거친 웨이퍼(1111)를 수용한다. 수용된 웨이퍼는 통상으로 장치(103)를 통하여 다른 공정 스테이션으로 전달되어, 로드락이나 다른 공정 스테이션으로부터 온 웨이퍼는 삽입되어 화로(1111) 상에 놓이고, 이후에 전달 장치는 이를 꺼낸다.
일단 새로운 웨이퍼가 공정 챔버 안의 화로에 있으면, 장치(1102)와 연관된 슬릿 밸브는 다시 닫히고, 공정 챔버를 전달 챔버(1103)로부터 격리시킨다. 그러면, 공정 가스가 도관(1114)을 통하여 장치(1115)로부터 유입되고 펌핑 속도가 조절된다.
위에 간단히 기술한 바와 같이, 도 10A 및 10B를 참조하여 기술된 일반적인 특성의 공정 스테이션에서 달성되는 많은 공정들이 있다. 예를 들면, 세척, 에칭, 후면 스퍼터링 그리고 많은 다른 증착 방법이 달성될 수 있다. 통상적으로 각 공정은 그러한 목적을 위하여 특별히 설계된 챔버에 의하여 이루어진다.
도 11A는 본 발명의 실시예에 따른 다목적 공정 스테이션(1201)의 도면으로서, 다양한 공정을 수행할 수 있고, 도 11B는 도 11A의 다목적 공정 스테이션의 확대도이다. 도 11C는 도 11A와는 다른 각도에서 본, 도 11A 및 도 11B에 보인 다목적 공정 스테이션의 단면도이다. 도 11D는 공정 모드에서 도 11A의 다목적 공정 스테이션의 단면도이다. 도 11E는 전달 모드에서 도 11A의 장치의 단면도이다. 다목적 스테이션은 비교적 복잡한 장치이므로, 장치의 특성과 요소들을 보다 잘 나타내기 위하여 여러 도면 및 단면을 도시하였고, 이러한 도면들을 통하여 잘 이해될 것이다.
이제 도 11A 및 11B를 참조하면, 다목적 공정 스테이션(1201)은 베이스 챔버(1203)에 의하여 클러스터 도구에 부착되고, 베이스 챔버는 다른 요소들과 조립될 때에 진공을 제공한다. 베이스 챔버(1203)는 스테이션(1104)이 시스템(1100, 도10A)에 장착되는 방법으로 클러스터 도구 전달장치 상에 접합 플랜지를 장착하기위해 적용된 플랜지(1207)에서 끝나는 확장 경로(1205)를 갖는다. 슬릿 밸브는 도시되지 않았고, 이 실시예에서 플랜지(1207)가 장착되는 클러스터 도구 장치의 일부이다.
이 실시예에서 원통형 공정 챔버(1204)는 연결부에서 진공을 제공하는 진공 밀폐부가 있는 베이스 챔버(1203)의 상부 말단부에 장착되며, 덮개 조립체(1261)는 진공 밀폐기로 공정 챔버의 상부 말단부를 밀폐한다. 이 실시예에서 덮개 조립체는 공정 챔버에 힌지로 연결되고, 공정 가스를 공정 챔버를 제공하기 위한 장치를 갖는다. 덮개 조립체와 관련 장치는 하기에 좀더 완전히 기술되어 있다. 여기에서 덮개 조립체가 있는 공정 챔버와 받침대는 닫혀진 공정 체적을 제공하는 것에 주목하는 것이 중요하다(도 11C 및 11D).
구동 조립체(1209)는 진공 밀폐부가 있는 수평 플랜지에 의하여 베이스 챔버(1203) 아래에 장착된다. 수평 플랜지는 도시되지 않는 진공 펌프 장치에의 부착을 위한 측면 출구(1213)를 가지는 상부 원통형 하우징(1211)의 부분이다. 상부 하우징(1211)은 베이스 챔버(1203)에 단단히 고정되며, 베이스 챔버는 클러스터 도구의 진공 전달 챔버에 단단히 고정되고, 하우징은 아래에 보다 명확히 기술되는 바와 같이 다른 요소들을 구조적으로 지지하도록 하는 고정 요소이다.
구동 조립체의 목적은 내부 받침대 장치(1215, 도11B)을 들어올리거나 낮추는 것이다. 받침대 장치는 공정을 거치는 웨이퍼를 가열하고 지지하기 위한 가열된 화로를 갖는다. 받침대가 최하부에 있을 때에 웨이퍼는 베이스 챔버에 삽입되고 화로 상에 릴리즈되어 놓이며, 전달 장치가 확장부(1205)를 통하여 꺼낸 후에, 관련 슬릿 밸브가 닫히고, 받침대는 올려지며, 공정이 수행될 위치에 공정 챔버 안으로 지지된 웨이퍼를 이동시킨다.
구동 조립체와 받침대 장치(1215)의 관계는 도 11C, 11D 그리고 11E에 잘 나타나 있다. 받침대 장치(1215)는 히터판, 전기 절연판, 그리고 아래에 더 자세히 기술될 다른 요소들을 포함하는 상부 부분(1217)을 갖는다. 구동 조립체를 고려한 현재의 설명에서, 받침대 장치와 구동 조립체의 연결이 주요한 관심사이다.
도 11E는 받침대 장치의 상부 부분(1217)에 지지된 웨이퍼(1219)를 가지는 최저 부분에 위치한 받침대 장치(1215)를 나타낸다. 이 위치에서, 전달 장치(1103, 도10A)는 확장부(1205)를 통하여 베이스 챔버로 들어가며, 받침대 장치의 상부에 웨이퍼를 집어서 놓는다. 현재의 설명에서 웨이퍼(1219)는 받침대 장치 상에 놓여져 있다.
이제 구동 조립체(1209)의 상부 하우징(1211)을 살펴보자. 상부 하우징(1211)보다 작은 직경을 가지는 단단한 하부 원통형 하우징(1221)은 상부 하우징(1211) 아래로 확장한다. 받침대 장치(1215)는 상부 구조(1224)와 외부 원통형 부재(1223)가 장착되는 플랜지(1227)에서 끝나며 사이에 환상의 영역을 만드는 하부 확장부(1225)를 갖는다. 외부 원통형 부재(1223)는 베어링 재료와 정렬되고, 하부 하우징(1221) 주위로 가깝게 맞도록 조정되며, 편차를 가진 하중없이 안정적으로 받침대 장치(1215)를 올리거나 내리도록 수직 선형 가이드를 형성한다.
진공은 전체 조립체에 걸쳐 유지되며, 받침대 장치의 수직 운동 자유도는 금속 벨로우즈(1233)에 의하여 이루어지고, 이는 하부 말단부에서 플랜지(1227)와 하부 원통형 하우징(1221)의 하부 말단부에 외부 직경이 부착된 플랜지(1229) 사이를 밀봉한다. 플랜지(1229)는 고정적이고, 베이스 챔버(1203)에 장착된 하우징(1211)에 부착된 하부 하우징(1221)의 부분을 이룬다. 플랜지(1227)는 받침대 장치(1215)의 하부 확장부(1225)에 부착되므로, 받침대 장치(1215)와 함께 상하운동한다. 받침대 장치가 하강하면, 벨로우즈(1233)는 확장하며, 받침대 장치(1215)가 상승하면 벨로우즈(1233)는 수축한다. 받침대 장치는 플랜지(1229)의 내부 직경에 의하여 수직 경로가 제한되고, 실린더(1223) 내의 내부 베어링에 의해 주로 제한된다.
구동 조립체(1209)와 받침대 장치의 상승과 하강을 고려하면, 받침대 장치(1215)가 최저위치(도 11E 그리고 도 11D 최상위치) 사이에서 이동하는 메카니즘이 기술될 것이 남는다. 이제 도 11A를 참조하면, 구동 조립체(1209)는 가이드 하우징(1238) 내에 확장 가능한 축(1237)을 가지는 전기적으로 구동되고 전력을 공급받는 선형 작동기(1235)를 포함하고, 확장가능한 축은 도시되지 않은 제어시스템으로부터 받은 제어신호에 의해 작동되는 하우징(1238) 내에서 확장과 수축이 될 것이다. 확장 가능한 축91237)의 일 말단부는 구동 조립체의 상부 하우징(1211)에 클레비스(clevis,1239)에 의하여 회전가능하게 부착된다. U자형 트랙을 포함하는요크(yoke) 조립체(1241)는 실린더(1223)의 몸체를 두르며(실린더는 받침대 장치(1215)에 고정되어있다), 클램프 바(1243)의 반대편 말단부에 U자형 트랙의 말단부에서 회전가능하게 부착되며, 클램프 바는 구동 하우징(1238) 상에 고정된다.
이제 도 11B 및 11C를 참조하면, 요크 조립체(1241)는 실린더(1223)의 반대 측면에 장착된 두 개의 베어링(1245)과 결합한다. 이제 도 11B를 참조하면, 캠 트랙/요크 조립체(1241)의 U자형 트랙의 구부러진 말단부의 중앙에서, 길이조절형 링크(1247)의 일 말단부는 클레비스(1249)에 의하여 회전가능하게 부착된다. 링크(1247)의 반대편 말단부는 클레비스(1251)에 의하여 하우징(1211)에 다시 고정된다.
상술한 배치에서, 확장가능한 축(1237)이 확장되면, 요크 조립체는 클레비스(1249)에서 부착부를 가지는 레버만큼 이동하며, 축(1237)이 확장하는 길이의 반 정도 거리만큼 실린더(1223)가 하강하는 방식으로 지주는 공정 챔버와 베이스 챔버에 대하여 전체 받침대 조립체가 하강하게 된다. 축(1237)이 후퇴하면, 받침대 조립체는 베이스와 공정 챔버에 대하여 유사하게 상승된다.
받침대 조립체가 베이스 및 공정 챔버에 대하여 이동하는 다른 메카니즘이 있다는 것을 당업자들은 알고 있으며, 본 발명의 관점을 벗어남이 없이 다양한 대체 메카니즘이 사용될 수 있다. 예를 들면, 많은 다른 확장 가능한 구동체, 공기 실린더, 공기-기름 시스템, 유압시스템 및 이와 유사한 장치가 사용될 수 있다. 기술된 실시예는 유연한 이동과 정확도를 제공한다.
본 발명의 관점에 있어서, 확장부(1205)를 통하여 웨이퍼를 삽입하거나 수용하기 위한 하부 위치를 제공하는 받침대 조립체의 수직운동과, 받침대 상의 웨이퍼가 공정을 위해 공정 챔버 안으로 상향운동하는 상부위치는 또한 상부 위치와 하부 사이의 다른 펌핑 속도를 제공한다. 또한, 이것을 가능하게 하는 요소들은 공정 위치에서 실제 펌핑 속도의 손쉬의 대체를 가능하게 한다. 이러한 특성들은 도 11D 및 11E를 참조하여 잘 이해될 수 있다.
도 11D 및 11E를 참조하면, 링 모양의 라이너(1253)는 베이스 챔버(1203)가 공정 챔버(1204)와 결합하는 점에 위치한다. 라이너(1253)의 내부 직경은, 받침대가 최상부 위치에 있을 때, 라이너(1253)와 받침대(1215)의 상부 모서리 사이에 형성된 환상의 경로(1255,도11D)의 영역을 결정한다. 라이너(1253)는 또한 열전도율이 비교적 작은 물질로 만들어지며, 받침대가 공정위치(최상위치)에 있을 때 가열되는 받침대에 가까이 있는 공정 챔버와 베이스 챔버의 부품을 보호한다.
라이너(1253)와 함께 받침대(1215)는 이에 부착된 환상의 덮개(1257)가 구비되며, 펌핑 고리를 형성한다. 받침대(1215)가 최상부 위치에 있을 때, 덮개(1257)가 받침대(1215)의 몸체를 형성하는 상부 고리는 라이너(1253)와 정합하는 덮개의 상부 림(rim)에 의하여 고리(1255)와 결합한다. 도 11D를 참조하면, 측면 출구 펌핑 포트(1213)를 통하여 공정 챔버로부터 펌핑 경로는 덮개(1257)와 받침대(1215)의 몸체 사이에 형성된 환상의 경로를 통하는 것이 확실하다.
이제 도 11E를 참조하면, 받침대(1215)는 스테이션 안팎으로 웨이퍼를 전달하기 위해 최하부 위치에 이동해 있으며, 공정 영역으로부터의 가스들은 여전히 상술한 덮개 고리부를 통과할 것이나, 영역(1259)을 통하여 덮개의 외부 주위로 또한통과할 것이며, 하우징(1211)의 영역으로 그리고 펌핑 포트(1213)를 통해 바깥으로 흐른다.
펌핑 속도는 공정 후에 그리고 웨이퍼 전달 중에 비교적 높아야 하며, 공정 중에 전체 가스 압력을 확실히 하기 위해 공정 중에 낮은 제어된 속도로 조절되어야 함은 당업자가 잘 알 것이다. 종래의 시스템에서, 이것은 밸브와 같은 것을 조절하여 이루어지며, 통상적으로 챔버의 펌핑 포트 안에서 이루어진다. 본 발명의 이러한 관점에서 이러한 차이는 단순히 부착된 펌핑 덮개가 있는 받침대 조립체의 수직 이동에 의하여 발생한다. 이러한 관점에서 조절 밸브는 정확한 압력제어를 위해 여전히 사용될 수 있다.
라이너와 덮개의 설계는 CVD와 PECVD 모두 다양한 공정을 위해 제공되지는 않으며, 이는 공정에 있어서 매우 다른 펌핑 속도를 요구한다는 것이 확실하다. 본 발명에 의한 실시예에서, 다른 공정을 위해, 받침대를 제거하고 덮개와 라이너를 대체하는 것만이 필요하고, 이는 일상적인 유지와 세척동안 계획된 휴지시간에 행해질 수 있다. 더구나, 이러한 대체는 챔버의 다른 관점의 독특한 설계로 인해 매우 단순한 작업이며, 아래에 자세히 기술된다.
이제 도 11A에서 11D를 참조하면, 공정 영역은 덮개 링(1263), 절연 링(1265) 그리고 가스 디퓨저 조립체(1267)를 포함하는 덮개 조립체(1261)에 의해 닫혀진다. 가스 디퓨저 조립체(1267)는 공정 가스의 유입을 위한 포트(도시안된)와 공정 챔버에 공정 가스를 전달하기 위한 내부 경로 그리고 공정이 이루어지는 공정 챔버 안에 위치에서 받침대(1215) 상의 웨이퍼 위에 균일하게 공정 가스를 배분하기 위한 공정 영역 내의 대퓨저 요소를 가진다. 그러한 포트, 경로 그리고 디퓨저 요소들은 잘 알려져 있다.
디퓨저(1267)는 디퓨저 조립체를 위해 전기적 및 열적 절연을 제공하는 절연 링(1265) 안에 수용되며, 디퓨저 조립제가 다양한 공정조건에서 요구되는 다른 요소들에 대한 전기 바이어를 가지게 한다. 그러한 바이어스는, 예를 들면, 플라즈마 강화 CVD 공정에서 알려진 바와 같은 플라즈마를 형성하기 위한 챔버 안에서 공정 가스를 활성화하는 데에 사용된다. 절연 링(1265)은 덮개 링(1263)과 디퓨저(1267)에 진공을 제공하고 단단한 덮개 조립을 제공하기 위해 진공 밀폐식으로 결합한다. 선호되는 실시예에서 덮개 조립체(1261)는 제거 가능한 진공 밀폐기가 있는 공정 챔버(1204)에 힌지로 연결되며, 비교적 쉽고 평범하게 세척과 유지를 위한 접근이 가능하다. 다른 실시예에서, 덮개는 다르게 장착되며 접근을 위해 완전히 제거된다.
도 11D 및 11E를 참조하면, 공정 챔버의 내부로의 접근은 덮개 조립체(1261)를 릴리즈하고 경로에서 치움으로써 이루어진다. 스테이션(1201)의 바닥에서 플랜지(1227)로의 손쉬운 접근이 가능하며, 하부 부분(1225)은 플랜지(1227)에 고정된다. 덮개 조립체가 열리면, 받침대 조립체(1215)를 플랜지(1227)로부터 제거할 수 있으며, 열린 상부 밖으로 공정 스테이션으로부터 그것을 제거할 수 있다. 이러한 특성은 세척과 유지에 요구되는 빠르고 단순한 공정 챔버 부분에의 접근을 제공하며, 새롭고 다른 공정 조건을 제공하기 위해 라이너와 덮개를 교환할 수 있다.
종래 기술에 대한 상당한 진보는 받침대(215)의 상부 부분의 구조에 있다.도 12는 도 11D의 잘라진 원형 부분(1269)에서 받침대(1215)의 상부 영역을 통하는 부분 단면이다. 상술한 바와 같이, 특히 도 11C를 참조하여 살펴보면, 받침대(1215)는 상부 구조(1224)와 하부 확장부(1225)의 조립체이다. 상부 구조(1224)는 마감판(1226)에 의하여 상부에서 닫혀지고, 요소들(1225,1226,1224)은 본질적으로 속이 빈 진공 고정 구조를 구비하는 진공 밀폐부로 조립된다. 도 12를 참조하여 아래에 보다 자세히 기술될 상부 마감판(1226)은 히터와 공정 중에 웨이퍼를 지지하기 위한 전극 요소를 지지한다. 받침대 조립체(1215)의 마감판(1226)은 도 12의 베이스 판이고, 종래의 오링과 같은 진공 밀폐부를 위한 작동 온도를 유지하기 위해, 그리고 고온에서 손상을 받을 수 있는 다른 요소들을 위해서 수 냉각된다.
이제 도 12를 참조하면, 이 실시예에서 마감판(1226)은 독특한 전기적 공급장치(1301)에 의하여 두 개의 위치에 들어간다. 그러한 삽입이 도 12에 나와 있으나, 선호되는 실시예에서, 후술하는 독특한 히터판 작용을 하는 두 개의 그러한 삽입이 있다. 공급장치(1301)는 진공을 유지하기 위하여 진공 밀폐부를 가진 마감판(1226)에 적용된다. 마감판(1226)의 일 삽입에서의 공급장치(1301)는 히터판(1303) 안에서 가열 요소에 전력을 공급하며, 전기적 절연판(1305)에 의하여 마감판(1226)으로부터 일정간격 떨어져 있다. 히터판(1303)의 기능은 공정 중에 웨이퍼가 놓이는 서스셉터(1307)에 열을 가하기 위한 것이다.
서스셉터(1307)는 열전도율이 큰 그래파이트(graphite) 구조이며, 짧은 거리 D1 만큼 히터판(1303)으로부터 떨어져 있다. 히터판(1303)은 간격 D1을 가로질러대류와 복사를 통해 서스셉터(1307)에 열을 전달하고, 상부 표면을 가로질러 비교적 일정한 온도를 유지하는 데에 도움을 주어, 웨이퍼 표면에 걸쳐 유지시키며, 고 주파수 전기 바이어스를 위한 효과적인 전극을 또한 제공한다. 서스셉터는 도 12에 도시되지 않은 RF 공급에 의해 바이어스가 가해지는 전기적 물질을, 이를 요구하는 공정에 있어서, 형성한다.
히터는 두 개의 공급장치(1301)에 연결된 선과 RF 파워를 위한 두 번째 공급장치에 전력을 공급하고, 여분의 다른 도선과 커넥터들은 받침대 조립체(1215)의 속이빈 내부를 통하여 안내됨으로써 마감판(1226)의 하부 표면 영역에 제공된다(도 11C,D 그리고 E). 그러한 선들과 전력공급을 위한 도선들 그리고 받침대(1215) 하부 조립체와 요소들에의 다른 유틸리티들은 단순화를 위해 도시되지 않았으며, 일반적으로 외부 전력으로부터 확장하고, 유틸리티는 알려진 데로 공급한다.
선호되는 실시예에서, 마감판(1226)을 통하는 몇몇의 다른 진공 밀폐된 침입부가 있으나, 도면에는 특히 도시하지 않았다. 이들은 내부 요소들의 온도를 감지하기 위한 적절한 공급장치가 있는 열전대를 포함하고, 적어도 하나의 서스셉터 온도를 감시하기 위한 광학 센서를 포함한다. 그러한 공급장치는 일반적으로 알려져 있다. 통상적으로 세 개의 세라믹 핀들의 패턴을 이송하기 위한 공기 실린더로 작동되는 메카니즘은 히터와 서스셉터 조립체에 또한 적용되고, 전달 장치가 서스셉터 판 전후로 웨이퍼 아래에서 집고 놓도록 하여주기 위해, 서스셉터(1307)의 표면으로부터 웨이퍼를 상승시키거나 하강시키는 데에 사용된다. 선호되는 실시예에서, 공기압 실린더가 마감판(1226)의 하부에 적용되었으며, 실린더의 이동 자루는벨로우즈 밀폐부를 통하여 마감판에 있는 개구부를 통하여 확장된다. 공압 실린더는 1/2인치 행정을 가지며, 히터와 서스셉터판의 작을 개구부를 통하여 확장하는 세 개의 세라믹 핀들을 수반하는 히터 아래에 위치한 스파이더를 작동한다.
받침대(1215)가 도 11E에 도시된 바와 같이 후퇴하면, 웨이퍼는 전달되며, 서스셉터(1307) 상의 웨이퍼는 기술된 공압 실린더에 의해 작동되는, t로 표시된 세라믹 핀에 의한 서스셉터의 상부표면에 들려 올려진다. 전달 암(도 10A, 1103)은 핀 상의 웨이퍼 아래에, 서스셉터 위에 공정 스테이션 안으로 확장한다. 핀을 후퇴시켜 웨이퍼를 전달 암 상에 놓으며, 암은 웨이퍼를 따라 당겨진다. 공정은 서스셉터 상에서 공정이 수행될 새로운 웨이퍼를 놓아 역으로 수행된다.
도 12의 공급장치(1301)는 도 13A에서 격리된 것으로 도시된다. 세라믹 몸체 부분(1403)은 이 실시예에서 내부 금속 본딩에 의하여 오링과 같은 진공 밀폐기를 가지는 금속 몸체 부분(1405)에 결합되며, 마감판(1226, 도12)의 적절한 개구부를 통하여 밀봉할 수 있는 단일 몸체를 형성한다. 단단한 니켈 와이어(1409)가 알려져 있는 내부 금속본딩에 의해 또한 세라믹 몸체 부분(1403)을 통하여 밀봉되며, 전달되는 열이 문제가 되지 않을 정도로 충분한 거리가 떨어져서, 납땜과 같은 통상적인 파워 와이어(1411)와 결합된다.
공급장치(1301)의 진공 측면 상에서, 니켈 와이어(1409)는 세라믹 몸체 부분(1403)의 개구부(1415)에 조립된 소켓(1413)과 결합되고, 히터판(1303, 도12)으로부터 기둥을 수용하기 위해 적용된다. 기둥들은 아래에 자세히 기술된다. 소켓(1413)은 상당한 강성을 가진 와이어(1409)에 의하여 수직방향으로 제한된다.개구부(1415)는 소켓(1413)의 직경보다 약간 더 큰 직경을 가지며, 소켓(1413)이 측면으로 자유도를 가지도록 한다(와이어(1409)는 측면운동으로 약간의 저항을 제공한다). 이러한 측면의 자유도는 기둥을 가지는 히터판을 마감판에 조립할 때 약간의 움직임과 편차를 가지게 한다.
도 13C는 도 13A의 공급장치(1301) 도면이고, 도 13B는 도 13C의 선 13B-13B를 따라 공급장치(1301)의 몸체 조립체를 통하여 취해진 단면도로, 실질적으로 도 13A의 단면으로부터 90도 회전해 있다. 단면도 13B는 원형 디클레비티(declavity, 1419) 아래에 세라믹 몸체 부분(1403)의 홈(1417)을 따른다. 디클레비티(1419)는 커넥터 기둥이 확장하는 히터판(1303)의 원형 부분을 수용하며, 홈(1417)은 전기 공급장치의 각 기둥들 사이에서 겨냥선 전기적 반응을 방해하는 세라믹 절연 장애물(1309)의 배플 확장부(1311)를 수용한다.
도 14A 및 14B는 세라믹 절연 배리어(barrier,1309)의 평면도와 측면도를 보여주며, 양쪽 소켓(1413)의 위치를 포함하기에 충분한 전체 직경을 갖는다. 배리어(1309)는 이 실시예에서 원형 개구부(1511,1513)들을 가지며, 히터판(1303)에 조립된 기둥들이 후술하는 방법으로 관통하도록 적용된다. 배리어(1309)의 배플 확장부(1311)는 세라믹 배리어의 편평한 몸체에 실질적으로 90도에서 배리어(1309)의 직경을 가로지르는 배리어 벽으로 확장하고, 상술한 실시예에서 히터판이 받침대에 조립될 때 홈(1417)과 결합하도록 적용된다.
도 15A는 도 12의 히터판(1303)의 도면이다. 도 15B는 동일한 히터판의 평면도이며, 도 15C는 측면도이다. 이 실시예에서 히터판(1303)은 내부 영역(1603)과 히터판의 두께를 관통하는 두 개의 채늘(channel, 1607,1609)에 의해 분리된 외부영역을 가지는 독특한 두 개의 영역 히터이다. 내부 영역(1603)은 서스셉터 상에 놓이는 웨이퍼의 직경과 비슷하도록 적용되며, 외부 영역(1605)은 히터판의 나머지 영역을 실질적으로 포함하도록 적용된다. 이 실시예에서 히터판(1303)은 박막 가열요소를 가진 세라믹 조립체이다.
두 개의 분리된 커넥터 기둥 구조(1611)는 이 실시예에서 히터판에 만들어지고, 하나는 내부영역(1603)에 기여하고 다른 것은 외부 영역(1605)에 기여한다. 각각 독립적으로 전력공급이 이루어질 수 있는 두 개의 분리된 영역들을 구비함으로써 열 분포를 조절하여, 두 개의 영역 히터에 놓인 서스셉터 상의 웨이퍼를 가열하는 데 있어서의 모서리 효과를 피하도록 하여주며, 가열되는 웨이퍼가 외부직경전체에 걸쳐서 고르게 분포되도록 함으로써 종래 기술에 의한 히터에 비하여 상당한 진보를 이룬다.
도 16A, B 그리고 C는 히터판과 도 13A, B 및 C를 참조하여 기술된 소켓 조립체와 함께 본 실시예에서 사용된 독특한 커넥터 기둥(1701)을 나타낸다. 도 16A는 커넥터 기둥(1701)의 도면이며, 도 16B는 확대된 말단부 도면이고, 도 16C는 도 16B의 선 16C-16C를 따라 본 단면도이다.
커넥터 기둥(1701)은 관통된 부분(1703), 플랜지(1705) 그리고 유연한 핑거 기둥 확장부(1707)를 가진다. 본 실시예에서 전체 길이는 약 1/2인치이며, 각 관통부분과 기둥 확장부에 약 1/4인치를 차지하지만, 다른 실시예에서는 보다 크거나 작은 기둥들이 사용될 수 있다. 관통부를 통한 관통은 세밀하지만, 몇가지 다른관통 크기가 사용될 수 있다.
도 16A, B 및 C에 도시한 선호되는 실시예에서, 커넥터 기둥(1701)의 기둥 확장부(1701)는 도시된 핑거(finger, 1709)와 같은 12개의 동일한 유연한 핑거들로 나뉘어진다. 커넥터 기둥은 스테인레스강과 같은 몇가지 타입의 화학적 내구성이 있는 재료로 만들어지며, 핑거의 적절한 스프링 인장을 주기 위하여 알려진 방법으로 열처리된다.
이제 도 15A, B 및 C를 참조하면, 각 커넥터 기둥 구조(1611)는 두 개의 관통구멍이 있는 상승 지역(1601)을 가진다. 절연 배리어(1309)는 각 상승지역에 놓이고, 커넥터 기둥(1701)은 절연 배리어 안의 개구부(1511,1513)를 통하여 관통되며, 플랜지(1705)는 히터판에 대하여 절연 배리어를 고정한다. 이러한 조립체는 도 12에 잘 나타나있다. 히터판(1303)은 관통구멍이 각 히터 기둥으로 하여금 히터판의 적절한 가열요소와 결합하도록 하여주도록 설계된다. 상술한 독특한 구조를 사용하기 위한 히터 설계는 다른 대체적인 배열로 가능하다는 것은 당업자에게 자명하다.
도 12를 참조하면, 공급장치(1301)가 있는 공급장치 침투부는 히터판(1303)의 커넥터 기둥과 정합하도록 요구되는 패턴이 있는 마감판(1226)이 구비된다. 히터판이 마감판에 조립될 때, 각 커넥터 기둥 구조의 상승 지역부(1601)는 원형 디클레비티(1419, 도13A,13B)와 결합한다. 동시에 절연 배플(1309)의 배플 확장부(1311)는 홈(1417)과 결합하고, 비겨냥선 연결을 생성한다. 상술한 바와 같이, 공급장치(1301)의 와이어(1409) 상의 소켓(1413)은 일부 측면이동을 허용하고,기둥의 유연한 핑거들과 함께 쉽고 긍정적인 결합을 확실히 한다.
선호되는 실시예에서, 단일 히터판의 두 개의 분리된 히터 영역에 파워 연결을 제공하는 두 개의 커넥터 기둥 구조가 있다. 이 실시예에서, 도시되지는 않았지만 분리된 단일 기둥 구조는 플라즈마 강화 CVD(PECVD) 공정에서 RF 바이어스를 위한 고 주파수 연결을 제공하는 동일 소켓과 기둥배열(단일 기둥제외)을 사용한다. 그러나, 더 막거나 적은 커넥터 기둥 구조가 있을 수 있으며, 이중 기둥 공급장치가 또한 고 주파수 바이어스를 위해 잘 사용될 수 있다는 것을 당업자들은 잘 알 것이다.
본 발명의 관점을 벗어나지 않고 여기에 기술된 많은 실시예들은 상세 내용과 스케일이 대체될 수 있다는 것은 당업자들에게 자명하다. 많은 그러한 변형은 이미 언급하였다. 다른 것들 또한 있을 수 있다. 예를 들면, 집적회로 생산에서 사용되는 다양한 웨이퍼 크기가 있고, 본 발명의 실시예에 따른 공정 스테이션이 각 웨이크 크기나 웨이퍼 크기 범위를 수용하도록 구성될 수 있다. 본 발명에 따른 스테이션은, 예를 들면, 12인치까지나 그 이상의 직경을 가진 웨이퍼를 수용하도록 크기를 가질 수 있으나, 통상적인 8인치 직경의 웨이퍼를 효과적으로, 적절히, 고르게 가열하기 위한 히터 구조물이 고정된다.
본 발명의 폭의 다른 예로서는, 상술한 구동 조립체(1209)는 본 발명의 다양한 실시예에서의 받침대 조립체를 상승시키거나 하강시키는 효율적이고, 부드럽고 매우 수명이 긴 구동을 제공한다. 이 구동에도 다양한 변형이 있을 수 있으며, 현존하는 기술보다 독특한 독창적인 차이를 가지는, 일부 실시예에 완전히 다른 구동이 사용될 수도 있을 것이다.
본 발명의 다른 관점에 있어서, 도 10A에서 16C를 참조하여 상술된 다목적 공정챔버가 도 1A에서 9를 참조하여 상술되고 공개된 ALD 공정을 수행하기 위하여 사용된다.
이제 도 5를 참조하면, 시스템 배치가 도시되며, VESCAR 장치(27)가 진공 중심 기판 조정기(23)와 연결된다. 도 7을 참조하여 기술된 바와 같이, 다수의 VESCAR 장치가 진공 중심 기판 조정기의 슬릿 밸브와 연결될 수 있다. 조정기(23)는 본질적으로 도 10A의 도움으로 기술된 동일 클러스터 도구 조정기이며, 다목적 챔버나 VESCAR 장치 혹은 하나 이상의 각각 그 장치가 그러한 클러스터 도구 조정기와 연결될 수 있다.
본 발명의 선호되는 실시예에서, 상술한 적어도 하나의 다목적 챔버가 클러스터 도구 조정기와 연결되며, 가스 공급기와 제어장치는 도 8 및 9를 참조하여 상술된 다목적 챔버가 구비된다. 다수의 다목적 챔버들(이제 ALD 챔버들)은 적어도 하나 이상의 로드락 장치와 연결되어, 코팅되어야 할 웨이퍼들은 부착된 ALD 챔버로부터 적재하고 내리게 된다. 이렇게 하여 많은 웨이퍼들이 ALD 챔버로 각 싸이들에 유입되며, 각 웨이퍼는 수행될 ALD 공정을 위한 각각의 전용 공정을 갖는다.공정들은 동일할 수 있거나, 매우 다를 수 있고, 적재, 하역 그리고 공정 변수들은 적절히 프로그램 된다.
도 11D 및 11E를 참조하면, 공정 위치(11D)와 전달위치(11E)의 다목적 챔버들 중의 하나를 나타낸다. 본 관점에서의 챔버(1204)는 ALD 공정에 의해 코팅될웨이퍼의 크기에 특히 적응하는 방법으로 구비되고, 일관된 가스흐름을 갖는 최소 공정 챔버를 갖는다. 챔버의 제적은, 받침대가 수축했을 때(도 11E) 외관상 보다 큰 체적에 대하여 받침대가 최상 위치에 있을 때에 형성된다.
ALD 가스 공급은 덮개(1267)로 일반적으로 나타난, 특별한 덮개를 통하여 이루어지고, 웨이퍼 두께, 박막 재료 그리고 기타의 특별한 환경이 다목적 챔버로 덮개를 대치함으로써 고려된다. 이와 유사하게, 공정을 위한 펌핑 속도는 받침대가 최상 위치에 있을 때 형성된 환상영역(1225)에 의해 공정 위치에서 결정된다. 펌핑 요구사항은 링(1253, 도11E)을 교환함으로써 정교히 조정하는 것이 될 것이다.
작동 중에, 받침대는 수축하고, 공정이 끝난 웨이퍼들은 내려지고, 새로운 웨이퍼들이 클러스터 도구 장치의 각 챔버에 적재된다. 슬릿 밸브 연결부는 닫히고, 받침대는 전진한다. 공정에 있어서, 각 챔버의 웨이퍼 화로는 온도가 유지되며, 웨이퍼의 공정 온도는 빠르게 상승하고, 이는 뜨거운 가스 주입의 도움을 받는다. 코팅 온도의 웨이퍼는 가스 흐름이 각 챔버에 부과되고, ALD 공정이 일어난다.
본 발명의 관점을 벗어나지 않고 상술한 장치 및 방법이 다양한 대체로 가능하다는 것을 당업자는 잘 알 것이다. 많은 다른 크기의 웨이퍼가 공정 가능하며, 예를 들면, 챔버의 어떤 요소들을 변경하여 가능하다. 공정 변수들은 다양한 방법으로 수반될 수 있다.
다른 예로서, 본 발명의 많은 요소를 이루는 재료를 대치할 수 있으며, 예로히터판이나 서스셉터의 재료이다. 다양한 변형의 관점에서, 본 발명은 단지 첨부된 청구항의 영역으로 제한된다.

Claims (10)

  1. 클러스터 도구 시스템을 위한 ALD 공정 스테이션에 있어서;
    첫 번째 단면 영역이 있는 하부 말단부를 가지는 공정 챔버 부분과;
    공정 챔버 부분 아래에 있고, 진공 펌핑 포트와 기판 전달 포트, 그리고 공정챔버의 원형 하부 말단부 아래에 있는 두 번째 단면영역과 첫 번째 단면영역보다 큰 진공 펌핑 포트를 가지는 베이스 챔버 부분;
    첫 번째 단면영역보다 작은 세 번째 단면영역이 있는 상부 기판지지 표면을 가지고, 동적 진공 밀폐부에 의해 수직 이동을 허용하는 전달 포트 아래에 있는 베이스 챔버 포트에 적용되는 기판지지 받침대;
    공정 챔버의 하부 말단부와 실질적으로 같은 높이인 공정 위치에서, 혹은 펌핑 포트 위와 전달포트 아래의 베이스 챔버 부분 내의 하부 전달위치에서 기판지지 받침대를 상부지지 표면에 놓도록 이송시키도록 적용된 수직 이동 구동 장치; 그리고
    공정 챔버에 장착되며, 원자층 증착 프로토콜에 따라 가스를 공급하기 위한 탈착가능한 가스 공급 덮개;를 포함하며,
    공정위치에서 기판지지 받침대로 기판지지 받침대의 단면영역과 보다 큰 첫 번째 단면영역이 진공 펌핑 포트를 통하여 공정 챔버 부분으로부터 첫 번째 제한된펌핑 속도를 결정하는 첫 번째 완전 유효 영역을 가지는 첫 번째 펌핑 경로를 형성하며, 하부 전달 위치에서 기판지지 받침대로 기판지지 받침대의 단면영역과 보다큰 두 번째 단면영역은 첫 번째 유효 영역 보다 큰 두 번째 유효영역을 가지는 두 번째 환상의 펌핑 경로를 형성하여, 공정 챔버로부터의 두 번째 펌핑 속도가 첫 번째 제한된 펌핑 속도보다 크게 하는 것을 특징으로 하는 ALD 공정 스테이션.
  2. 제 1 항에 있어서, 상기 첫 번째 단면영역은 대체 가능한 링에 의해 형성되어, 첫 번째 펌핑 속도가 일정한 외부 직경과 다른 내부 직경을 가지는 대체 가능한 링을 교환함으로써 증가적으로 변화할 수 있게 하는 것을 특징으로 하는 ALD 공정 스테이션.
  3. 제 1 항에 있어서, 상부지지 표면에서 시작되고 상부 지지표면 아래로 확장하는 기판 받침대의 부분을 두르는 환상의 덮개를 더 포함하며, 상부지지 표면의 높이에서 상기 환상의 덮개의 펌핑 영역은 실질적으로 첫 번째 단면영역과 동일하고, 공정 위치 내에서 기판지지 받침대로 상기 환상의 덮개가, 상기 환상의 덮개와 기판지지 받침대 사이에서 상기 환상의 덮개 내에서 흐르는 공정 챔버로부터의 모든 가스 흐름을 제한하는 첫 번째 단면영역과 정합하는 것을 특징으로 하는 ALD 공정 스테이션.
  4. 제 1 항에 있어서, 공정 챔버의 상부 말단을 덮는 탈착가능한 덮개는 떼어낼 수 있는 밀폐부와 함께 장착되고, 상기 덮개와 동적 진공 밀폐부는 떼어내질 수 있어, 기판지지 받침대는 공정 챔버 영역을 통하여 상향으로 베이스 챔버 영역 내로부터 꺼내질 수 있도록 하는 것을 특징으로 하는 ALD 공정 스테이션.
  5. 제 4 항에 있어서, 상기 탈착 가능한 덮개는 공정 위치의 기판지지 받침대로, 기판지지 받침대 상에 지지된 기판의 노출된 표면에 고르게 공정가스를 제공하기 위한 가스 배분 장치를 더 포함하는 것을 특징으로 하는 ALD 공정 스테이션.
  6. 제 1 항에 있어서, 상기 기판지지 받침대는 상부지지 표면과 평행하고 공정 챔버를 위한 진공 경계를 형성하는 마감판과, 상기 마감판으로부터 열적으로 절연된 공정 챔버 측면 상의 히터판, 그리고 상기 히터판으로부터 위로 일정간격을 가지고 떨어진 전기적으로 절연된 서스셉터를 더 포함하며, 상기 서스셉터는 상부 지지표면을 형성하는 것을 특징으로 하는 ALD 공정 스테이션.
  7. 제 6 항에 있어서, 상기 히터판은 두 개 이상의 분리된 전력공급을 받는 가열 영역을 가지는 복합 히터판이며, 판을 가로지르는 온도분포가 상기 분리된 전력공급영역에의 전력을 조절함으로써 조정될 수 있는 것을 특징으로 하는 ALD 공정 스테이션.
  8. 제 7 항에 있어서, 내부 가열 영역은 실질적으로 히터판을 통하여 하나 이상의 홈에 의해 외부 가열 영역과 분리되는 것을 특징으로 하는 ALD 공정 스테이션.
  9. 제 7 항에 있어서, 내부 가열 영역은 히터판에 의하여 가열되는 기판의 단면 영역에 실질적으로 동일한 단면을 가지는 것을 특징으로 하는 ALD 공정 스테이션.
  10. 제 1 항에 있어서, 동적 진공 밀폐부는 스테인레스강의 벨로우즈 임을 특징으로 하는 ALD 공정 스테이션.
KR10-2001-7008296A 1999-01-04 1999-12-16 원자 층 증착 공정을 위한 공정 스테이션 KR100446485B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/225,081 US6174377B1 (en) 1997-03-03 1999-01-04 Processing chamber for atomic layer deposition processes
US09/225,081 1999-01-04

Publications (2)

Publication Number Publication Date
KR20020006020A true KR20020006020A (ko) 2002-01-18
KR100446485B1 KR100446485B1 (ko) 2004-09-01

Family

ID=22843449

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7008296A KR100446485B1 (ko) 1999-01-04 1999-12-16 원자 층 증착 공정을 위한 공정 스테이션

Country Status (9)

Country Link
US (3) US6174377B1 (ko)
EP (1) EP1159465B1 (ko)
JP (2) JP2002534786A (ko)
KR (1) KR100446485B1 (ko)
CN (1) CN1170957C (ko)
AT (1) ATE355396T1 (ko)
AU (1) AU2368500A (ko)
DE (1) DE69935351T2 (ko)
WO (1) WO2000040772A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200110462A (ko) * 2018-02-20 2020-09-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 온도 균일성을 위한 pbn 가열기들

Families Citing this family (623)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
EP1988188B9 (en) * 2001-12-03 2012-05-02 Ulvac, Inc. Apparatus and method for producing films
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
AU2003214624A1 (en) * 2002-04-25 2003-11-10 Kashya Israel Ltd. An apparatus for continuous compression of large volumes of data
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20030221616A1 (en) * 2002-05-28 2003-12-04 Micron Technology, Inc. Magnetically-actuatable throttle valve
KR100682209B1 (ko) 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
WO2004085702A1 (de) * 2003-03-21 2004-10-07 Forschungszentrum Jülich GmbH Verfahren zur abscheidung von verbindungen auf einem substrat mittels metallorganischer gasphasendeposition
JP4540939B2 (ja) * 2003-03-24 2010-09-08 東京エレクトロン株式会社 処理装置
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
EP1620579A2 (en) * 2003-04-23 2006-02-01 Genus, Inc. Collection of unused precursors in ald
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7846254B2 (en) 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
WO2005003406A2 (en) 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
CN101894779B (zh) * 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7169691B2 (en) 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7012264B2 (en) * 2004-06-04 2006-03-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
EP1771598B1 (en) * 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101065312B1 (ko) 2005-09-28 2011-09-16 삼성모바일디스플레이주식회사 원자층 증착 장치
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2009529223A (ja) * 2005-11-22 2009-08-13 ジーナス インコーポレーテッド 小体積対称流れシングルウェハald装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8137464B2 (en) * 2006-03-26 2012-03-20 Lotus Applied Technology, Llc Atomic layer deposition system for coating flexible substrates
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP5009367B2 (ja) * 2006-06-09 2012-08-22 ソイテック 三塩化ガリウムの大容量送達システム
DE102006032073B4 (de) * 2006-07-11 2016-07-07 Intel Deutschland Gmbh Elektrisch leitfähiger Verbund aus einem Bauelement und einer Trägerplatte
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
WO2008011579A2 (en) * 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
JP5575483B2 (ja) 2006-11-22 2014-08-20 ソイテック Iii−v族半導体材料の大量製造装置
WO2008064077A2 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
JP5244814B2 (ja) 2006-11-22 2013-07-24 ソイテック 化学気相成長チャンバ用の温度制御されたパージゲート弁を使用した方法、アセンブリ及びシステム
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
ATE546570T1 (de) 2006-11-22 2012-03-15 Soitec Silicon On Insulator Verfahren zur epitaktischen abscheidung von einkristallinen iii-v halbleitermaterial
WO2008064083A2 (en) 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Gallium trichloride injection scheme
WO2008064080A1 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
JP5666133B2 (ja) * 2006-12-19 2015-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 非接触型処理キット
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
WO2008116222A2 (en) * 2007-03-22 2008-09-25 Crossing Automation, Inc. A modular cluster tool
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
EP2231898A2 (en) * 2007-12-20 2010-09-29 S.O.I.Tec Silicon on Insulator Technologies Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
DE102008062332A1 (de) 2008-12-15 2010-06-17 Gühring Ohg Vorrichtung zur Oberflächenbehandlung und/oder -beschichtung von Substratkomponenten
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP4564570B2 (ja) * 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE212010000009U1 (de) * 2009-09-10 2011-05-26 LAM RESEARCH CORPORATION (Delaware Corporation), California Auswechselbare obere Kammerteile einer Plasmaverarbeitungsvorrichtung
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
FI20096153A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä koristepäällysteen muodostamiseksi, koristepäällyste ja sen käyttötapoja
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
DE102010016792A1 (de) 2010-05-05 2011-11-10 Aixtron Ag Bevorratungsmagazin einer CVD-Anlage
WO2012011149A1 (ja) * 2010-07-21 2012-01-26 キヤノンアネルバ株式会社 電力導入装置及び電力導入装置を用いた真空処理装置
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
FI20115073A0 (fi) * 2011-01-26 2011-01-26 Beneq Oy Laitteisto, menetelmä ja reaktiokammio
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
JP6068462B2 (ja) 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US10100402B2 (en) * 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
MY185561A (en) 2011-11-18 2021-05-20 First Solar Inc Vapor transport deposition method and system for material co-deposition
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102061367B1 (ko) * 2011-11-23 2020-01-02 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
JP5963948B2 (ja) * 2012-05-14 2016-08-03 ピコサン オーワイPicosun Oy 原子層堆積カートリッジを用いた粉末粒子コーティング
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
CN103103497B (zh) * 2012-11-21 2016-02-03 中国科学院微电子研究所 一种原子层沉积设备
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104032280B (zh) * 2013-03-06 2016-08-24 夏洋 原子层沉积系统
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
KR102302720B1 (ko) * 2014-01-21 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 원자 층 증착 프로세싱 챔버
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10515783B2 (en) * 2016-02-23 2019-12-24 Lam Research Corporation Flow through line charge volume
KR20180124015A (ko) * 2016-03-16 2018-11-20 오브쉬체스트보 에스 오그라니첸노이 오트베트스트벤노스트유 이조바크 테크놀로지 박막 코팅을 적용하기 위한 진공 플랜트 및 상기 진공 플랜트로 광학 코팅을 적용하기 위한 방법
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
WO2017178690A1 (en) * 2016-04-12 2017-10-19 Picosun Oy Coating by ald for suppressing metallic whiskers
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
CN111128664B (zh) * 2018-11-01 2022-05-27 北京北方华创微电子装备有限公司 谐振腔结构和半导体处理设备
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
EP3844813B1 (en) * 2018-11-22 2024-03-27 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabrication methods thereof
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102412341B1 (ko) * 2019-06-25 2022-06-23 피코순 오와이 기판 후면 보호
JP2022538099A (ja) * 2019-06-25 2022-08-31 ピコサン オーワイ 基板処理装置におけるプラズマ
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN110257802A (zh) * 2019-07-10 2019-09-20 南京爱通智能科技有限公司 一种适用于超大产量原子层沉积设备的速热载具
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110752171B (zh) * 2019-11-01 2022-07-29 长江存储科技有限责任公司 晶圆弯曲度调整装置及方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117286475B (zh) * 2023-09-14 2024-04-16 苏州外延世电子材料有限公司 一种延长cvd加热基座寿命的装置及其使用方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01290221A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 半導体気相成長方法
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JPH0434921A (ja) * 1990-05-30 1992-02-05 Nec Corp 3―v族化合物半導体の気相成長方法
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JPH06275528A (ja) * 1993-03-18 1994-09-30 Hitachi Ltd 真空処理装置の排気部構造
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000183037A (ja) * 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200110462A (ko) * 2018-02-20 2020-09-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 온도 균일성을 위한 pbn 가열기들

Also Published As

Publication number Publication date
US20020108714A1 (en) 2002-08-15
WO2000040772A1 (en) 2000-07-13
JP2007027791A (ja) 2007-02-01
CN1342213A (zh) 2002-03-27
DE69935351T2 (de) 2007-11-08
AU2368500A (en) 2000-07-24
US6818067B2 (en) 2004-11-16
US20010011526A1 (en) 2001-08-09
CN1170957C (zh) 2004-10-13
EP1159465A1 (en) 2001-12-05
EP1159465A4 (en) 2005-05-04
ATE355396T1 (de) 2006-03-15
JP2002534786A (ja) 2002-10-15
KR100446485B1 (ko) 2004-09-01
US6387185B2 (en) 2002-05-14
EP1159465B1 (en) 2007-02-28
US6174377B1 (en) 2001-01-16
DE69935351D1 (de) 2007-04-12

Similar Documents

Publication Publication Date Title
KR100446485B1 (ko) 원자 층 증착 공정을 위한 공정 스테이션
US5879459A (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
KR101272321B1 (ko) 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
KR20210029176A (ko) 컨덕턴스 제어를 갖는 화학적 증착 장치
KR100455226B1 (ko) 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템
US20030213560A1 (en) Tandem wafer processing system and process
US20110305835A1 (en) Systems and methods for a gas treatment of a number of substrates
WO2013162774A1 (en) Method and apparatus for independent wafer handling
CN111354657B (zh) 半导体多站处理腔体
WO2013192295A1 (en) Atomic layer deposition with rapid thermal treatment
KR20230088467A (ko) 열적 균일 증착 스테이션
JP2015511399A (ja) 基板処理モジュール及びそれを含む基板処理装置
KR101395222B1 (ko) 기판 처리 장치 및 방법
KR102514526B1 (ko) 기판처리장치
JP2022077993A (ja) 反応器および関連する方法
KR20240001985A (ko) 퍼지 메커니즘을 갖는 스핀들 어셈블리 및 퍼지 메커니즘을 갖는 리프트 핀 드라이브 어셈블리
WO2023018596A1 (en) Process module chamber providing symmetric rf return path
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130726

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140708

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150807

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160704

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20190703

Year of fee payment: 16