JP5500593B2 - 組み合わせ処理システム - Google Patents

組み合わせ処理システム Download PDF

Info

Publication number
JP5500593B2
JP5500593B2 JP2010524196A JP2010524196A JP5500593B2 JP 5500593 B2 JP5500593 B2 JP 5500593B2 JP 2010524196 A JP2010524196 A JP 2010524196A JP 2010524196 A JP2010524196 A JP 2010524196A JP 5500593 B2 JP5500593 B2 JP 5500593B2
Authority
JP
Japan
Prior art keywords
substrate
axis
chamber
substrate support
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010524196A
Other languages
English (en)
Other versions
JP2011503345A (ja
Inventor
エンド,リック
ワイナー,カート
デ,インドラニル
ツン,ジェイムズ
チャオ,マオシェン
チェン,ジェレミー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of JP2011503345A publication Critical patent/JP2011503345A/ja
Application granted granted Critical
Publication of JP5500593B2 publication Critical patent/JP5500593B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00427Means for dispensing and evacuation of reagents using masks
    • B01J2219/0043Means for dispensing and evacuation of reagents using masks for direct application of reagents, e.g. through openings in a shutter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00497Features relating to the solid phase supports
    • B01J2219/00527Sheets
    • B01J2219/00536Sheets in the shape of disks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00596Solid-phase processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00659Two-dimensional arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00745Inorganic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/00756Compositions, e.g. coatings, crystals, formulations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

蒸着処理は、一般に、半導体の製造工程で用いられれ、基板上に材料層を蒸着する。また、層を除去し、外観を規定し(例えば、エッチング)、層を調整し(例えば、洗浄)、ドーピングするために処理が用いられ、基板上に層を形成する必要がない他の処理が用いられる。複数の処理又は1つの処理が、半導体製造のために使用されるこれらの及び他の可能な既知の処理に関してこのような適用全体にわたって使用されるものとされ、特定の処理への言及がこれらの他の可能な処理との関連で読まれるべきである。さらに、同じような処理方法が、集積回路(IC)半導体デバイス、フラットパネルディスプレイ、光電子デバイス、データ記憶装置、電磁デバイス、光磁気デバイス、パッケージ化されたデバイス等の製造に適用される。形状が縮小し続けているため、材料、単位工程、又は工程順序における改良が蒸着処理のために絶えず求められている。しかしながら、半導体製造者は、蒸着システムがウェハー全体の処理方式をサポートするよう設計されているため、ロット分割の使用を通したウェハー全体の処理について研究開発を行っている。このような方法は常に研究開発費が上昇し、タイムリーな且つ費用効果がある方法で大規模な試験を行うことができなくなっている。
傾斜処理がさらなる情報を提供しようと試みている一方、傾斜処理は多くの問題に陥っている。傾斜処理は、従来の処理工程を示さない明確な不均一に依存するため、従来の処理を模倣できない。傾斜処理では、異なる量の材料(又はドーパント)が基板全体にわたって又は基板の一部に蒸着される。またこのような方法は、共スパッタリングに使用されるか否かに係わらずターゲットの回転台を有する蒸着システムに使用される。これらのシステムのそれぞれにおいて、2以上の蒸着処理を行う場合の二次汚染問題とともに、蒸着される領域の均一性が、組み合わせ処理に関してこれらの方法を比較的効果がないものしている。
このため、1つの基板に関する多数の異なる処理のバリエーションの評価に適応するための改善方法が、様々な材料、単位工程、又は工程手順の実現可能性をより効果的に評価するよう提供される。
添付図面とともに以下の詳細な説明によって、本発明が容易に理解されるものと考えられ、同一の参照番号は、同じような構造的要素を示している。
図1Aは、本発明の一実施例に係る、中に配置された基板を組み合わせ処理するよう構成された処理チャンバを示す、簡易概略図である。 図1Bは、本発明の一実施例に係る、プロセスキットシールドの典型的な様々な位置の簡易概略図である。 図2は、本発明の一実施例に係る、組み合わせ処理チャンバの代替的な視野を示す、簡易概略図である。 図3は、本発明の一実施例に係る、図2の処理チャンバの様々な部品を詳細に示す、簡易概略図である。 図3−1は、本発明の一実施例に係る、双対の回転ステージ処理チャンバを示す。 図3−2は、本発明の一実施例に係る、双対の回転ステージの平面図を示す。 図4は、本発明の一実施例に係る、プロセスヘッド及び基板双方を回転させ得ることによって実現するパターンを示す、簡易概略図である。 図4−1は、本発明の一実施例に係る、図3−1及び図3−2の双対の回転ステージ構成によって実現するパターンを示す、簡易概略図である。 図5は、本発明の一実施例に係る、アームのカバープレートを具えたプロセスキットシールドの断面を示す、簡易概略図である。 図6は、本発明の一実施例に係る、代替的な処理チャンバを示す、簡易概略図である。 図7A−Bは、本発明の一実施例に係る、図6を参照して説明される線状マスクの実施例のさらなる詳細を示す。 図8A−Bは、本発明の一実施例に係る、組み合わせた方法で基板を処理するために、開口部を露出及び隔離するため線状マスク及びカバープレートの使用をさらに示す。 図9は、本発明の一実施例に係る、線状マスク及び移動ロッドへの対応する結合部を示す、簡易概略図である。 図10Aは、本発明の一実施例に係る、プロセスキットシールド及び線状マスクの断面を示す、簡易概略図である。図10Bは、図10Aのプロセスキットシールドのベースの代替的な構造的構成である。 図10Cは、本発明の一実施例に係る、中に配置された基板を組み合わせ処理するよう構成された処理チャンバの平面を示す、簡易概略図である。図10Dは、本発明の一実施例に係る、組み合わせ処理のためのベースプレートアッセンブリの断面の簡易概略図である。 図11は、本発明の一実施例に係る、データシールドの断面を示す簡易概略図である。 図12は、本発明の一実施例に係る、基板の上方に設けられたパターンを示す簡易概略図である。 図13は、本発明の一実施例に係る、代替的な構造を有する開口部を示す簡易概略図である。 図14は、本発明の一実施例に係る、一体化した高生産性組み合わせ(HPC)システムを示す、簡易概略図である。 図15は、本発明の一実施例に係る、基板を組み合わせ処理するための作業方法を示すフローチャートである。
ここに記載の実施例は、基板を組み合わせ処理するよう構成された処理チャンバのための方法及びシステムを提供する。当業者にとって、これら特定の詳細のいくつか又は全てを必要とせずに本発明を実施し得ることが明らかであろう。他の例では、周知の処理工程が、本発明を不必要に不明りょうにしないように、詳細に説明されていない。
以下に記載の実施例は、組み合わせ方法で基板を処理し得る複数領域の処理システム及び関連するプロセスヘッドのための詳細を提供する。このため、基板の様々な領域が、材料、単位工程(例えば、処理条件又はパラメータ)及び工程手順等のバリエーションのために、様々な特性を有している。各領域の中で、条件が、各領域の中で従来のフルウェハーの処理を模倣するように、好適には実質的に均一であるが、特定の試験についてこのような要請なしに有効な結果を得ることができる。一実施例では、様々な領域が、異なる領域間で内部拡散がないように隔離される。
さらに、基板の組み合わせ処理を、実質的に基板全体が均一に処理される(例えば、同じ材料、単位工程及び工程手順を施す)従来の処理方法と組み合わせることができる。このため、ここで記載する実施例は、さらなる処理のために、製造処理フローから基板を引き出し、組み合わせ蒸着処理を実施し、製造処理フローに基板を戻すことができる。代替的に、中央のチャンバに取り付けられた様々なチャンバで、組み合わせ及び従来の処理の双方を可能にする一体化したツールで基板を処理し得る。結果として、1つの基板で、多様な処理及び多様な処理と従来の処理との相互作用を評価し得る。したがって、所望の処理について1つの基板から多数のデータが利用可能である。
一実施例では、動径部分が挿入物を通して規定されるため、ここで説明される処理チャンバを基板の半分について最適化し得る。このため、アスペクト比が、基板全体を考慮しなければならない従来の条件の下で基板全体を処理することに対して半分であるため、蒸着層の均一性がこのような閉じ込めを通して改善される。さらに、比較的大きいターゲットから基板までの距離の均一性への影響が、処理領域の閉じ込めによって際立つ。しかしながら、チャンバは、基板のより大きな又はより小さな部分をカバーすることができ、ここで説明する本発明にしたがってそれでも動作する。例えば、チャンバの大きさをヘッドの数によって表すことができる。あるいは、プロセスキットシールドが偏心軸を中心として回転する実施例では、チャンバは、キットシールドの周りの移動経路を包含するよう十分大きいことが必要であろう。しかしながら、好適な実施例のプロセスキットシールドによって規定される反応領域の大きさが小さくなることで、構成及びツールの使用に関する多くの利点を有し得るが、プロセスキットシールドが本発明を実施することを要しない。
以下でさらに説明するように、基板ホルダが、基板の表面への局部的なアクセスを与えるための穴部を有するベースプレートとともに基板を回転させる。一実施例では、基板全体にアクセスし得るように、基板支持部の軸とは異なる軸を中心としてベースプレートを回転させ得る。別の実施例では、線状マスクを使用して、基板にわたる処理領域の位置及び形状を規定し得る。さらに、他の組み合わせ及びバリエーションが、本書で説明される本発明の示唆に基づいて可能である。以下の実施例で説明するように、処理される領域の形状が、隔離した円形、リング状、リングの部分又は弧、四角形又は他の多角形、パイ状の断片等を含めることができることに留意されたい。処理される領域をさらに改善するために、システムによって規定される特定の態様又は領域の部分を規定するための開口部に加えて、シャドーマスク、他のマスク、又はマスキング方法を含めることができる。
本書に記載の実施例は、エッチング、ドーピング、表面改質又は調整(例えば、洗浄処理又は単分子層の蒸着)等といった他の適用例とともに、物理的気相成長法(PVD)、化学的気相成長法(CVD)、原子層蒸着(ALD)を含む蒸着を含む様々な適用例を扱う。さらに、ここに記載した発明は、他のフラックスベースのシステムに都合よいであろう。さらに、以下に記載する実施例は、基板の組み合わせ処理のために最適化した方法であることに留意されたい。(基板の領域全体と比較して)比較的小さな開口部の移動及び/又は回転は、領域を規定し、基板の回転とともに基板の表面全体にアクセスし得る。代替的に、プロセスヘッド又は一群のプロセスヘッドを循環するよう回転させることができ、基板を相対的なx−y方向に移動又は回転させて、相対的な開口部及び基板の移動を通して表面全体にアクセスし得る。
図1Aは、本発明の一実施例に係る、中に配置された基板を組み合わせ処理するよう構成された処理チャンバを示す簡易概略図である。処理チャンバ100は、上部チャンバ116の下方に配置された下部チャンバ部102を有している。下部チャンバ部102の中で、基板支持部106が、その上の基板108を保持するよう構成されており、真空チャック、静電チャック又は他の既知の機構といった、既知の基板支持部で構成することができるがこれらに限定されない。基板支持部106は、基板支持部の中心軸を中心として回転し得る。一実施例では、基板支持部106が約185度回転して基板の表面への最大限のアクセスを与える。別の実施例では、基板支持部106が360度回転する。さらに、基板支持部106が鉛直方向又は平面方向に移動し得る。鉛直方向又は平面方向への回転又は移動が、磁気駆動、線形駆動、ウオームネジ、送りネジ、差動排気される回転フィードスルー駆動等といった、既知の駆動機構を介して実現し得ることに留意されたい。
基板108は、従来の円形の200ミリメートル、300ミリメートル又はそれよりも大きい又は小さい基板/ウェハーサイズとすることができる。他の実施例では、基板108は、正方形、矩形、又は他の形状の基板とすることができる。当業者は、基板108が、ブランケット基板、クーポン(例えば、部分的なウェハー)、又は所定の領域を有するパタン形成した基板とし得ることを認識するであろう。別の実施例では、基板108が、ここで説明される処理を通して規定される領域を有する。ここで使用される領域という用語は、選択した材料の処理又は形成のために使用する又は使用された又は使用するよう意図する、基板上の局所的な領域に関するものである。このような領域は、基板上に予形成した1つの領域及び/又は一連の均一な領域又は周期的領域を含めることができる。このような領域は、例えば、円形、矩形、楕円形、くさび形等の便利な形状を有し得る。半導体の分野では、領域は、例えば、試験構造、シングルダイ、複数のダイ、ダイの一部、基板の他の規定領域、又は例えば処理を通して規定されるブランケット基板といった未規定の領域である。
図1Aのチャンバ100の上部チャンバ部116は、基板108の動径部分の上方に閉じ込め領域を規定するプロセスキットシールド110を有している。プロセスキットシールド110は、基本的に、中で発生するプラズマを閉じ込めるよう使用されるチャンバ100の中の(任意にシールドと一体化している)ベース及び任意の上部を有するスリーブである。一実施例では、発生するプラズマは、基板108の露出面に処理する(例えば、蒸着する)ために、基板の組み合わせ処理領域に向けてターゲットからの粒子を押し出す。プロセスキットシールド110は、チャンバ100に出入りするよう移動することができ、すなわち、プロセスキットは交換式の挿入物である。プロセスキットシールド110は、任意の上部、側壁及びベースを有している。一実施例では、プロセスキットシールド110が円筒形で構成されているが、プロセスキットシールドを適切な形状とすることができ、円筒形に限定されない。
プロセスキットシールド110のベースは、蒸着又は他の適切な半導体プロセス作業のために基板108の面が露出する開口部112を有している。プロセスキットシールド110のベースの上方に移動可能に配置されたカバープレート118が上部116の中にある。一実施例では、カバープレート118は、開口部を覆い又は露出させるためにプロセスキットシールド110のベースの下面にわたって摺動し得る。別の実施例では、カバープレート118が、以下に詳細に説明するように、開口部112を露出させ又は覆うよう移動するアームの伸長を通して制御される。1つの開口部が図示されているが、複数の開口部を含めることができることに留意されたい。各開口部を専用のカバープレートと関連させてもよく、又はカバープレート118を、同時に又は別々に2以上の開口部を覆うよう構成し得る。代替的に、開口部112がより大きな穴部で、プレート118が、それを完全に覆うよう又は規定領域を処理するために穴の中に1又はそれ以上の固定開口部を設けるよう穴部とともに延びることができる。
図1Aのスリーブ110の任意の上部プレートは、以下でさらに説明するように、データシールドとして機能し得る。本発明の一実施例によれば、プロセスヘッド114が、データシールドの中に規定されるスロットの中に配置されている。データシールドをチャンバの中で使用する場合、データシールドスライドカバープレート120を含めることができる。データシールドスライドカバープレート120は、蒸着銃を処理に使用しない場合に、蒸着銃を密封するよう機能する。例えば、2つの蒸着銃114が図1Aに図示されている。プロセスヘッド114が、1又は双方の銃をデータシールドのスロットから持ち上げるように、鉛直方向に移動可能である。2つのプロセスヘッドを図示しているが、例えば、3又は4のプロセスヘッドといった任意の数のプロセスヘッドを含めることができる。2以上のプロセスヘッドを含める場合、複数のプロセスヘッドを一群のプロセスヘッドと称することができる。さらに、一群のプロセスヘッドは、図2及び図3を参照して記載された軸を中心として回転し得る。持ち上がったプロセスヘッドをプロセスキットシールド110の中に規定される処理領域から隔離するよう、スライドカバープレート120を移動させることができる。このような方法では、プロセスヘッドが所望に応じて特定のプロセスヘッドから隔離されている。1つのスライドカバープレート120を図示しているが、データシールドの各スロット又は穴部がカバープレートと関連するように、複数のスライドカバープレートを含めることができることに留意されたい。代替的に、スライドカバープレート120をシールドユニット110の上部と一体にして、プロセスヘッドが持ち上がる際に穴部を覆うことができ、又は個々のカバーを各ターゲット用として使用し得る。さらに、図4を参照して記載された特定の態様のチャンバを、このようなチャンバの設計に組み込むことができる。
図1Aのチャンバ100の上部116は、プロセスキットシールド110を収容する側壁及び上部プレートを有している。ヘッド114に固定されたアーム延長部114aが、上部116の中に規定される領域を通って延在している。アーム延長部114aが、例えば、送りネジ、ウオームネジ、等といった、適切な駆動部に取り付けられており、上部116の上部プレートに向けてプロセスヘッド114を鉛直方向に移動させるよう構成し得る。アーム延長部114aをプロセスヘッド114に回動可能に固定することができ、プロセスヘッドが鉛直軸に対して傾くことができる。別の実施例では、アーム延長部114aが、プロセスヘッド114の鉛直移動及び傾斜を可能にするベローズに取り付けられている。データシールドを使用する場合、穴部がプロセスヘッドの傾斜に適合するよう構成される。一実施例では、プロセスヘッドが、鉛直軸に対して10度又はそれ未満傾斜する。銃がベースプレートの開口部に向かって傾斜するように、プロセスヘッドの傾斜により調整が可能となり、開口部を通して蒸着される材料層の均一性をさらに高めることができることに留意されたい。
図1Aに示すように、プロセスキットシールド110は鉛直方向に移動可能であり、プロセスキットシールドの軸を中心として回転するよう構成されている。プロセスキットシールド110が回転する軸111が、一実施例では、基板支持部106が回転する軸及び一群のプロセスヘッドの軸109の双方からオフセットしていることに留意されたい。このような方法では、基板108の複数の領域を、図4を参照してさらに説明するように、組み合わせプロセスのために露出させることができる。プロセスキットシールド110はプロセスヘッド114の相対位置を回転させ、開口部112は同じ状態のままであるため、基板108上の領域の処理が至る所でより均一になり、プロセスヘッドの角度又は相対的な位置により、ばらつきを含まない。プロセスヘッド114が開口部112と同じ軸上にかたまっているとして説明される一方、例えば1乃至10%といった、これに限定されないが少量の材料のドーピング、打ち込み又は蒸着のために、さらなるヘッドが一群のヘッドからオフセットしている。基板全体の領域へのアクセスを与える代替的な実施例が、図面に示す弧状の移動とは対照的に、上部チャンバ116の直線移動を含めることができる。
図1Bは、本発明の一実施例に係る、典型的な様々な位置のプロセスキットシールドの簡易概略図である。プロセスキットシールド110が、チャンバの上部116の中を移動する。ここで説明するように、プロセスキットシールド110が、軸を中心として回転して位置110−1及び110−2の間を移動できる。代替的に、プロセスキットシールドが位置110−1及び110−2の間を直線的に移動できる。上部116はこのような移動に適合するよう、円形、腎臓形、楕円形、矩形等任意の適切な形状とすることができる。さらに、プロセスキットシールドの相対的な大きさは、ヘッドの数及び、例えば直線対回転のような移動型といった他の設計因子に基づいている。このため、プロセスキットシールドは、他の設計因子とともにプロセスヘッドの数及び構成に応じて、基板108に対して小さくしたり大きくしたり、又は同じ大きさにできる。
図2は、本発明の一実施例に係る組み合わせ処理チャンバの別の視野を示す簡易概略図である。処理チャンバ100は、上部116の下方に配置された下部102を有している。図1に関する基板支持部が、下部102の中に収容されている。図2の下部102は、真空引き、又は他のプロセス監視作業のためのチャンバへのアクセスのために使用されるアクセスポート136を含んでいる。さらに、下部102は、下部チャンバ102の内外の基板へのアクセスが可能なスロットバルブ134を有している。一実施例では、プロセスツール100は、図14を参照してさらに説明するように、一群のツールのパーツとすることができる。当業者は、ロボットを使用して、スロットバルブ134を通して処理チャンバ100に出入りするよう基板を移動させ得ることを理解するであろう。プロセスキットシールド110が、上部116の中に配置されている。図2を参照して説明される実施例では、上部116が、プロセスヘッドを有する場合にプロセスヘッドとともにプロセスキットシールド110を回転させるよう使用される回転ステージ104を有している。上部116の中に配置されるプロセスヘッドが、回転ステージ104を上面を通って突出した対応するアーム延長部114aに取り付けられている。また、回転ステージ104の上面を通って突出するのは、チャンバの中で処理するために熱を供給するようチャンバ100の上部116の中に配置された加熱ランプ130である。
図2の駆動部132を使用して、下部102の中に配置された基板支持部を回転させるための回転手段を与えることができる。さらに、駆動部132が、基板支持部を上げ下げするための機械的手段を与えることが可能である。図2によって説明される実施例の中で、本書の他の箇所で説明するように、基板支持部106ではなく異なる軸を中心に上部116とともに回転する4つのプロセス銃が含まれている。さらに、プロセスキットシールド110のベースの下方に且つ基板支持部の上部に配置された基板を、基板支持部によって与えられる回転運動を通して回転させることができる。プロセスキットシールド110の軸、プロセスヘッドの軸及び基板支持部の軸は、互いにオフセットしており、図4を参照して詳細に説明されるように、基板上に領域パターン又は領域配列を実現する。一実施例では、プロセスが基板上に領域を規定する。別の実施例では、領域が予め規定され、プロセスヘッドが領域のためのさらなるプロセスを与える。本実施例では、プロセスキットシールド110のベースを通して設けられた開口部112を通して基板を処理する。上述のように、プロセスキットシールド110が、物理的気相成長法(PVD)又は他のフラックスベースの処理のために使用されるプラズマを閉じ込める。上部116の中の蒸着銃の配列又はクラスタにより、蒸着される1つの材料だけではなく基板の層上に様々な材料を共スパッタリングでき、様々な他のプロセスが可能となる。従って、多くの材料又は同じ材料を有する複数の蒸着銃の組み合わせ、又はそれらの任意の組み合わせを、様々なプロセス領域の配列が得られるように、様々な領域で適用できる。
図1及び2を参照して説明するチャンバを、従来のプロセスツールが含まれているクラスタツールの中に組み込むことができる。このように、基板を従来のように処理して(すなわち、ウェハー全体に1つのプロセス又は一連のプロセスを施して、ウェハーにわたって均一なプロセスを与え)、ここで説明する組み合わせプロセスツールの中に配置して(又は図14を参照して説明するようなツールの中に移動させて)、1つの基板に関する様々な処理方法を評価することができる。さらに、ここで説明する実施例は、処理される基板の上面及び蒸着銃のターゲット面からの距離がターゲットの直径の4倍よりも大きい「ロングスロー」チャンバを提供する。例えば、ターゲットは、一実施例では、処理される基板の上面及び約8乃至約12インチのターゲットからの距離を有する2乃至3インチの大きさを有している。別の実施例では、蒸着銃のターゲット面が、ターゲットの直径の6倍よりも大きい。このような距離は、基板上の開口部112によって規定される領域の中に蒸着される材料の均一性を促進する。すなわち、基板が異なった方法で処理される領域を有する一方、各領域がほぼ局所的に均一であり、組み合わせプロセスを通して可能であるバリエーションを評価する。蒸着速さは、ターゲットと基板との距離が増加するとともに減少することに留意されたい。このような距離の増加は、製造ツールの処理能力に悪影響を及ぼすため、従来の製造ツールは考慮されない。しかしながら、1つの基板を組み合わせ処理することから得られる均一性及び多数のデータは、蒸着速さの減少による処理能力の影響よりもはるかに勝る。チャンバは効果的なロングスローを要しないが、このような配置は実施し得る構成であることに留意されたい。
図3は、本発明の一実施例を詳細に示す図2の処理チャンバの様々な部品を示す簡易概略図である。図3で、回転ステージが、アーム延長部114aが突出する上部プレートを有している。アーム延長部114aはプロセスヘッド114に取り付けられている。プロセスヘッド114が蒸着銃である実施例では、ターゲット140がそれに取り付けられる。当業者は、一実施例では、機構を通してターゲット140をヘッド114に取り付け得ることを理解するであろう。蒸着銃114は、KURT J.LESKER COMPANY又はMEIVAC Inc.によって市販されている銃である。3つの蒸着銃が図3の実施例の中でかたまっている一方、適切な数の蒸着ヘッドをプロセスツールの中に含めることができる。プロセスヘッド114が、プロセスキットシールド110によって規定される基板の動径部分の上方にかたまっていることに留意されたい。プロセスヘッドの大きさ、プロセスヘッドキットシールド110によって規定される閉じ込め領域、及び基板の大きさといった考慮すべき事項が、システムに取り付け得る蒸着ヘッドの数に影響を及ぼすであろう。
アーム144が、回転ステージの上部プレートを通ってプロセスキットシールド110の中に規定される閉じ込め領域の中に突出している。アーム144は、プロセスキットシールド110のベースに延び、さらに開口部112のカバーを提供する部分144aを備えてベース面の上方を半径方向に延びている。このように、アーム144を回転させ又は捻る回転機構を使用して、開口部112のカバーを開閉し得る。このような方法では、バーンイン又は他の処理作業の際に、基板支持部106に配置された基板を隔離するのが都合よい場合に、回転アーム144によって開口部112を閉止し得るように、開口部112を密閉し得る。また、図1に示すカバープレートといった他の閉止機構を適用し得る。
図3に示すように、回転ステージ104及びプロセスキットシールド110が、基板支持部106に配置された基板の様々な領域の上方の開口部112を移動させるために回転する。組み合わせプロセス領域にわたってより均一な処理結果を得られるように、一群のプロセスヘッドの軸が開口部の軸と同じであるのが、必ずしもではないが好ましく、これにより、領域に対するプロセスヘッドの角度又は場所とは対照的に様々な処理によって結果を説明し得る。さらに、基板支持部106は、図4に示すように、処理される基板面の多くの領域が露出するように、その軸を中心として回転する。駆動機構146は、基板支持部106を回転させるよう回転力を与える。さらに、線形駆動部が、基板支持部の鉛直変位が可能となるよう基板支持部106に結合される。このように、プロセスキットシールド110及びプロセスキットシールドのベースの対応する開口部112の回転移動を通して、基板支持部106の回転移動とともに、組み合わせ処理のために基板の領域にアクセスし得る。プロセスキットシールド110の回転移動が、基板支持部106に配置された基板にわたる開口部112の半径方向の移動を与える。プロセスキットシールド110の回転軸が、基板支持部106の回転軸からオフセットしていることに留意されたい。
図3−1は、本発明の一実施例に係る2つの回転ステージ処理チャンバを与える。図3−1のチャンバ102は、基板支持部が基板支持部の中心軸及び中心軸からオフセットした軸の双方を中心に回転するように、2つの回転シールを採用する。このような方法では、プロセスキットシールドが静止しているが、ウェハー全体のカバーを実現する。図3−2は、本発明の一実施例に係る2つの回転ステージの平面図である。中心軸基板支持部回転ステージ103が、基板支持部の中心軸を中心とする回転を与える一方、ラジアル回転ステージ105が偏心軸を中心とする基板支持部の回転を与える。一実施例では、回転ステージ103が4インチの回転シールを有する一方、回転ステージ105が10インチの回転シールを有している。別の実施例では、中心軸と偏心軸との距離が、処理される基板の直径の約半分である。当業者は、回転シールに与えられる典型的な寸法、及び中心軸と偏心軸との距離が、様々な構成を限定することを意味するのではなく、ここで説明される実施例の範囲内であることを認識するであろう。図3−1に示すように、2つの回転ステージを実現するための構造は、主としてチャンバ102の下面に固定されている。フランジ101が、チャンバ102の下面に設けられている。第1の回転シール113が、フランジ101の下面に固定されている。アダプタ115が、第1の回転シール113及び第2の回転シール121を一体化するための手段を提供する。このような典型的な実施例で説明されているように、第1の回転シール113は、第2の回転シール121よりも大きい。このような代替的な実施例では、対応する駆動モータが、基板支持部をそれ自身の軸を中心として且つ偏心軸を中心として駆動させるための力を与え、プロセスキットシールドを移動させる必要なしに、プロセスキットシールドの開口部を通した基板のすべての面の蒸着が可能となる。図3−1及び3−2の実施例によれば、基板支持部が、第1の回転シールが基板支持部の周縁に規定された状態で図示されている。さらに、基板支持部が、第1の回転シールを包含する第2の回転シールを有するプレート(回転ステージ105)の中に組み立てられる。
図4は、図1乃至3を参照して説明される本発明に係るプロセスヘッド及び基板双方を回転させる能力を通して実現されるパターンを示す簡易概略図である。基板108を回転させることができ、プロセスヘッドの配列又はプロセスヘッド群、例えば、蒸着銃を回転させることができる。図示するように、一実施例では、基板108の回転軸が、銃又は一群の銃の回転軸からオフセットしており、前述の双方の回転軸が、プロセスキットシールドの回転軸からオフセットしている。プロセスキットシールド及びプロセスヘッド又は銃が回転する際に、基板面にわたる半径方向の移動が多くの領域180を規定するためになされる。一実施例では、プロセスキットシールドのベースの中の穴部、すなわち開口部を、中心に置くか又はベースの中心からオフセットさせることができるが、(システムが、軸方向に揃っていない又は角度をなして位置決めされるドーピング、打ち込み又は他の処理のためのさらなるプロセスヘッドを有しているが)好適には、上述のように、プロセスヘッドに対して軸方向に揃えて、プロセスキットの回転の間、このような整列が維持される。さらに、開口部は、他で説明した形状とすることができる。例えば、開口部112が矩形の場合、プロセスヘッド114が回転する場合に矩形の領域が規則的な配列(すなわち、領域の境界間の様々な角度とは対照的に略平行な領域)で形成されるように、開口部がベースプレートの一定の位置で回転可能である。さらに、基板108の回転により、ほぼ基板全体へのアクセスが可能となり、基板の複数領域にわたる処理又は蒸着が可能となる。このような領域は重なってもよく、又はこのような領域を分離させることができる。当然ながら、重なり及び分離領域のいくつかの組み合わせが可能である。
図4−1は、本発明の実施例に係る、図3−1及び図3−2の双対の回転ステージ構成を通して実現されるパターンを示す簡易概略図である。第1の回転ステージは、ライン117によって表される基板の中心軸を中心とする基板の回転を与える。第2の回転ステージが、ライン119で表される軸119−1を中心とする基板の回転を与える。軸119−1から基板が回転する中心軸までの距離が、円121の半径によって表される。一実施例では、この距離がほぼ基板の半径に等しい。しかしながら、このような距離を所望に応じて変えることができる。双対の回転ステージを採用する実施例が、中心軸の周りの基板(及び基板支持部)の回転の適応により、より大きなフットプリントを有するチャンバを有していることに留意されたい。
図5は、本発明の代替的な実施例を示す。組み合わせ処理チャンバ400が、上部チャンバ416及び下部チャンバ402を有している。下部チャンバ402は、基板408を支持するための上述のように回転可能な基板支持部406を有している。上部チャンバ416は、外壁、(以下に詳細に説明する)開口部を有するベースプレート、可動シールド410、プロセスヘッド414及びデータシールドを有している。開口部412、線状マスク418a及び摺動可能なプレート418bが以下に詳細に説明されている。図5は、カバープレート420及びキットシールド410の代替的な実施例を示す。本実施例では、キットシールド410が鉛直方向に移動可能である。図示するように上動位置にあるときに、キットシールド410がカバープレート420にアクセスを与えるようバルブを閉止する。ヘッドの交換を要する場合、キットシールド410を下動させて、カバープレート420をチャンバの中に移動させ、上部チャンバ416の下部とプロセスヘッド414を含む上部との間の断絶部を与えることができる。このような方法では、チャンバ416の下部の真空状態を喪失することなしに、又はそれを大気に晒すことなしに、さらなる処理のためにプロセスヘッドを交換し、修理し、又はそうでなければ改変することが可能である。本書に含まれている発明の詳細な説明に基づいて当業者によって理解されるように、このようなチャンバの実施形態を図1のチャンバとともに使用でき、様々な部品を交換可能に使用できる。
図6は、本発明の一実施例に係る代替的な処理チャンバを表す簡易概略図を示す。処理チャンバ400の下部402が、アクセスポート436及びスロットバルブ434を有している。スロットバルブ434は、基板が処理チャンバ400に対して出入りするよう近づける。真空ポンプ456が、チャンバ部402の中で起きる蒸着工程といった特定の処理工程に必要な超高真空状態を与えるよう、アクセスポートに取り付けられている。プロセスキットスリーブ410が処理チャンバ400の中に配置されており、上部406が、プロセスキットシールドが視られるようわずかに持ち上がっている。ロッド452及び454がチャンバ部402から延在しており、プロセスキットシールド410のベースを通して規定される開口部を露出させ又はこれを閉止する線状マスク及び対応するフラッグに移動させる。ロッド452及び454の機構に関するさらなる詳細を、図7Aから図9を参照して示す。
上部チャンバ部406が、複数のターゲット453を収容する延長部450を有している。複数のターゲット453を処理チャンバ400の中に移動させて、対応する蒸着ヘッドに設けることができることに留意されたい。当業者は、ロボットが蒸着銃からターゲットを除去して格納延長部450の中にターゲットを配置できることを理解するであろう。除去したターゲットを格納した後に、ロボットが異なるターゲットを格納されたターゲット453から取り出し、そのターゲットを対応するプロセスヘッドに配置する。一実施例では、ターゲットが磁気的にプロセスヘッドに取り付けられている。このような実施例では、ロボットがターゲットを把持し、除去のためにターゲットがプロセスヘッドから分離するようにプロセスヘッドが引っ込む。多くのタイプの材料を、本書に記載した実施例を通した組み合わせ方で、処理チャンバの中の1つの基板上に蒸着し得ることに留意されたい。複数のヘッドを使用して、基板の様々な領域に及び/又は様々な材料の共スパッタリングの際の処理条件で、異なる材料を共スパッタリングできる。したがって、1つの基板が、材料、処理条件、工程順序、及び単位工程の多くの組み合わせのためのデータを与える。
図7A及び7Bは、本発明に係る図6を参照して説明された線状マスクの実施例のさらなる詳細を示す。図7Aで、基板支持部406が、そこに設けられた基板408を有している。プロセスシールド410が、基板408の動径部分にわたるプロセス領域を限定する。線状マスク418aが、摺動可能なカバー418bのための支持を与える。開口部412が、カバープレート418bの移動を通して露出又は覆われる。線状マスク418aは、それを通る多くの開口部412を有しており、1つの開口部に限定されない。さらに、開口部412の形状は任意の適切な形状であり、円形に限定されず、四辺形、楕円形、多角形、アーチ形、楔状又は他の形状が可能である。線状マスク418aはロッド454に固定されており、基板408の表面の動径部分にわたって直線的に移動する。カバープレート418bが独立して移動して必要に応じて開口部412を露出又は隔離するように、カバープレート418bがロッド452に取り付けられている。本実施例のプロセスキットシールド410が、開口部412が線状マスク418aの移動を通してスロットに沿ってどこへでも移動して基板408の領域を露出させるように、ベースの直径にわたって規定されるスロットを有していることに留意されたい。
さらに、図8A及び8Bは、本発明の一実施例に係る組み合わせ方法における、基板を処理するために開口部を露出及び隔離するための線状マスク及びカバープレートの利用を表している。基板支持部406は、プロセスキットシールド410が処理のために基板の動径部分を隔離又は限定する基板408を支持する。上述のように、キットシールドが基板全体又はその一部分のみをカバーし得ることに留意されたい。プロセスキットシールド410は、任意に、プロセスヘッド414のための開口部を有するデータシールドとして機能し得る上部プレート460を有している。線状マスク418aがプロセスキットシールド410のベースの直径にわたって延在している。プロセスキットシールド410のベースが、線状マスク418aの開口部412が処理される領域を規定するように、スロットが好適には開口部412よりも幅広のベースにわたって規定されるスロットを有している。線状マスク418aはベースプレートにわたって可動であり、基板上の処理すべき領域に揃い又はこれを規定する。カバープレート418bは、線状マスク418aの表面にわたって摺動可能に移動し、開口部412を露出させ又はこれを覆う。図8Aでは、カバープレート418bが引っ込んでいるため、開口部412が露出している。図8Bでは、カバープレート418bが前進することで開口部412が覆われる。上述のように、開口部412を閉止することによる基板の隔離により、プロセスキットシールド410の中での処理、チャンバ又はヘッドの調整、処理前の工程等が、基板408に影響を与えずに可能となる。
図9は、本発明の一実施例に係る、線状マスク及び移動ロッドへの対応する結合部を示す簡易概略図である。基板支持部406が、その上に配置された基板408を有している。線状マスク418aは、基板408の一部にわたってプロセスキットシールドのベースに沿って配置されている。カバープレート418bは、カバープレート418bの移動によって線状マスクの中の開口部を覆い又はこれを露出し得るように、線状マスク418aの表面にわたって摺動可能に移動する。ロッド452は、カバープレート418bの移動を制御するよう構成されている。一実施例では、ロッド452が、線状マスク418aの中の溝領域にわたってカバープレートを摺動可能に押す、単にカバープレート418bに取り付けられたプッシュロッドである。ロッド454は、同様に、プロセスキットシールド410によって規定された閉じた処理領域の中の基板408の様々な領域にわたって開口部又は複数の開口部を配置し得るように、基板408の表面にわたって線状マスク418aの移動を制御する。また、このような動作を、ある位置で基板を覆い、他の位置においてシールド410のベースプレートの中に1又はそれ以上の開口部を配置し得る、1つのマスク又はカバープレートとともに実行し得る。このようなセットアップはさらなる直線移動を要する一方、複数の移動パーツを有する必要をなくす。説明したこのようなシステム又は他のシステムでは、マスク又はカバープレートを、図示するように上部に載せるのではなくベースの中に埋め込むこともできる。
図10Aは、本発明の一実施例に係る線状マスクを具えたプロセスキットシールド410の断面図を示す簡易概略図である。プロセスキットシールド410は、プロセスキットシールド410のベースにわたって延在するスロット412aを有している。本実施例では、スロット412aがプロセスキットシールドのベースの直径にわたって延在している。摺動可能なカバープレート418bを有する線状マスク418aがスロットにわたって配置されている。線状マスク418aは、シールド410のベースの穴部にわたってマスクを直線的に移動させることによって、スロット412aに沿ったいずれかの位置で調整し得る開口部412を有している。開口部412は、任意の適切な形状とすることができる。一実施例では、カバープレート418bが線状マスク418aの面に接触しない。一実施例では、図10Aに図示するように、開口部412を規定する内面に面取りを施すか又は勾配を付けることができる。
当業者は、本発明に係る一実施例では、プラズマを発光させないように、対応する部品間の間隙が十分小さいことを理解するであろう。例えば、スライドカバープレート418bと線状マスク418aとの間の間隙を、約1/50インチとすることができる。同様に、線状マスク418aの下面とプロセスキットシールド410のベースの上面との間の間隙が、約1/50インチである。一実施例では、プロセスキットシールドのベースの厚さが、約1/25インチである。さらに、プロセスキットシールドを、基板表面の上方の約1/50インチの距離に配置することができる。このため、線状マスク418aの下面及びプロセスキットシールド410のベースの下方に配置された基板の上面からのトータルの距離は、約2/25インチである。上述のように、プロセスキットシールド410、線状マスク418a、及びカバープレート418bの構成材料は、セラミックとすることができ、又は処理材料又は条件に適合する適切な材料を利用し得る。
図10Bは、図10Aのプロセスキットシールドのベースの代替的な構造的構成である。プロセスキットシールド410のベースは、穴部又はスロット412aを規定する。線状マスク418aがスロット412aの上方に配置されている。プロセスキットシールド410のベースは、スロット412aの長さに沿って規定される肩部を有しており、肩部が線状マスク418aのための支持を与える。線状マスク418aは開口部412を有しており、スロット412aの上方に揃う場合、開口部412がプロセスキットシールド410のベースの下方に配置された基板面へのアクセスを提供する。スロット412aは固定穴部である一方、開口部412は線状マスク418aの中で固定されているが線状マスク418aの移動によって図示するように可動であることに留意されたい。上述のように、線状マスク418aは複数の開口部を有しており、カバープレート418bが、処理環境に応じて1又はそれ以上の開口部へのアクセスを遮断する。また、線状マスク418aは、カバープレート418bを支持するよう構成された肩部を有している。一実施例では、線状マスク418a及びカバープレート418bが、上方にそれらが配置された肩部の面に接触しない。図10Bの実施例によりコンパクトな形状が可能となり、上述のようにプラズマを発光しないように、対応する部品間の間隙が十分に小さいことに留意されたい。さらに、線状マスク418a及びカバープレート418bはベースプレートと略同一面上にあるため、それらは領域の処理に影響を及ぼす開口部の近くのプラズマをかく乱しない。さらに、図10Bの実施例は、線状マスク418aに適合するスロット412aの上方に規定される凹部を有するプロセスキットシールド410のベースとして記載されている。線状マスク418aは、同様に、凹部を有しておりカバープレート418bに適合する。図10Aでは、線状マスク418aがプロセスキットシールド410のベース上面の一部の上方に配置されている一方、カバープレート418bが線状マスク418aの上面の凹部の中に配置されている。線状マスク418a及び/又はカバープレート418bが凹部に配置される実施例では、凹部の側壁が対応する線状マスク又はカバープレートの移動方向に影響することに留意されたい。
図10Cは、本発明の一実施例に係る、処理チャンバの中に配置された基板を組み合わせ処理するよう構成された処理チャンバの平面図を示す簡易概略図である。上部416は、基板支持部106の一部の上方に配置されている。線状マスク418aが、プロセスキットシールドのベースプレートのスロットの中に配置されている。図10Cの実施例では、スロットが線状マスク418aの直線移動を示している。すなわち、基板支持部106の動径にわたるスロットの構成が線状マスク418aの動径にわたる直線移動を規定する。このように、基板の支持部106の上に配置された基板の面全体が、基板支持部の回転及び線状マスク418aの直線運動により近づくことができるが、このような範囲に限定する必要はない。一実施例では、上部416の直径が線状マスクのスロットの長さに適合するよう構成されていることに留意されたい。すなわち、スロットの長さが上部の最小直径を決定する。
図10Dは、本発明の別の実施例に係る組み合わせ処理のためのベースプレートアッセンブリの断面の簡易概略図である。図10Dで、プロセスキットシールドのベース411がそれを通って規定されるスロット(図示せず)を有していることに留意されたい。カバープレート418b及び線状マスク418aがベース411(移動開口プレートとも称される)の下方にあり、それら双方が互いに独立して直線的に移動する。図10Bを参照して上述したように、線状マスク418a及びカバープレート418bを、図10Dの積層構造とは対照的に肩部によって規定される凹部の中に配置し得る。さらに、上述の図10A、B又はCのいずれかでは、シャドーマスクをベース411の上下いずれかに組み込むことができ、線状マスク418a、及びカバープレート418bが処理を受ける処理領域内の領域をさらに規制する。例えば、静電容量又は記憶素子のテストための複数の電極を、シャドーマスクを用いて1つの領域の中に組み込むことができる。このようなマスクが上部チャンバ416の中にある場合、できる限り薄くし、プラズマをかく乱するのを防止するために線状マスクよりも実質的に幅広であるのが好適であるが、必ずしもそのようにする必要はない。
図11は、本発明の一実施例に係るデータシールドの断面を示す簡易概略図である。典型的なデータシールド160が、プロセスキットシールド110の下方の基板面に対して様々な高さで位置決めされるプロセスヘッド114又は蒸着銃を提供する。上述のように、データシールドの使用は任意であり、ここで説明する実施例では必ずしも必要ではない。データシールドを含んでいる場合、図8A及び図8Bを参照して図示される全ての銃114に関して同じ高さを与えるデータシールドは平らである。代替的に、データシールド160が、図11で図示するように、各蒸着銃に関する様々な高さを可能とする。データシールド160はプロセスキットシールド110の上方に配置されている。プロセスヘッド114はアーム114aに取り付けられ、データシールド160の中のプロセスヘッドに適合するよう構成されるスロットの中に配置されている。データシールド160は、データシールドの中に配置される管路170を通って供給される冷却液を有している。当然ながら、冷却態様は任意である。
プロセスガスリザーバ172及び174から、対応するプロセスヘッド114に供給されるプロセスガスを供給し得る。一実施例では、アーム延長部114aを通してプロセスヘッド114にプロセスガスを供給し得る。そして、ノズル178を使用して、プロセスヘッドに固定されたターゲット152の近くにプロセスガスを供給する。代替的な実施例では、プロセスガスがデータシールド160を通してノズル176の中に供給される。上述のように、プロセスヘッド114がデータシールド160の中に規定される開口部に対して出入りするよう移動し得る。さらに、蒸着ヘッドを図1に示すように開口部から外した場合、スライドカバープレートがデータシールドの中の開口部を隔離し得る。このような方法では、プロセスヘッドを、必要に応じてプロセスキットシールド110の中で処理から隔離し得る。代替的に、穴埋め材又はプラグといった他の機構を実施してこのような隔離を与えることができる。上述のように、プロセスヘッドを鉛直軸から傾けることができる。一実施例では、プロセスヘッドのいくつかを傾ける一方、一群のプロセスヘッドの中の他のものを傾けずに処理される基板面から様々な高さで配置し得る。一実施例では、データシールド160が、鉛直に移動して基板面からの距離を増減し得る。
図12は、本発明の一実施例に係る基板の上方のパターンを示す簡易概略図である。図12では、領域180の配列が基板108上で処理される。処理のためにこれらの領域へのアクセスを与えるために、処理ステップ間及び実験的な構成を通して、開口部112がベースプレートのスロットの中で基板108面にわたって移動し、複数の領域を1つの基板上で組み合わせ処理し得るように、基板が必要に応じて回転する。
図13は、本発明の一実施例に係る代替的な構成を有する開口部を示す簡易概略図である。基板108がプロセスキットシールド110の下方に配置されている。プロセスキットシールド110は、基板108の動径部分を閉じ込め、プロセスキットシールドのベースの中に規定されるパイ状又は三角形の開口部112を有している。基板108が回転すると開口部112の下方で露出するため基板108の様々な領域を処理し得る。このような実施例では、基板が回転して特定の位置で停止し、処理が行われその後に基板が次の位置に回転して基板の次の領域にわたって処理又は蒸着する。プロセスキットシールド110は、本実施例では静止したままである。このため、ここで説明した実施例を通して、組み合わせ方法で単位工程、工程順序及び材料を見積もるために、基板の隔離又は隔離領域を組み合わせた方法で様々に処理し得る。
図14は、本発明の一実施例に係る、統合された高生産性組み合わせ(HPC)システムを示す簡易概略図である。HPCシステムは、複数のプロセスモジュールを支持するフレーム900を有している。一実施例ではフレーム900が単一のフレームでもよいことに留意されたい。一実施例では、フレーム900の中の環境を制御する。ロードロック/ファクトリーインタフェース902が複数のHPCシステムの中へのアクセスを与える。ロボット914は、モジュール間の基板(及びマスク)の移動及びロードロック902に出入りする移動を与える。モジュール904−912は、任意のモジュールのセットとすることができるが、好適には、1又はそれ以上の組み合わせモジュールを有している。例えば、モジュール904は、配向/脱ガスモジュールとすることができ、モジュール906は、プラズマ又は非プラズマベースの洗浄モジュールとすることができ、モジュール908及び910は、本発明に係る又は他の構成の組み合わせモジュールとすることができ、モジュール912は、本実施例では必要に応じて従来の洗浄又は脱ガスを与えることができる。
任意のタイプのチャンバ又はチャンバの組み合わせを実施することが可能であり、本書の記載は、1つの可能な組み合わせの単なる具体例であり、基板/ウェハの組み合わせプロセス又は組み合わせプロセスと従来のプロセスと組み合わせを組み合わせるよう支持され得る可能性のあるチャンバ又はプロセスを限定することを意図するものではない。一実施例では、集中型コントローラ、すなわち、計算装置911がHPCシステムのプロセスを制御できる。1つの可能なHPCシステムのさらなる詳細が、米国特許出願第11/672,478号及び第11/672,473号に記載されている。HPCシステムを具えることで、複数の方法を採用して組み合わせプロセスを採用する基板上に材料を蒸着できる。
図15は、本発明の一実施例に係る、基板を組み合わせ処理する運用法を示すフローチャートである。本方法は、基板を受容する工程200で開始する。上述のようにして基板を組み合わせ処理する能力を有するプロセスツールの中に基板を受容し得る。そして、本方法が工程202に進み、固定開口部を含むベースプレートを通して処理するために、基板の一部が露出する。図1−3に記載された固定開口部を具えたベースを有するプロセスキットシールド又は図4−9に示す可動線状マスク及びカバープレートを具えるスロットが、工程202で基板の一部を露出し得る典型的なツールである。本発明のこれらの実施例の各々において、カバープレートを移動又は調整してベースプレート及び/又は線状マスクを通して開口部を露出させ得る。そして、本方法は動作204に進み、基板の領域を処理する。この処理は、上述のように基板の動径部分の上方のプロセスキットシールドの中にプラズマを発生させること、又は蒸着、エッチング、洗浄等が可能な他の既知の方法、又は他の適切な処理工程を含んでいる。領域が、次の段階に移行する前に連続的に実行される複数の処理工程を含めることができ、又は1つの処理段階のみ含めることができる。処理工程204の後で、判断工程205において処理を続けるかどうかを判断する。処理を続行しない場合、本方法が終了する。処理を続行する場合、開口部又は基板を移動させるかどうかを判断する。開口部を移動させる場合、工程206にしたがって、プロセスキットを回転させ、又は線状マスク及びカバープレートを調整する。基板を移動させる場合、基板支持部が工程208で回転する。開口部が例えば移動すると、本方法は、基板を回転させることが必要であるか又はこれらの工程が平行して生じるかどうかを判断する。開口部及び/又は基板が移動すると、処理が工程202に戻って上述した工程を繰り返す。このように、基板上の複数の領域を、試験が完了するまで、複数の領域で使用される様々な材料、様々なプロセス条件、様々な単位工程、又は様々なプロセスシーケンスを有する組み合わせ方法で処理し、基板上にはさらなる領域を形成する余地はない。
要約すれば、上述の本実施例により、一実施例の組み合わせプロセスを蒸着システムの基板に適用し得る。チャンバの中の1つのプロセスヘッド又は一群のプロセスヘッド及び対向する基板面が、プロセスヘッドと基板面との間のベースプレートの開口部を通した基板面へのアクセスを有する。プロセスヘッドの軸は、処理される基板の平らな面又は基板が置かれる基板支持部の平らな面に対して略垂直である。基板が円形でない場合、例えば、四角形又は他の形状である場合、基板の幅又は長さとして動径部分を規定し得る。ここで規定される実施例のプロセスヘッドは、動径部分の上方に集まっており、動径部分は本質的に基板の半分に焦点をおき、蒸着層の均一性をさらに改善するが、そのような必要はなく、構成の選択についてそれよりも広い基板の領域又は狭い基板の領域をカバーしてもよい。
主張し得るさらなる実施例は、基板上に隔離可能な方法で場所に薄膜を蒸着し得る蒸着システムを含んでいる。蒸着システムは、基板支持部、基板支持部の上方に配置されるターゲットを支持する蒸着ヘッドを有している。基板支持部に対向するターゲットの表面は、複数のターゲットの直径部分である。本システムは、それを通して規定される固定した開口部を具えた可動ベースプレートを有しており、可動ベースプレートが基板支持部の上方に配置されている。本システムは、可動ベースから延在する側壁を有するスリーブを有している。スリーブが基板支持部と蒸着ヘッドとの間の蒸着システムの中に配置されている。スリーブは可動とすることができ、データシールドを含め得る。データシールドは、蒸着ヘッドに適合する開口部を有している。一実施例では、基板が基板支持部の上に置かれるときに、固定開口部が基板面の一部を蒸着ヘッドに露出させる。本システムは、ベースの開口部の一部を覆うよう構成された摺動可能な部材を含めることができる。本システムは、一実施例では、互いに軸方向に位置決めされた複数の蒸着ヘッドを有している。一実施例では、複数の蒸着ヘッドが、蒸着システムの中のスリーブの直径部分の中で一団になっており、スリーブが基板支持部の動径部分を隔てている。別の実施例では、摺動可能な部材が、複数の蒸着ヘッドの軸に揃った開口部の一部を露出させている
一実施例では、基板を組み合わせ処理する方法が、提供される。この方法は、基板を受容するステップと、固定開口部を含むベースプレートを通した蒸着のために基板の少なくとも1つの領域を露出させるステップと、を有している。基板の一部の上方に規定される領域に閉じ込められるプラズマが発生する。この領域は、ベースプレートから延在する壁部によって規定され、基板の部分がこのような領域を有している。この方法は、領域の中の基板の上方に配置されたターゲットから領域に材料層を蒸着するステップを有しており、ターゲットが基板の領域からの複数のターゲットの直径部分である。さらなる方法の態様が、基板の異なる領域を露出させるステップと、異なる領域に材料層を蒸着するステップを有している。基板の異なる領域を露出させるステップは、ベースプレート及び固定開口部の上方に配置されたスライドプレートを移動させるステップを有している。一実施例では、蒸着が、領域の中の基板の上方に軸方向に配置された複数のターゲットに起因し、複数のターゲットの軸が少なくとも1つの領域と揃っている。別の実施例では、複数のターゲットの直径が、少なくとも6つのターゲットの直径であり、1又はそれ以上の複数のターゲットを領域から隔離し得る。
また、基板の個々の領域に薄膜を蒸着するよう構成されたシステムが提供されている。本システムは、基板を支持するための手段及び基板を支持するための手段に対向するターゲットから材料を発生させるための手段を有しており、ターゲットは基板を支持するための手段に配置された基板から離れた複数のターゲットの直径部分である。さらに、本システムは、基板の領域を露出させてターゲットから材料を蒸着するための手段を有している。本システムは、基板を支持するための手段及び材料を含めるためのこのような手段の上部を移動させるための手段の部分の上方に規定される処理領域の中のターゲットから材料を含めるための手段を有し得る。発生させるための手段のために複数のターゲットを使用し得る。本システムは、基板を支持するための手段の一部の上方に規定される処理領域から複数のターゲットのうちの1つを隔離するための手段を有し得る。
さらに別の実施例では、組み合わせ蒸着チャンバが提供される。このチャンバは、蒸着チャンバの中に配置された基板支持部を有しており、基板支持部は、基板支持部の上方に配置された動径部分及び複数の蒸着ヘッドを有している。複数の蒸着ヘッドは、基板支持部に対向するターゲット面を有しており、基板支持部の動径部分に上方に軸方向に配置されている。チャンバは、基板支持部の動径部分の上方に配置されたベースプレートを有しており、ベースプレートがそれを通して規定される開口部を有している。ベースプレートは、そこから延在して基板支持部の動径部分の上方の閉じ込め領域を規定する側壁を有している。ベースプレートは、基板支持部の動径部分に上方の領域にプラズマを閉じ込め得る。複数の蒸着ヘッドのそれぞれが基板支持部の面に対して鉛直方向に移動するよう構成される。一実施例では、複数の蒸着ヘッドそれぞれが、チャンバのプラズマ領域から独立して隔離可能である。別の実施例では、複数の蒸着ヘッドが異なる直径を有している。チャンバは、基板支持部の上方に配置された基板の動径部分の露出部の面に蒸着領域を規定するよう構成された単一の線状連接マスクを有し得る。さらに、チャンバは、基板の動径部分の上方に規定された処理領域の中の複数の蒸着ヘッドを囲むスリーブを有しており、スリーブが複数の蒸着ヘッドの軸及び基板支持部の軸からオフセットする軸を有している。
さらに別の実施例では、隔離蒸着部位のためのシステムが提供される。このシステムは、フレームの周囲で一団となった複数のプロセスモジュールを有しており、少なくとも1つのプロセスモジュールがチャンバを有している。このチャンバは、チャンバの中で共スパッタリングし得る複数の蒸着ヘッドを有しており、複数の蒸着ヘッドが基板支持部の上方に配置されている。さらに、チャンバは、基板支持部の上方に配置された基板の一部の上方にプラズマ閉じ込め領域を規定するスリーブを有している。スリーブは、側壁を通るベースに結合されるベース及び上部を有しており、上部が複数の蒸着ヘッドに対応する複数の開口部を有している。複数の蒸着ヘッドは、対応する開口部の中に鉛直方向に平行移動するよう構成されている。チャンバ上部は、対応する開口部を閉止して各蒸着ヘッドを閉じ込め領域から隔離するよう構成された複数の可動ドアを有している。蒸着ヘッドのために複数のターゲットを格納する格納アクセサリが任意に含まれる。この格納アクセサリは、チャンバへのアクセスを与え、各蒸着ヘッドを備えた複数のターゲットの交換が可能となる。一実施例では、チャンバと格納アクセサリとの間で複数のターゲットを移送するためのロボットが含まれている。上部が、複数の蒸着ヘッドに冷却を与えるよう構成されており、複数の開口部のそれぞれに近接して設けられたガス出口を提供する。複数の蒸着ヘッドは、鉛直軸から10度上傾するよう構成されている。スリーブのベースが、基板の部分の中の領域に対応する穴部を有しており、穴部は溝部の長さ部分の上方に配置された摺動可能な部材を備えたベースの直径にわたる溝部である。摺動可能な部材は、それを通って規定される開口部を有しており、開口部が穴部の一部を露出する。
基板の組み合わせ蒸着のための方法が提供されている。本方法は、処理チャンバの中に基板を受容するステップと、処理チャンバの基板の動径部分の上方に規定されたプロセス領域を処理するステップと、を有している。プロセス領域は、複数の蒸着ヘッドを有している。基板の動径部分の領域を露出する開口部が設けられており、複数の蒸着ヘッドが共スパッタリングして露出領域の上に層を形成する。本方法は、共スパッタリングした後に基板を回転させるステップと、次の露出領域について共スパッタリングするステップとを有している。本方法は、複数の蒸着ヘッドが設けられる軸とは異なる軸を中心として複数の蒸着ヘッドを回転させるステップを有している。一実施例では、本方法が、共スパッタリングするのに先だって、複数の蒸着ヘッドのうちの1つを隔離するステップと、基板に対するヘッドの1つの距離を調整するステップとを有している。
別の実施例では、組み合わせ蒸着チャンバが提供される。このチャンバは、基板を支持するための手段及び複数の蒸着ターゲットを支持するための手段を有しており、複数の蒸着ターゲットが、基板支持部の動径部分の上方で軸方向に配置されている。チャンバは、動径部分の一部を露出する開口部を与えるための手段、及び基板支持部の動径部分の上方の閉じ込め領域を規定する為の手段を有している。一実施例では、閉じ込め領域を規定するための手段が、基板支持部の動径部分の上方の領域にプラズマを閉じ込める。チャンバは、基板を支持するための手段の面に対して鉛直方向に複数の蒸着ターゲットを移動するための手段、及び複数のターゲットのうちの1つをチャンバのプラズマ領域から隔離するための手段を有し得る。一実施例では、複数の蒸着ターゲットの軸が、複数の蒸着ターゲットを支持するための手段が回転する軸とは異なる。
一実施例では、組み合わせ処理チャンバが提供されている。このチャンバは、少なくとも1つのプロセスヘッド、回転可能な基板支持部、及び基板支持部の上方に配置されたベースプレートを有しており、基板に接触するベースプレート無しに基板を基板支持部の上に設け得る。ベースプレートは、プロセスヘッドに基板支持部の一部を露出するための固定開口部を有している。可動プレートが、固定開口部の一部を露出する固定開口部を有しており、可動プレートがベースプレートの凹部の中に摺動可能に配置されている。ベースプレートを、開口部が処理される基板の領域を規定するように、基板支持部に近接して配置し得る。可動プレートは、異なるサイズの複数の開口部を含めることができ、複数の固定開口部のうちの1つが処理の際にチャンバの中で露出する。可動チャンバは、基板の上方に規定される平面で可動であり、固定開口部によって規制される。基板支持部の回転は、少なくとも180度である。ベースプレートの平面移動及び基板支持部の回転により、基板表面全体へのアクセスを提供する。チャンバは、固定開口部のための可動キャップを有している。チャンバは、少なくとも2つの固定開口部を含めることができ、可動キャップが少なくとも2つの固定開口部のうちの一方をカバーしつつ、少なくとも2つの固定開口部のうちの他方が基板の一部へのアクセスを提供する。固定開口部を、円形、四角形、又はパイの形状から成る1群の形状から選択し得る。チャンバは、ベースプレートから延在してチャンバを規定する外壁及び外壁の中に配置された内壁を有しており、内壁は、基板支持部の直径よりも小さな直径を有しており、内壁は、基板支持部と少なくとも1つの蒸着ヘッドとの間に配置され、内壁又は外壁の少なくとも一方が可動である。可動プレートの上面は、可動プレートの上面及び可動キャップの上面がベースプレートと略同一面になるように、ベースプレートの上面と略同一面である。チャンバは、チャンバの処理領域から少なくとも1つのプロセスヘッドを隔離するよう配置される隔離プレートを含めることができる。チャンバは、複数のターゲットを収容する延長部を有することができ、複数のターゲットは、少なくとも1つのプロセスヘッドのターゲットと交換可能である一方、隔離プレートが少なくとも1つのプロセスヘッドを隔離する。
別の実施例では、組み合わせ蒸着のための方法が提供される。本方法は、基板を受容するステップと、固定した開口部を有する線状マスクを位置決めすることによって固定開口部を有するベースプレートを通して処理するために基板の一部を露出するステップとを有している。開口部によって規定される基板上の領域を処理するステップと、基板を回転させるステップと、露出を繰り返すステップと、基板上の複数の領域が別々に処理されるように処理及び回転するステップとが、本方法に含まれている。本方法は、基板の一部分の上方に規定される領域に閉じ込められるプラズマを発生させるステップを含めることができ、この領域はベースプレートから延在する壁部によって規定され、基板の部分が基板の一部を有している。別々に処理するステップが、材料を変更するステップ、処理条件を変更するステップ、又は処理手順を変更するステップのうちの1つを有している。一実施例では、処理によって、複数の蒸着ヘッドから材料層を同時に形成する。処理は、更なる層を蒸着せずに基板を改変する。このような処理は、反応性イオンエッチング又は打ち込みの一方を含め得る。本方法は、複数の異なるターゲットを用いて層を蒸着するステップを有しており、複数の異なるターゲットのそれぞれが、基板よりも小さく基板の領域よりも大きい表面積を有している。固定穴部の上方に配置された固定開口部を調整して、処理領域を露出し得る。本方法は、複数の異なるターゲットのうちの一方を複数の異なるターゲットのうちの他方に変える前に、基板から複数の異なるターゲットのうちの一方を隔離するステップを有している。一実施例では、露出、処理及び回転を繰り返す前に、本方法が半径方向に線状マスクを移動させて基板の異なる領域を露出するステップを有している。
さらに別の実施例では、組み合わせ処理チャンバが提供される。このチャンバは、チャンバの中で基板を回転可能に支持するための手段、基板の表面の一部を露出するための手段、固定穴部を規定する凹んだ表面の中で可動な固定開口部を通して露出する部分の位置を場所的に変える手段を含む部分を露出するための手段、基板の表面の部分を処理するための手段を有している。チャンバが、基板の部分にわたる処理を含む手段を含めることができ、このような部分は、基板の表面の露出部分よりも大きく、基板よりも小さい。一実施例では、基板は円形であり、含めるための手段が基板の半径に相当する直径を有している。材料を含めるための手段の少なくとも部分を基板の表面に対して直交する方向に移動させるための手段を提供し得る。このようなチャンバが、処理するための手段を交換、洗浄、又は保護することのうちの1つのために、処理するための手段をチャンバから隔離するための隔離手段を含め得る。部分の場所を位置的に変更するための手段が、凹んだ面によって規定される平面で直線移動する。
さらに別の実施例では、少なくとも1つのプロセスヘッド、基板支持部に対して上部チャンバを回転させるための機構、基板に接触するベースプレート無しに基板支持部に基板を載せ得る基板支持部の上方に配置されたベースプレート、プロセスヘッドに基板支持部の隔離部分を露出させるために複数の固定した穴部を有するベースプレート、及び固定した穴部のそれぞれをカバーして、基板支持部から少なくとも1つのプロセスヘッドを隔離するよう配置されたフラグを含む上部チャンバを有する組み合わせ処理チャンバが、提供される。チャンバは、蒸着、エッチング、及び打ち込みから成る群から選択される処理工程を実行する。一実施例では、それぞれの対応する固定穴部について1つのフラグを有している。フラグは、2以上の穴部を同時にカバーし得る。上部チャンバは、基板の直径よりも大きい直径を有しており、ベースプレートの中に配置された可動マスクに穴部が規定される。穴部は、基板の一方のエッジから基板の遠い方にエッジに移動し得る。
一実施例では、少なくとも1つのプロセスヘッド、回転可能な基板支持部、基板に接触するベースプレートなしに基板を基板支持部の上に載せ得るよう基板支持部の上方に配置されたベースプレート、プロセスヘッドに基板支持部の一部を露出させるための固定穴部を有するベースプレート、及び固定穴部の一部を露出させる固定開口部を有し、可動プレートの凹面の中に配置されたカバープレートを有する可動プレートを有する組み合わせ処理チャンバが、提供される。可動プレートは、ベースプレートの凹み面の中に配置されている。可動プレートはさらなる固定開口部を有しており、カバープレートが1又はそれ以上の固定開口部を隔離するよう構成される。ベースプレートは、基板支持部の一部の上方に配置されており、可動プレートがベースプレートの一部の情報に配置されている。
別の実施例では、フレームの周りに集まった複数の処理モジュールを有する半導体処理システムが提供される。複数の処理モジュールのうちの少なくとも1つが、第1の軸の周りの複数の蒸着ヘッドを有しており、複数の蒸着ヘッドが、複数の蒸着ヘッドの下方に配置されたベースプレートに対して可動であり、ベースプレートがそれを通って規定される開口部を有している。一実施例では、この開口部は第1の軸と揃っている。複数の処理モジュールのうちの少なくとも1つの中の第1の軸ではなく異なる軸を中心として回転する回転可能な基板支持部が含まれている。複数の処理モジュールのうちの少なくとも1つの中の基板支持部の一部の上方に規定される処理領域に複数の蒸着ヘッドを隔離するスリーブが、提供される。処理モジュールの1つが、従来のフルウェハー蒸着モジュールとすることが可能である。スリーブは、カバープレートが複数の蒸着ヘッドのうちの1つを隔離し得る穴部を有している。複数の処理モジュールのうちの少なくとも1つが、複数の蒸着ヘッドのそれぞれのための対応する穴部を有するデータ面を有している。一実施例では、データ面の対応する穴部のうちの2つが、互いに異なる突出部にある。複数の蒸着ヘッドは、第1の軸とは異なる軸を中心として回転可能である。
蒸着の実施例は、対応するターゲットからの複数の材料、1つのターゲットからの1つの材料、又はそれらの組み合わせを蒸着して、1つの基板上に領域の組み合わせ配列を実現し得る。例えば、1つの適用例が、各プロセスヘッドの2つのチタンターゲットと、ニッケルターゲットを有する3つのプロセスヘッドとを有し得る。2つのチタンターゲットにより、1つのチタンヘッドと比較して蒸着速さを2倍にすることができる。チタン及びニッケルの組み合わせを変えることで、基板の異なる領域に蒸着することができ、酸素との反応性スパッタリング、急速熱処理、ドーピング又は他の後処理といったさらなる処理を薄膜上に行うことができる。別の実施例では、別のターゲット、例えば、アルミニウム、ハフニウム、タンタル、タングステン又は他の既知の材料を、2つのヘッドに含めることができ、汚染しないようにチタン及びニッケルの蒸着の際に反応させることができる。ヘッドの位置を戻すことができ、チタン及び/又はニッケルとともに層を蒸着し、又はアルミニウムを蒸着できる。したがって、本書で記載された処理システムを通して多くの組み合わせ及び置換が可能である。上述のように、本システムは、薄膜を蒸着するのに加えて他の処理が可能であり、これは単なる一例又は可能な使用例である。
さらに、組み合わせ処理を従来の処理方法と組み合わせて、1つの基板上での、材料の組み合わせ、工程順序の組み合わせ、単位工程の組み合わせ、及び処理条件の組み合わせのためのさらなるデータを提供することができる。上述の実施例により、基板の上方の開口部の場所にわたって、開口部の大きさ、開口部の形状及び基板の領域に配置された材料の成分の制御が可能となる。さらに、上述の実施例により、領域の中を均一にすることが可能となり、均一性は非均一性の1シグマの1%のように表すことができる。
本発明の部分を形成する本書に記載した工程は、有用な機械的工程である。また、本発明は、これらの工程を実施するためのデバイス又は装置に関する。この装置を、所望の目的のために特別に構成することができ、又はこの装置は、コンピュータに記憶されたコンピュータプログラムによって選択的に動作又は構成される汎用機械とすることができる。特に、様々な汎用機械を本書が示唆することに従って書かれたコンピュータプログラムとともに使用することができ、又はより特別な装置を構成して所望の工程を実施することがより都合よいこともある。
また、本発明の方法の態様は、コンピュータが可読な媒体に記憶されるコンピュータが可読なコードとして実施して、本書に記載された処理ツールのための方策として実行し得る。コンピュータが可読な媒体は、コンピュータシステムよって後で読み込まれ得るデータを記憶し得る任意のデータ記憶装置である。また、コンピュータが可読な媒体は、コンピュータのコードが実施される電磁搬送波又は他の信号を有する。コンピュータが可読な媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ及び他の光及び非光データ記憶装置を含んでいる。また、コンピュータが可読なコードを配信方法で記憶及び実行するように、コンピュータが可読な媒体をネットワーク接続されるコンピュータシステムにわたって分散させ得る。
理解し易くすることを目的として上述の発明を詳細に説明したが、添付した特許請求の範囲の範囲を逸脱することなく特定の変更及び改良を実施し得ることに留意されたい。したがって、本実施例は具体例で限定するものではないとみなすべきであり、本発明は本書に記載の詳細に限定されないが、添付した特許請求の範囲の範囲内でこれに等価な範囲内で変更し得る。特許請求の範囲において、要素及び/又はステップは、特許請求の範囲で明示的に述べられていない限り、工程の特定の順序を示すものではない。

Claims (16)

  1. 組み合わせ処理チャンバであって、
    第1の軸の周囲に集まり、第2の軸を中心として回転する回転可能なアッセンブリに取り付けられた複数の蒸着ヘッドと;
    第3の軸を中心として回転する回転可能な基板支持部と;
    前記基板支持部の一部を露出させる開口部を有するベースプレートと;
    を具えており、
    前記第1の軸、前記第2の軸、及び前記第3の軸が互いにオフセットしており、
    前記開口部が前記第1の軸に揃っていることを特徴とするチャンバ。
  2. 前記ベースプレートが、前記回転可能なアッセンブリに側壁を通して取り付けられており、前記第2の軸を中心として回転することを特徴とする請求項1に記載のチャンバ。
  3. 前記回転可能なアッセンブリ及び回転可能な前記ベースプレートが、共通の直径を有することを特徴とする請求項2に記載のチャンバ。
  4. さらに、前記ベースプレートから前記複数の蒸着ヘッドを隔離し得るバリヤを具えることを特徴とする請求項1に記載のチャンバ。
  5. 請求項1に記載の組み合わせ処理チャンバを使用して基板を組み合わせ処理するための方法であって、
    基板の隔離領域へのアクセスを与える開口部の上方で軸方向に分布した一群の蒸着ヘッドから前記基板の隔離領域を処理するステップと;
    前記軸方向に分布した蒸着ヘッドに対する前記基板の位置を変えるステップと;
    前記基板の別の隔離領域を処理するステップと;
    を具えることを特徴とする方法。
  6. 前記一群の蒸着ヘッドが、前記開口部を含む前記基板の一部の上方の処理チャンバの中に隔離されることを特徴とする請求項5に記載の方法。
  7. さらに、前記基板の多数の隔離領域を同時に処理するステップを具えることを特徴とする請求項5に記載の方法。
  8. 材料層を蒸着した後に、処理領域の下部から前記一群の蒸着ヘッドを隔離するステップと;
    前記蒸着ヘッドのうちの1つに取り付けられたターゲットを変えるステップと;
    を具えることを特徴とする請求項5に記載の方法。
  9. 前記基板の位置を変えるステップが、前記基板の軸を中心として及び前記基板の軸からオフセットした軸を中心として、前記基板を回転させるステップを有することを特徴とする請求項5に記載の方法。
  10. 組み合わせ処理チャンバであって、
    第1の軸の周囲に集まり、第2の軸を中心として回転する回転可能なアッセンブリに取り付けられた複数の蒸着ヘッドと;
    中心軸である第3の軸及び前記中心軸からオフセットした第4の軸を中心として回転する回転可能な基板支持部と;
    前記基板支持部の一部を露出させる開口部を有するベースプレートと;
    前記基板支持部の一部の上方に規定された処理領域の中に軸方向に配置された一群の蒸着ヘッドを隔離するための手段と;
    前記第3及び第4の軸を中心として前記基板支持部を回転させるための手段と;
    前記基板支持部の一部の領域にアクセスを与えるための手段であって、当該アクセスを与えるための手段を通して前記蒸着ヘッドから材料層を蒸着し得る手段と;
    を具えており、
    前記第1の軸、前記第2の軸、及び前記第3の軸が互いにオフセットしており、
    前記開口部が前記第1の軸に揃っていることを特徴とするチャンバ。
  11. 前記基板支持部の軸が、前記一群の蒸着ヘッドの軸とは異なることを特徴とする請求項10に記載のチャンバ。
  12. さらに、鉛直面でそれぞれの前記蒸着ヘッドを移動させるための手段を具えることを特徴とする請求項10に記載のチャンバ。
  13. 組み合わせ処理チャンバであって、
    第1の軸の周囲に集まり、第2の軸を中心として回転する回転可能なアッセンブリに取り付けられた複数の蒸着ヘッドと;
    第3の軸を中心として回転する回転可能な基板支持部と;
    少なくとも1つの蒸着ヘッドを収容し、前記基板支持部の一部を露出させる開口部を有するベースプレートを含む、サブチャンバと;
    前記基板支持部によって規定される実質的に全ての領域へのアクセスを前記開口部が与える一方、前記少なくとも1つの蒸着ヘッドと前記開口部との間の整列を維持するように、前記サブチャンバに対して前記基板支持部を移動させるための少なくとも1つの駆動部と;
    を具えており、
    前記第1の軸、前記第2の軸、及び前記第3の軸が互いにオフセットしており、
    前記開口部が前記第1の軸に揃っていることを特徴とする組み合わせ処理チャンバ。
  14. 前記駆動部が、前記サブチャンバ又は前記基板支持部のうちの一方を回転させることを特徴とする請求項13に記載のチャンバ。
  15. 前記少なくとも1つの駆動部が、中心軸を中心として前記基板支持部を回転させ、
    さらに、偏心軸を中心として前記基板支持部を回転させるための別の駆動部を有することを特徴とする請求項13に記載のチャンバ。
  16. 前記基板支持部が、前記基板支持部の周縁の周りに規定される第1の回転シールを有しており、
    前記基板支持部が、前記第1の回転シールを包含する第2の回転シールを有するプレートに組み込まれることを特徴とする請求項13に記載のチャンバ。
JP2010524196A 2007-09-05 2008-09-05 組み合わせ処理システム Expired - Fee Related JP5500593B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US96995507P 2007-09-05 2007-09-05
US60/969,955 2007-09-05
US12/027,980 US8771483B2 (en) 2007-09-05 2008-02-07 Combinatorial process system
US12/027,980 2008-02-07
US12/028,643 2008-02-08
US12/028,643 US8449678B2 (en) 2007-09-05 2008-02-08 Combinatorial process system
PCT/US2008/075459 WO2009033067A2 (en) 2007-09-05 2008-09-05 Combinatorial process system

Publications (2)

Publication Number Publication Date
JP2011503345A JP2011503345A (ja) 2011-01-27
JP5500593B2 true JP5500593B2 (ja) 2014-05-21

Family

ID=40407931

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010524196A Expired - Fee Related JP5500593B2 (ja) 2007-09-05 2008-09-05 組み合わせ処理システム

Country Status (7)

Country Link
US (6) US8771483B2 (ja)
EP (1) EP2186115A4 (ja)
JP (1) JP5500593B2 (ja)
KR (1) KR101534885B1 (ja)
CN (1) CN101919027B (ja)
TW (1) TWI409900B (ja)
WO (1) WO2009033067A2 (ja)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8882917B1 (en) 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US7947531B1 (en) * 2008-08-28 2011-05-24 Intermolecular, Inc. Combinatorial evaluation of dry semiconductor processes
KR20110050558A (ko) * 2008-10-07 2011-05-13 가와사키 쥬코교 가부시키가이샤 기판 반송 로봇 및 시스템
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US20120048723A1 (en) * 2010-08-24 2012-03-01 Varian Semiconductor Equipment Associates, Inc. Sputter target feed system
FI20105908A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
CN102479670B (zh) * 2010-11-30 2015-11-25 中芯国际集成电路制造(北京)有限公司 一种半导体装置及使用方法
US8298849B2 (en) * 2011-01-31 2012-10-30 Intermolecular, Inc. Nitrogen reactive sputtering of Cu-In-Ga-N for solar cells
GB201102337D0 (en) 2011-02-09 2011-03-23 Univ Ulster A plasma based surface augmentation method
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20130130509A1 (en) * 2011-11-21 2013-05-23 Intermolecular, Inc. Combinatorial spot rastering for film uniformity and film tuning in sputtered films
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
US20130168231A1 (en) * 2011-12-31 2013-07-04 Intermolecular Inc. Method For Sputter Deposition And RF Plasma Sputter Etch Combinatorial Processing
US8575027B1 (en) 2012-06-26 2013-11-05 Intermolecular, Inc. Sputtering and aligning multiple layers having different boundaries
US8980653B2 (en) * 2012-09-19 2015-03-17 Intermolecular, Inc. Combinatorial optimization of interlayer parameters
US9076674B2 (en) * 2012-09-25 2015-07-07 Intermolecular, Inc. Method and apparatus for improving particle performance
US8663397B1 (en) * 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
EP2935643B1 (en) * 2012-12-21 2018-08-01 Doosan Fuel Cell America, Inc. Deposition cloud tower with adjustable field
US20140183161A1 (en) * 2012-12-28 2014-07-03 Intermolecular, Inc. Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20140262749A1 (en) * 2013-03-14 2014-09-18 Intermolecular, Inc. Methods of Plasma Surface Treatment in a PVD Chamber
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US9318306B2 (en) 2013-12-20 2016-04-19 Intermolecular, Inc. Interchangeable sputter gun head
JP6557992B2 (ja) * 2015-02-25 2019-08-14 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2017035008A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. Method and apparatus for co-sputtering multiple targets
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
CN109070504A (zh) * 2016-04-27 2018-12-21 依视路国际公司 装备有可移动遮板的用于涂覆的基材支架及其使用方法
CN106477912A (zh) * 2016-09-23 2017-03-08 东莞市联洲知识产权运营管理有限公司 一种镀膜遮掩板平移机构
KR102380159B1 (ko) * 2017-03-02 2022-03-29 삼성디스플레이 주식회사 증착 설비, 표시 장치의 제조 방법, 및 이 방법에 의해 제조된 표시 장치
JP7141989B2 (ja) * 2018-09-28 2022-09-26 芝浦メカトロニクス株式会社 成膜装置
KR20210027601A (ko) * 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
TWI762230B (zh) * 2021-03-08 2022-04-21 天虹科技股份有限公司 遮擋機構及具有遮擋機構的基板處理腔室
CN115074671A (zh) * 2021-03-11 2022-09-20 鑫天虹(厦门)科技有限公司 遮挡机构及具有遮挡机构的基板处理腔室

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310614A (en) * 1979-03-19 1982-01-12 Xerox Corporation Method and apparatus for pretreating and depositing thin films on substrates
JPH01312835A (ja) 1988-06-09 1989-12-18 Nec Kyushu Ltd プラズマcvd装置
JPH05234893A (ja) * 1992-02-18 1993-09-10 Tokyo Electron Ltd スパッタ方法
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
JPH11106901A (ja) * 1997-10-01 1999-04-20 Nikon Corp 光学薄膜成膜装置
JP2000144380A (ja) * 1998-11-10 2000-05-26 Mitsui Eng & Shipbuild Co Ltd 超耐食性合金及びその作製方法
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
JP3473538B2 (ja) * 1999-05-14 2003-12-08 株式会社村田製作所 圧電部品の周波数調整装置及び周波数調整方法
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6554905B1 (en) 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US6669824B2 (en) * 2000-07-10 2003-12-30 Unaxis Usa, Inc. Dual-scan thin film processing system
US7125587B2 (en) * 2002-05-20 2006-10-24 Varian Semiconductor Equipment Associates Inc. Ion beam for enhancing optical properties of materials
US6774373B2 (en) * 2002-07-29 2004-08-10 Axcelis Technologies, Inc. Adjustable implantation angle workpiece support structure for an ion beam implanter
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060249372A1 (en) * 2005-04-11 2006-11-09 Intematix Corporation Biased target ion bean deposition (BTIBD) for the production of combinatorial materials libraries

Also Published As

Publication number Publication date
KR101534885B1 (ko) 2015-07-07
EP2186115A2 (en) 2010-05-19
US8449678B2 (en) 2013-05-28
US8758581B2 (en) 2014-06-24
TWI409900B (zh) 2013-09-21
US8932995B2 (en) 2015-01-13
US20150093898A1 (en) 2015-04-02
US20120142197A1 (en) 2012-06-07
WO2009033067A2 (en) 2009-03-12
US20120149180A1 (en) 2012-06-14
CN101919027A (zh) 2010-12-15
US20090069924A1 (en) 2009-03-12
US20090061108A1 (en) 2009-03-05
WO2009033067A3 (en) 2010-07-29
EP2186115A4 (en) 2014-04-30
US20090061087A1 (en) 2009-03-05
TW200933782A (en) 2009-08-01
US8771483B2 (en) 2014-07-08
CN101919027B (zh) 2012-09-05
JP2011503345A (ja) 2011-01-27
KR20100065347A (ko) 2010-06-16
US8387563B2 (en) 2013-03-05

Similar Documents

Publication Publication Date Title
JP5500593B2 (ja) 組み合わせ処理システム
US8770143B2 (en) Multi-region processing system
JP6412984B2 (ja) カルーセル原子層堆積のための装置および方法
JP2008252106A (ja) 化学蒸着によりウェハ上にエピタキシャル層を成長させる装置および方法
US9318306B2 (en) Interchangeable sputter gun head
US20130101749A1 (en) Method and Apparatus for Enhanced Film Uniformity
JP2010126789A (ja) スパッタ成膜装置
WO2012154682A1 (en) Combinatorial and full substrate sputter deposition tool and method
US8974649B2 (en) Combinatorial RF bias method for PVD
US8709270B2 (en) Masking method and apparatus
US20140174911A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
US20130130509A1 (en) Combinatorial spot rastering for film uniformity and film tuning in sputtered films
TWI770258B (zh) 基板支撐元件和基板處理裝置
US20120168304A1 (en) Physical Vapor Deposition Tool with Gas Separation
JP2009191310A (ja) マルチターゲットスパッタリング装置
US20140174914A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
US20140124359A1 (en) New Magnet Design Which Improves Erosion Profile for PVD Systems
US20130153415A1 (en) Combinatorial RF Biasing for Selectable Spot-Site Isolation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140305

R150 Certificate of patent or registration of utility model

Ref document number: 5500593

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees