KR20180029915A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20180029915A
KR20180029915A KR1020170115990A KR20170115990A KR20180029915A KR 20180029915 A KR20180029915 A KR 20180029915A KR 1020170115990 A KR1020170115990 A KR 1020170115990A KR 20170115990 A KR20170115990 A KR 20170115990A KR 20180029915 A KR20180029915 A KR 20180029915A
Authority
KR
South Korea
Prior art keywords
opening
gas
movable wall
inner tube
processing apparatus
Prior art date
Application number
KR1020170115990A
Other languages
English (en)
Other versions
KR102174107B1 (ko
Inventor
코헤이 후쿠시마
히로미 니타도리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180029915A publication Critical patent/KR20180029915A/ko
Application granted granted Critical
Publication of KR102174107B1 publication Critical patent/KR102174107B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Abstract

본 발명은, 면간 균일성을 제어하는 것이 가능한 기판 처리 장치를 제공하는 것이다. 일 실시 형태의 기판 처리 장치는, 복수매의 기판을 수용하는 내부관과, 상기 내부관을 둘러싸는 외부관과, 상기 내부관의 내부 또는 상기 내부관과 상기 외부관과의 사이에 이동 가능하게 설치된 가동 벽과, 상기 기판에 처리 가스를 공급하는 가스 공급 수단과, 상기 기판에 공급되는 상기 처리 가스를 배기하는 배기 수단을 갖고, 상기 내부관의 측벽에는, 제1 개구부가 형성되어 있고, 상기 가동 벽에는, 제2 개구부가 형성되어 있고, 상기 배기 수단은, 상기 제1 개구부 및 상기 제2 개구부를 통해서 상기 기판에 공급되는 상기 처리 가스를 배기한다.

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}
본 발명은 기판 처리 장치에 관한 것이다.
기판 유지구에 복수매의 기판을 다단으로 유지한 상태에서, 복수매의 기판에 대하여 성막 처리 등을 행하는 것이 가능한 뱃치식의 기판 처리 장치가 알려져 있다.
뱃치식의 기판 처리 장치로서는, 기판이 수용되는 내부관과, 내부관을 둘러싸는 외부관과, 내부관의 측벽에 형성된 가스 배기구와, 내부관과 외부관 사이에 형성되는 공간을 배기하는 배기 유닛을 갖는 장치가 알려져 있다(예를 들어, 특허문헌 1 참조). 이 장치에서는, 가스 배기구의 개구 폭이 배기 유닛에 근접해짐에 따라서 서서히 좁아지도록 함으로써, 배기의 밸런스를 조정하여, 기판의 표면에 공급되는 가스의 유속을 기판간에서 균일화시키고 있다.
일본 특허 제5284182호 공보
그러나, 상기 기술로는, 가스 배기구의 개구 형상은 기판 처리 장치마다 정해지는 것이기 때문에, 프로세스 조건이나 처리 매수의 변화에 따라서는, 원하는 면간 균일성이 얻어지지 않는 경우가 있다. 이것은, 프로세스 조건이나 처리 매수마다 배기의 밸런스를 조정할 수 없기 때문이다.
그래서, 본 발명의 일 형태에서는, 면간 균일성을 제어하는 것이 가능한 기판 처리 장치를 제공한다.
본 발명의 일 형태에 관한 기판 처리 장치는, 복수매의 기판을 수용하는 내부관과, 상기 내부관을 둘러싸는 외부관과, 상기 내부관의 내부 또는 상기 내부관과 상기 외부관과의 사이에 이동 가능하게 설치된 가동 벽과, 상기 기판에 처리 가스를 공급하는 가스 공급 수단과, 상기 기판에 공급되는 상기 처리 가스를 배기하는 배기 수단을 갖고, 상기 내부관의 측벽에는, 제1 개구부가 형성되어 있고, 상기 가동 벽에는, 제2 개구부가 형성되어 있고, 상기 배기 수단은, 상기 제1 개구부 및 상기 제2 개구부를 통해서 상기 기판에 공급되는 상기 처리 가스를 배기한다.
개시한 기판 처리 장치에 의하면, 면간 균일성을 제어할 수 있다.
도 1은 제1 실시 형태에 관한 기판 처리 장치의 개략도이다.
도 2는 도 1의 기판 처리 장치의 처리 용기를 설명하기 위한 횡단면도이다.
도 3은 도 1의 기판 처리 장치의 내부관의 일례를 설명하기 위한 사시도이다.
도 4는 도 1의 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 사시도이다.
도 5는 공통 개구부를 설명하기 위한 도면이다.
도 6은 도 4의 가동 벽을 사용한 경우의 제1 개구부와 제2 개구부와의 위치 관계를 설명하기 위한 도면이다.
도 7은 가동 벽의 회전 기구의 일례를 설명하기 위한 종단면도이다.
도 8은 제1 실시 형태에 관한 기판 처리 방법을 설명하기 위한 도면이다.
도 9는 제2 실시 형태에 따른 기판 처리 장치의 개략도이다.
도 10은 도 9의 기판 처리 장치의 내부관의 일례를 설명하기 위한 사시도이다.
도 11은 도 9의 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 도면이다.
도 12는 도 11의 가동 벽을 사용한 경우의 제1 개구부와 제2 개구부와의 위치 관계를 설명하기 위한 도면이다.
도 13은 제3 실시 형태에 따른 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 도면이다.
도 14는 도 13의 가동 벽을 사용한 경우의 제1 개구부와 제2 개구부와의 위치 관계를 설명하기 위한 도면이다.
도 15는 면간 균일성을 설명하기 위한 도면이다.
이하, 본 발명을 실시하기 위한 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 부호를 부여함으로써 중복된 설명을 생략한다.
〔제1 실시 형태〕
(기판 처리 장치)
본 발명의 제1 실시 형태에 관한 기판 처리 장치에 대해서 설명한다. 도 1은, 제1 실시 형태에 관한 기판 처리 장치의 개략도이다. 도 2는, 도 1의 기판 처리 장치의 처리 용기를 설명하기 위한 횡단면도이다. 도 3은, 도 1의 기판 처리 장치의 내부관의 일례를 설명하기 위한 사시도이다. 도 4는, 도 1의 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 사시도이다.
도 1에 도시되는 바와 같이, 기판 처리 장치(1)는, 기판인 반도체 웨이퍼(이하, 「웨이퍼(W)」라고 함)를 수용하는 처리 용기(34)와, 처리 용기(34)의 하단의 개구부측을 기밀하게 막는 덮개부(36)와, 복수매의 웨이퍼(W)를 소정의 간격으로 유지해서 처리 용기(34) 내에 삽입 분리되는 기판 유지구(38)와, 처리 용기(34) 내에 소정의 가스를 도입하는 가스 공급 수단(40)과, 처리 용기(34) 내의 가스를 배기하는 배기 수단(41)과, 웨이퍼(W)를 가열하는 가열 수단(42)을 갖고 있다.
처리 용기(34)는, 하단부가 개방된 천장이 있는 원통 형상의 내부관(44)과, 하단부가 개방되고 내부관(44)의 외측을 덮는 천장이 있는 원통 형상의 외부관(46)을 갖는다. 내부관(44) 및 외부관(46)은, 석영 등의 내열성 재료에 의해 형성되어 있고, 동축 형상으로 배치되어 이중관 구조로 되어 있다.
내부관(44)의 천장부는, 예를 들어 평탄하게 되어 있다. 내부관(44)의 일측에는, 그 길이 방향(상하 방향)을 따라 가스 노즐을 수용하는 노즐 수용부(48)가 형성되어 있다. 제1 실시 형태에서는, 도 2에 도시된 바와 같이, 내부관(44)의 측벽의 일부를 외측을 향해서 돌출시켜 볼록부(50)를 형성하고, 볼록부(50) 내를 노즐 수용부(48)로서 형성하고 있다.
또한, 노즐 수용부(48)에 대향시켜 내부관(44)의 반대측 측벽에는, 도 3에 도시된 바와 같이, 그 길이 방향(상하 방향)을 따라 폭(L1)의 사각 형상의 제1 개구부(52)가 형성되어 있다.
제1 개구부(52)는, 내부관(44) 내의 가스를 배기할 수 있도록 형성된 가스 배기구이다. 제1 개구부(52)의 길이는, 기판 유지구(38)의 길이와 동일하거나, 또는 기판 유지구(38)의 길이보다도 길게 상하 방향으로 각각 연장되도록 해서 형성되어 있다. 즉, 제1 개구부(52)의 상단은, 기판 유지구(38)의 상단에 대응하는 위치 이상의 높이로 연장되어 위치하고, 제1 개구부(52)의 하단은, 기판 유지구(38)의 하단에 대응하는 위치 이하의 높이로 연장되어 위치하고 있다. 구체적으로는, 도 1에 도시되는 바와 같이, 기판 유지구(38)의 상단과 제1 개구부(52)의 상단과의 사이의 높이 방향의 거리(L2)는, 0mm 내지 5mm 정도의 범위 내이다. 또한, 기판 유지구(38)의 하단과 제1 개구부(52)의 하단과의 사이의 높이 방향의 거리(L3)는, 0mm 내지 350mm 정도의 범위 내이다. 또한, 제1 개구부(52)의 폭(L1)은, 10mm 내지 400mm 정도의 범위 내, 바람직하게는 40mm 내지 200mm 정도의 범위 내이다. 또한, 제1 개구부(52)의 4개의 코너부 중 2개의 코너부가 모따기되어 있다.
처리 용기(34)의 하단은, 예를 들어 스테인리스강에 의해 형성되는 원통 형상의 매니폴드(54)에 의해 지지되어 있다. 매니폴드(54)의 상단부에는 플랜지부(56)가 형성되어 있고, 플랜지부(56) 상에 외부관(46)의 하단부를 설치해서 지지하도록 되어 있다. 플랜지부(56)와 외부관(46)과의 하단부와의 사이에는 O링 등의 시일 부재(58)를 개재시켜 외부관(46) 내를 기밀 상태로 하고 있다.
매니폴드(54)의 상부의 내벽에는, 링 형상의 지지부(60)가 설치되어 있고, 지지부(60) 상에 내부관(44)의 하단부를 설치해서 이것을 지지하도록 되어 있다. 매니폴드(54)의 하단의 개구부에는, 덮개부(36)가 O링 등의 시일 부재(62)를 개재해서 기밀하게 설치되어 있고, 처리 용기(34)의 하단의 개구부측, 즉, 매니폴드(54)의 개구부를 기밀하게 막도록 되어 있다. 덮개부(36)는, 예를 들어 스테인리스강에 의해 형성된다.
덮개부(36)의 중앙부에는, 자성 유체 시일부(64)를 개재해서 회전축(66)이 관통되어 설치되어 있다. 회전축(66)의 하부는, 보트 엘리베이터로 이루어지는 승강 수단(68)의 아암(68A)에 회전 가능하게 지지되어 있고, 모터(69)(도 7 참조)에 의해 회전되도록 되어 있다.
회전축(66)의 상단에는 회전 플레이트(70)가 설치되어 있고, 회전 플레이트(70) 상에 석영제의 보온 대(72)를 개재해서 웨이퍼(W)를 유지하는 기판 유지구(38)가 적재되도록 되어 있다. 따라서, 승강 수단(68)을 승강시킴으로써 덮개부(36)와 기판 유지구(38)는 일체적으로 상하 이동하여, 기판 유지구(38)를 처리 용기(34) 내에 대하여 삽입 분리할 수 있도록 되어 있다.
가스 공급 수단(40)은, 매니폴드(54)에 설치되어 있고, 내부관(44) 내에 처리 가스, 퍼지 가스 등의 가스를 도입한다. 가스 공급 수단(40)은, 복수개(예를 들어 3개)의 석영제의 가스 노즐(76, 78, 80)을 갖고 있다. 각 가스 노즐(76, 78, 80)은, 내부관(44) 내에 그 길이 방향을 따라서 설치됨과 함께, 그 기단부가 L자 형상으로 굴곡되어 매니폴드(54)를 관통하도록 해서 지지되어 있다.
가스 노즐(76, 78, 80)은, 도 2에 도시된 바와 같이, 내부관(44)의 노즐 수용부(48) 내에 둘레 방향을 따라 일렬로 되도록 설치되어 있다. 각 가스 노즐(76, 78, 80)에는, 그 길이 방향을 따라서 소정의 간격으로 복수의 가스 구멍(76A, 78A, 80A)이 형성되어 있고, 각 가스 구멍(76A, 78A, 80A)으로부터 수평 방향을 향해서 각 가스를 방출할 수 있도록 되어 있다. 소정의 간격은, 예를 들어 기판 유지구(38)에 지지되는 웨이퍼(W)의 간격과 동일해지도록 설정된다. 또한, 높이 방향의 위치는, 각 가스 구멍(76A, 78A, 80A)이 상하 방향에 인접하는 웨이퍼(W)간의 중간에 위치하도록 설정되어 있어, 각 가스를 웨이퍼(W)간의 공간부에 효율적으로 공급할 수 있게 되어 있다.
가스의 종류로서는, 원료 가스, 산화 가스 및 퍼지 가스가 사용되고, 각 가스를 유량 제어하면서 필요에 따라 각 가스 노즐(76, 78, 80)을 통해서 공급할 수 있도록 되어 있다. 원료 가스로서 실리콘 함유 가스를 사용하고, 산화 가스로서 오존(O3) 가스를 사용하고, 퍼지 가스로서 질소(N2) 가스를 사용하여, 원자층 퇴적(ALD: Atomic Layer Deposition)법에 의해 실리콘 산화막을 형성할 수 있도록 되어 있다. 또한, 사용하는 가스의 종류는 성막하는 막의 종류에 따라 적절히 선택할 수 있다.
또한, 매니폴드(54)의 상부의 측벽이며, 지지부(60)의 상방에는, 가스 출구(82)가 형성되어 있고, 내부관(44)과 외부관(46)과의 사이의 공간부(84)를 통해서 제1 개구부(52)로부터 배출되는 내부관(44) 내의 가스를 배기할 수 있게 되어 있다. 가스 출구(82)에는, 배기 수단(41)이 설치된다. 배기 수단(41)은, 가스 출구(82)에 접속된 배기 통로(86)를 갖고 있으며, 배기 통로(86)에는, 압력 조정 밸브(88) 및 진공 펌프(90)가 순차적으로 설치되어, 처리 용기(34) 내를 진공화할 수 있도록 되어 있다. 제1 개구부(52)의 폭(L1)은 10mm 내지 400mm의 범위 내의 크기로 설정되어 있어, 효율적으로 내부관(44) 내의 가스를 배기할 수 있게 되어 있다.
외부관(46)의 외주측에는, 외부관(46)을 덮도록 원통 형상의 가열 수단(42)이 설치되어 있어, 웨이퍼(W)를 가열하도록 되어 있다.
또한, 내부관(44)의 내부에는, 내부관(44)의 내측벽을 따라 가동 벽(100)이 설치되어 있다. 가동 벽(100)은, 도 4에 도시된 바와 같이, 반원통 형상을 갖고, 그 측벽에는 제2 개구부(102)가 형성되어 있다.
제2 개구부(102)는, 내부관(44) 내의 가스를 배기할 수 있도록 형성된 가스 배기구이다. 제2 개구부(102)는, 예를 들어 도 4에 도시된 바와 같이, 제1 개구부(52)와 상이한 형상이며, 평행사변 형상으로 형성되어 있다. 제2 개구부(102)의 상단은, 예를 들어 도 1에 도시되는 바와 같이, 제1 개구부(52)의 상단에 대응하는 위치의 높이로 신장되어 위치되어 있다. 제2 개구부(102)의 하단은, 예를 들어 도 1에 도시되는 바와 같이, 제1 개구부(52)의 하단에 대응하는 위치의 높이로 신장되어 위치되어 있다.
가동 벽(100)에는, 자성 유체 시일부(64)를 개재해서 회전축(104)이 관통되어 설치되어 있다. 회전축(104)은, 모터(106)(도 7 참조)에 의해 회전축(66)으로부터 독립적으로 이동 가능(회전 가능)하게 구성되어 있다. 회전축(104)을 회전시켜 가동 벽(100)을 회전시킴으로써, 제1 개구부(52)에 대한 제2 개구부(102)의 위치를 변화시킬 수 있다. 이에 의해, 제1 개구부(52)와 제2 개구부(102)가 겹치는 영역(이하, 「공통 개구부(CA)」라고 함)의 형상을 변화시킬 수 있다. 그 결과, 내부관(44) 내의 가스의 배기의 밸런스를 조정하여, 웨이퍼(W)의 표면에 공급되는 가스의 유속을 제어할 수 있다.
도 1로 돌아가서, 이렇게 형성된 기판 처리 장치(1)의 전체 동작은, 예를 들어 컴퓨터 등으로 이루어지는 제어 수단(110)에 의해 제어되도록 되어 있고, 이 동작을 행하는 컴퓨터의 프로그램은, 기억 매체(112)에 기억되어 있다. 기억 매체(112)는, 예를 들어 플렉시블 디스크, 콤팩트 디스크, 하드 디스크, 플래시 메모리, DVD 등으로 이루어진다.
도 5는, 공통 개구부를 설명하기 위한 도면이다. 도 5의 (a)는 제2 개구부의 일부가 제1 개구부와 겹쳐 있는 경우의 공통 개구부를 설명하기 위한 도면이다. 도 5의 (b)는, 도 5의 (a)에서의 「TOP」로 나타내는 위치에서의 처리 용기의 횡단면도이다. 도 5의 (c)는, 도 5의 (a)에서의 「CTR」로 나타내는 위치에서의 처리 용기의 횡단면도이다. 도 5의 (d)는, 도 5의 (a)에서의 「BTM」으로 나타내는 위치에서의 처리 용기의 횡단면도이다. 또한, 「TOP」는 처리 용기의 상방측의 위치를 나타내고, 「CTR」은 처리 용기의 중앙부의 위치를 나타내고, 「BTM」은 처리 용기의 하방측의 위치를 나타내고 있다.
도 5의 (a)에 도시된 바와 같이, 제2 개구부(102)의 일부가 제1 개구부(52)에 겹치도록 가동 벽(100)이 위치하고 있는 경우, 공통 개구부(CA)의 개구 폭은, TOP측으로부터 BTM측을 향해서 좁아진다.
구체적으로는, 「TOP」의 위치에서는, 도 5의 (b)에 도시된 바와 같이, 제2 개구부(102)가 제1 개구부(52)와 완전히 겹쳐 있다. 이 때문에, 공통 개구부(CA)의 개구 폭은, 제1 개구부(52)의 폭이 된다. 또한, 「CTR」의 위치에서는, 도 5의 (c)에 도시된 바와 같이, 제2 개구부(102)의 일부가 제1 개구부(52)와 겹쳐 있다. 이 때문에, 공통 개구부(CA)의 개구 폭은, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있는 부분의 폭이 되고, 「TOP」의 위치에서의 공통 개구부(CA)의 개구 폭보다도 좁게 되어 있다. 또한, 「BTM」의 위치에서는, 도 5의 (d)에 도시된 바와 같이, 제2 개구부(102)의 극히 일부가 제1 개구부(52)와 겹쳐 있다. 이 때문에, 공통 개구부(CA)의 개구 폭은, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있는 부분의 폭이 되고, 「CTR」의 위치에서의 공통 개구부(CA)의 개구 폭보다도 좁게 되어 있다. 이와 같이, 공통 개구부(CA)의 개구 폭은, TOP측으로부터 BTM측을 향해서 좁아지고 있다.
도 6은, 도 4의 가동 벽을 사용한 경우의 제1 개구부와 제2 개구부와의 위치 관계를 설명하기 위한 도면이다. 도 6의 (a) 내지 도 6의 (f)는, 가동 벽(100)을 이동(회전)시킴으로써, 제1 개구부(52)에 대한 제2 개구부(102)의 위치를 변화시켰을 때의 공통 개구부(CA)의 형상의 변화를 나타내고 있다.
도 6의 (a) 내지 도 6의 (f)에 도시된 바와 같이, 가동 벽(100)을 회전시킴으로써, 공통 개구부(CA)의 형상을 변화시킬 수 있다.
도 6의 (a)에서는, 제1 개구부(52)와 제2 개구부(102)가 전혀 겹쳐 있지 않아, 공통 개구부(CA)의 개구 면적은 0이다. 이에 의해, 내부관(44) 내의 가스는 배기되지 않거나, 또는 거의 배기되지 않는다.
도 6의 (b)에서는, 제1 개구부(52)의 상방측에 있어서, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있다. 이에 반해, 제1 개구부(52)의 하방측에서는, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있지 않다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 하방측으로부터 배기되지 않고, 제1 개구부(52)의 상방측으로부터 선택적으로 배기된다.
도 6의 (c)에서는, 제1 개구부(52)의 상방측 및 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있고, 그 겹쳐 있는 폭은, 제1 개구부(52)의 하방측보다도 상방측이 더 넓다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 하방측보다도 제1 개구부(52)의 상방측으로부터 배기되기 쉬워진다.
도 6의 (d)에서는, 제2 개구부(102)가 제1 개구부(52)에 완전히 겹쳐 있다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 전체로부터 배기된다.
도 6의 (e)에서는, 제1 개구부(52)의 상방측 및 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있고, 그 겹쳐 있는 폭은, 제1 개구부(52)의 상방측보다도 하방측이 더 넓다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 상방측보다도 제1 개구부(52)의 하방측으로부터 배기되기 쉬워진다.
도 6의 (f)에서는, 제1 개구부(52)의 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있다. 이에 반해, 제1 개구부(52)의 상방측에서는, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있지 않다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 상방측으로부터 배기되지 않고, 제1 개구부(52)의 하방측으로부터 선택적으로 배기된다.
이와 같이, 가동 벽(100)을 회전시킴으로써, 공통 개구부(CA)의 형상을 변화시킬 수 있다. 이에 의해, 프로세스 조건이나 처리 매수의 변화에 따라서 가동 벽(100)의 위치를 이동시킴으로써, 프로세스 조건이나 처리 매수에 따라서 배기의 밸런스를 조정할 수 있다. 그 결과, 원하는 면간 균일성을 얻을 수 있다.
도 7은, 가동 벽의 회전 기구의 일례를 설명하기 위한 종단면도이다.
도 7에 도시된 바와 같이, 회전 플레이트(70) 및 가동 벽(100)은, 덮개부(36)에 설치된 이중 축의 자성 유체 시일부(64)에 의해 독립해서 회전 가능하게 구성되어 있다. 구체적으로는, 회전 플레이트(70)는, 회전축(66)을 통해서 모터(69)와 접속되어 있고, 모터(69)에 의해 회전축(66)의 회전 속도 및 회전 각도가 조정됨으로써, 소정의 회전 속도로 소정의 회전 각도만큼 회전한다. 가동 벽(100)은, 회전축(104)을 통해서 모터(106)와 접속되어 있고, 모터(106)에 의해 회전축(104)의 회전 각도 및 회전 속도가 조정됨으로써, 소정의 회전 속도로 소정의 회전 각도만큼 회전한다.
또한, 회전축(66)과 회전축(104)과의 간극, 및 회전축(66)과 덮개부(36)와의 간극에는, 퍼지 가스 노즐(108)이 설치되어 있어, N2 가스 등의 퍼지 가스가 공급 가능하게 되어 있다. 이에 의해, 처리 용기(34) 내에서 기판 처리를 행함으로써 생성되는 물질이 자성 유체 시일에 부착되는 것을 방지할 수 있다.
(기판 처리 방법)
상술한 기판 처리 장치(1)를 사용한 기판 처리 방법의 일례에 대해서, 도 8에 기초하여 설명한다. 도 8은, 제1 실시 형태에 관한 기판 처리 방법을 설명하기 위한 도면이다.
제1 실시 형태에서는, 기판 처리 장치(1)를 사용해서 ALD법에 의해 웨이퍼(W)의 표면에 실리콘 산화막을 성막하는 경우를 예로 들어 설명한다. 이 경우, 원료 가스인 실리콘 함유 가스와, 산화 가스인 O3 가스를 교대로 공급함으로써, 웨이퍼(W)의 표면에 실리콘 산화막을 형성한다. 또한, 실리콘 함유 가스와 O3 가스를 절환할 때, 퍼지 가스인 N2 가스를 공급하여, 처리 용기(34) 내를 퍼지한다.
먼저, 예를 들어 50매 내지 150매의 웨이퍼(W)가 적재된 상태의 기판 유지구(38)를, 미리 소정의 온도로 설정된 처리 용기(34) 내에, 그 하방으로부터 상승시켜서 로드한다. 계속해서, 덮개부(36)에 의해 매니폴드(54)의 하단의 개구부를 폐쇄함으로써, 처리 용기(34) 내를 밀폐한다.
이어서, 처리 용기(34) 내를 진공화해서 소정의 프로세스 압력으로 유지함과 함께, 가열 수단(42)에의 공급 전력을 증대시킴으로써, 웨이퍼(W)의 온도를 상승시켜 프로세스 온도로 유지한다.
이어서, 가스 노즐(76)로부터 처리 용기(34) 내에 실리콘 함유 가스를 공급하여, 웨이퍼(W)의 표면에 실리콘 함유 가스를 흡착시킨다(스텝 S1). 이때, 예를 들어 공통 개구부(CA)의 개구 면적이 0으로 되도록, 즉, 제1 개구부(52)와 제2 개구부(102)가 겹치지 않도록, 가동 벽(100)을 이동시킨다. 이 때문에, 내부관(44) 내의 가스는 배기되지 않거나, 또는 거의 배기되지 않는다. 이에 의해, 가스 노즐(76)로부터 공급되는 실리콘 함유 가스의 유속이 작아져, 실리콘 함유 가스의 활성도를 높일 수 있다.
이어서, 가스 노즐(76)로부터의 실리콘 함유 가스의 공급을 정지하고, 가스 노즐(80)로부터 처리 용기(34) 내에 N2 가스를 공급하여, 처리 용기(34)를 퍼지한다(스텝 S2). 이때, 예를 들어 공통 개구부(CA)의 개구 면적이 최대가 되도록, 즉, 제1 개구부(52) 및 제2 개구부(102)가 겹치는 영역이 최대가 되도록, 가동 벽(100)을 이동시킨다. 이에 의해, 퍼지 효율을 높일 수 있어, 처리 용기(34) 내를 퍼지하는데 필요한 시간을 단축할 수 있다.
이어서, 가스 노즐(80)로부터의 N2 가스의 공급을 정지하고, 가스 노즐(78)로부터 처리 용기(34) 내에 O3 가스를 공급하여, 웨이퍼(W)의 표면에 흡착된 실리콘 함유 가스를 산화시킨다(스텝 S3). 이때, 예를 들어 제1 개구부(52)의 하방측보다도 제1 개구부(52)의 상방측에 있어서, 제1 개구부(52)와 제2 개구부(102)가 겹쳐 있는 영역이 커지도록, 가동 벽(100)을 이동시킨다. 이에 의해, 내부관(44) 내의 가스는, 가스 출구(82)로부터 가까운 위치인 제1 개구부(52)의 하방측보다도 가스 출구(82)로부터 먼 위치인 제1 개구부(52)의 상방측으로부터 배기되기 쉬워진다. 이 때문에, 웨이퍼(W)의 표면에 공급되는 가스의 유속을 웨이퍼(W)간에서 균일화시킬 수 있다.
이어서, 가스 노즐(78)로부터의 O3 가스의 공급을 정지하고, 가스 노즐(80)로부터 처리 용기(34) 내에 N2 가스를 공급하여, 처리 용기(34)를 퍼지한다(스텝 S4). 이때, 예를 들어 공통 개구부(CA)의 개구 면적이 최대가 되도록, 즉, 제1 개구부(52) 및 제2 개구부(102)가 겹치는 영역이 최대가 되도록, 가동 벽(100)을 이동시킨다. 이에 의해, 퍼지 효율을 높일 수 있어, 처리 용기(34) 내를 퍼지하는데 필요한 시간을 단축할 수 있다.
이상의 스텝 S1 내지 스텝 S4를 소정의 횟수 반복함으로써, 웨이퍼(W)의 표면에 원하는 막 두께를 갖는 실리콘 산화막을 형성할 수 있다.
제1 실시 형태에 관한 기판 처리 방법에서는, 프로세스 조건에 따라서 가동 벽(100)을 이동시킴으로써, 내부관(44) 내의 가스의 배기의 밸런스를 조정하여, 웨이퍼(W)의 표면에 공급되는 가스의 유속을 제어할 수 있다. 그 결과, 원하는 면간 균일성을 얻을 수 있다.
또한, 제1 실시 형태에 관한 기판 처리 방법에서는, 처리 용기(34)에 공급하는 가스를 절환할 때마다 가동 벽(100)을 이동시키는 경우를 예로 들어 설명했지만, 가동 벽(100)을 이동시키는 타이밍은 이것에 한정되는 것은 아니다.
〔제2 실시 형태〕
본 발명의 제2 실시 형태에 따른 기판 처리 장치에 대해서 설명한다. 도 9는, 제2 실시 형태에 따른 기판 처리 장치의 개략도이다. 도 10은, 도 9의 기판 처리 장치의 내부관의 일례를 설명하기 위한 사시도이다. 도 11은, 도 9의 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 도면이다.
제2 실시 형태에 따른 기판 처리 장치(1A)는, 상술한 제1 실시 형태에 관한 기판 처리 장치(1)와는 상이한 내부관(44A)과, 가동 벽(100A)을 갖는다. 또한, 그 밖의 점에 대해서는, 제1 실시 형태에 관한 기판 처리 장치(1)와 마찬가지의 구성을 갖고 있으므로, 제1 실시 형태에 관한 기판 처리 장치(1)와 마찬가지의 구성에 대해서는 설명을 생략하고, 상이한 구성에 대해서 설명한다.
도 10에 도시되는 바와 같이, 내부관(44A)에는, 폭(L11), 길이(L12)를 갖는 사각 형상의 개구부가, 내부관(44A)의 길이 방향(상하 방향)을 따라 제1 간격(L13)을 두고 복수 형성됨으로써, 제1 개구부(52A)가 형성되어 있다.
제1 개구부(52A)는, 내부관(44) 내의 가스를 배기할 수 있도록 형성된 가스 배기구이다. 제1 개구부(52A) 중 가장 상방에 위치하는 사각 형상의 개구부의 상단은, 기판 유지구(38)의 상단에 대응하는 위치 이상의 높이로 연장되어 위치되어 있다. 또한, 제1 개구부(52A) 중 가장 하방에 위치하는 사각 형상의 개구부의 하단은, 기판 유지구(38)의 하단에 대응하는 위치 이하의 높이로 연장되어 위치되어 있다. 구체적으로는, 도 9에 도시된 바와 같이, 기판 유지구(38)의 상단과 제1 개구부(52A) 중 가장 상방에 위치하는 사각 형상의 개구부의 상단과의 사이의 높이 방향의 거리(L2)는, 0mm 내지 5mm 정도의 범위 내이다. 또한, 기판 유지구(38)의 하단과 제1 개구부(52A) 중 가장 하방에 위치하는 사각 형상의 개구부의 하단과의 사이의 높이 방향의 거리(L3)는, 0mm 내지 350mm 정도의 범위 내이다.
또한, 내부관(44A)의 내부에는, 내부관(44A)의 내측벽을 따라 가동 벽(100A)이 설치되어 있다. 가동 벽(100A)은, 도 11에 도시되는 바와 같이, 원통 형상을 갖고, 그 측벽에는 제2 개구부(102A)가 형성되어 있다.
제2 개구부(102A)는, 내부관(44A) 내의 가스를 배기할 수 있도록 형성된 가스 배기구이다. 제2 개구부(102A)는, 예를 들어 도 11에 도시되는 바와 같이, 폭(L21), 길이(L22)를 갖는 사각 형상 개구부가, 내부관(44A)의 길이 방향(상하 방향)을 따라 제2 간격(L23)을 두고 복수 형성됨으로써 형성되어 있다. 제2 개구부(102A)는, 제2 간격(L23)이, 제1 간격(L13)보다도 작아지도록 형성되어 있다.
가동 벽(100A)에는, 도시하지 않은 승강 기구가 접속되어 있고, 가동 벽(100A)은, 승강 기구에 의해 승강 가능(이동 가능)하게 구성되어 있다. 승강 기구를 동작시켜 가동 벽(100A)을 승강시킴으로써, 제1 개구부(52A)에 대한 제2 개구부(102A)의 위치를 변화시킬 수 있다. 즉, 공통 개구부(CA)의 위치를 변화시킬 수 있다. 이에 의해, 내부관(44) 내의 가스의 배기의 밸런스를 조정하여, 웨이퍼(W)의 표면에 공급되는 가스의 유속을 제어할 수 있다.
도 12는, 도 11의 가동 벽을 사용한 경우의 제1 개구부와 제2 개구부와의 위치 관계를 설명하기 위한 도면이다. 도 12의 (a) 및 도 12의 (b)는, 가동 벽(100A)을 이동(승강)시킴으로써, 제1 개구부(52A)에 대한 제2 개구부(102A)의 위치를 변화시켰을 때의 공통 개구부(CA)의 위치의 변화를 나타내고 있다.
도 12의 (a) 및 도 12의 (b)에 도시된 바와 같이, 가동 벽(100A)을 승강시킴으로써 공통 개구부(CA)의 위치를 변화시킬 수 있다.
도 12의 (a)에 도시된 바와 같이, 제1 개구부(52A) 중 가장 상방에 위치하는 사각 형상의 개구부의 상단과 제2 개구부(102A) 중 가장 상방에 위치하는 사각 형상의 개구부의 상단이 일치하도록 가동 벽(100A)을 상측 방향으로 이동시키면, 제2 간격(L23)이 제1 간격(L13)보다도 작으므로, 제1 개구부(52A)의 상방측에서는, 제1 개구부(52A)와 제2 개구부(102A)가 겹치는 면적이 크고, 제1 개구부(52A)의 하방측에서는, 제1 개구부(52A)와 제2 개구부(102A)가 겹치는 면적이 작아진다. 이에 의해, 내부관(44A) 내의 가스는, 제1 개구부(52A)의 하방측보다도 제1 개구부(52A)의 상방측으로부터 배기되기 쉬워진다.
도 12의 (b)에 도시된 바와 같이, 제1 개구부(52A) 중 가장 하방에 위치하는 사각 형상의 개구부의 하단과 제2 개구부(102A) 중 가장 하방에 위치하는 사각 형상의 개구부의 하단이 일치하도록 가동 벽(100A)을 하측 방향으로 이동시키면, 제2 간격(L23)이 제1 간격(L13)보다도 작으므로, 제1 개구부(52A)의 하방측에서는, 제1 개구부(52A)와 제2 개구부(102A)가 겹치는 면적이 크고, 제1 개구부(52A)의 상방측에서는, 제1 개구부(52A)와 제2 개구부(102A)가 겹치는 면적이 작아진다. 이에 의해, 내부관(44A) 내의 가스는, 제1 개구부(52A)의 상방측보다도 제1 개구부(52A)의 하방측으로부터 배기되기 쉬워진다.
이와 같이, 가동 벽(100A)을 승강시킴으로써 공통 개구부(CA)의 위치를 변화시킬 수 있다. 이에 의해, 프로세스 조건이나 처리 매수의 변화에 따라서 가동 벽(100A)의 위치를 이동시킴으로써, 프로세스 조건이나 처리 매수에 따라서 배기의 밸런스를 조정할 수 있다. 그 결과, 원하는 면간 균일성을 얻을 수 있다.
〔제3 실시 형태〕
본 발명의 제3 실시 형태에 따른 기판 처리 장치에 대해서 설명한다. 도 13은, 제3 실시 형태에 따른 기판 처리 장치의 가동 벽의 일례를 설명하기 위한 도면이다.
제3 실시 형태에 따른 기판 처리 장치는, 상술한 제1 실시 형태에 관한 기판 처리 장치(1)와는 상이한 가동 벽(100B)을 갖는다. 또한, 그 밖의 점에 대해서는, 제1 실시 형태에 관한 기판 처리 장치(1)와 마찬가지의 구성을 갖고 있으므로, 제1 실시 형태에 관한 기판 처리 장치(1)와 마찬가지의 구성에 대해서는 설명을 생략하고, 상이한 구성에 대해서 설명한다.
가동 벽(100B)은, 도 13에 도시된 바와 같이, 반원통 형상을 갖고, 제2 개구부(102B1, 102B2)가 형성되어 있다.
제2 개구부(102B1, 102B2)는, 내부관(44) 내의 가스를 배기할 수 있도록 형성된 가스 배기구이다. 제2 개구부(102B1, 102B2)는, 제1 개구부(52)와 상이한 형상으로 형성되어 있다. 또한, 제2 개구부(102B1, 102B2)는, 서로 다른 형상을 갖고, 예를 들어 평행하지 않은 두 변이 이루는 각도가 상이한 평행사변형 형상으로 형성되어 있다. 도 13에서는, 제2 개구부(102B1)의 형상이 상술한 제2 개구부(102)와 마찬가지의 형상인 경우를 나타내고 있다. 또한, 제2 개구부(102B1, 102B2)의 형상은, 이것에 한정되는 것은 아니며, 다양한 형상으로 할 수 있다.
제1 개구부(52)와 제2 개구부(102B1, 102B2)와의 위치 관계에 대해서 설명한다.
제1 개구부(52)와 제2 개구부(102B1)와의 위치 관계에 대해서는, 제1 실시 형태와 마찬가지이므로 설명을 생략한다.
도 14는, 도 13의 가동 벽(100B)을 사용한 경우의 제1 개구부(52)와 제2 개구부(102B2)와의 위치 관계를 설명하기 위한 도면이다. 도 14의 (a) 내지 도 14의 (f)는, 가동 벽(100B)을 이동(회전)시킴으로써 제1 개구부(52)에 대한 제2 개구부(102B2)의 위치를 변화시켰을 때의 공통 개구부(CA)의 형상의 변화를 나타내고 있다.
도 14의 (a) 내지 도 14의 (f)에 도시된 바와 같이, 가동 벽(100B)을 회전시킴으로써, 공통 개구부(CA)의 형상을 변화시킬 수 있다.
도 14의 (a)에서는, 제1 개구부(52)와 제2 개구부(102B2)가 전혀 겹쳐 있지 않아, 공통 개구부(CA)의 개구 면적은 0이다. 이에 의해, 내부관(44) 내의 가스는 배기되지 않거나, 또는 거의 배기되지 않는다.
도 14의 (b)에서는, 제1 개구부(52)의 상방측에 있어서, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있다. 이에 반해, 제1 개구부(52)의 하방측에서는, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있지 않다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 하방측으로부터 배기되지 않고, 제1 개구부(52)의 상방측으로부터 선택적으로 배기된다.
도 14의 (c)에서는, 제1 개구부(52)의 상방측 및 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있고, 그 겹쳐 있는 폭은, 제1 개구부(52)의 하방측보다도 상방측이 더 넓다. 또한, 제1 개구부(52)의 상방측으로부터 중앙부까지의 높이에서는, 제1 개구부(52)와 제2 개구부(102B2)가 완전히 겹쳐 있다. 즉, 제1 개구부(52)의 중앙부보다도 상방측에서는 공통 개구부(CA)가 I형의 형상으로 되어 있고, 제1 개구부(52)의 중앙부보다도 하방측에서는 공통 개구부(CA)가 V형의 형상으로 되어 있다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 하방측보다도 제1 개구부(52)의 상방측으로부터 배기되기 쉬워진다.
도 14의 (d)에서는, 제2 개구부(102B2)가 제1 개구부(52)에 거의 완전히 겹쳐 있다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 전체로부터 배기된다.
도 14의 (e)에서는, 제1 개구부(52)의 상방측 및 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있고, 그 겹쳐 있는 폭은, 제1 개구부(52)의 상방측보다도 하방측이 더 넓다. 또한, 제1 개구부(52)의 하방측으로부터 중앙부까지의 높이에서는, 제1 개구부(52)와 제2 개구부(102B2)가 완전히 겹쳐 있다. 즉, 제1 개구부(52)의 중앙부보다도 상방측에서는 공통 개구부(CA)가 V형의 형상으로 되어 있고, 제1 개구부(52)의 중앙부보다도 하방측에서는 공통 개구부(CA)가 I형의 형상으로 되어 있다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 상방측보다도 제1 개구부(52)의 하방측으로부터 배기되기 쉬워진다.
도 14의 (f)에서는, 제1 개구부(52)의 하방측에 있어서, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있다. 이에 반해, 제1 개구부(52)의 상방측에서는, 제1 개구부(52)와 제2 개구부(102B2)가 겹쳐 있지 않다. 이에 의해, 내부관(44) 내의 가스는, 제1 개구부(52)의 상방측으로부터 배기되지 않고, 제1 개구부(52)의 하방측으로부터 선택적으로 배기된다.
이와 같이, 제3 실시 형태에 따른 기판 처리 장치에서는, 가동 벽(100B)을 회전시킴으로써, 제2 개구부(102B1) 또는 제2 개구부(102B2)의 한쪽이 제1 개구부(52)와 겹치도록 할 수 있다. 이에 의해, 공통 개구부(CA)의 형상의 베리에이션을 증가시킬 수 있다. 이 때문에, 제1 실시 형태보다도 배기의 밸런스를 보다 미세하게 조정할 수 있다. 그 결과, 면간 균일성을 더욱 높은 정밀도로 제어할 수 있다.
또한, 제3 실시 형태에서는, 내부관(44)에 제1 개구부(52)가 1개 형성되어 있는 경우를 예로 들어 설명했지만, 제1 개구부(52)가 복수 형성되어 있어도 된다. 이 경우, 복수의 제1 개구부(52)의 각각의 형상은, 서로 다른 것인 것이 바람직하다. 이에 의해, 제1 개구부(52)와 제2 개구부(102B1, 102B2)와의 중첩에 의해 형성되는 공통 개구부(CA)의 형상의 베리에이션을 더욱 증가시킬 수 있다. 이 경우, 제2 개구부는 1개이어도 되고, 복수이어도 된다.
(실시예)
공통 개구부(CA)의 형상을 변화시켰을 때의, 웨이퍼(W)의 표면에 형성되는 막의 막 두께의 면간 균일성에 대해서 설명한다. 도 15는, 면간 균일성을 설명하기 위한 도면이다. 도 15의 (a)는 제1 개구부의 형상을 설명하기 위한 도면이다. 도 15의 (b)는 웨이퍼(W)의 위치와 웨이퍼(W)에 형성된 실리콘 산화막의 막 두께와의 관계를 나타내고 있다. 도 15의 (b)에서, 횡축은 웨이퍼(W)의 위치를 나타내고, 종축은 웨이퍼(W)의 표면에 형성된 실리콘 산화막의 막 두께(nm)를 나타내고 있다. 또한, 도 15의 (b) 중, 「TOP」는 처리 용기의 상방측의 위치를 나타내고, 「CTR」은 처리 용기의 중앙부의 위치를 나타내고, 「BTM」은 처리 용기의 하방측의 위치를 나타내고 있다. 또한, 도 15 중, 「T-C」는 「TOP」와 「CTR」과의 사이의 위치를 나타내고, 「C-B」는 「CTR」과 「BTM」과의 사이의 위치를 나타내고 있다.
도 15에서는, 일례로서, 원료 가스로서 헥사디클로로실란(HCD) 가스, 산화 가스로서 O3 가스를 사용해서 실리콘 산화막을 형성한 경우를 예로 들어 설명한다. 또한, 실시예에서는, 제1 개구부(52)의 형상을 변경함으로써, 공통 개구부(CA)의 형상을 변화시켰을 때의 상태를 의사적으로 형성하였다. 구체적으로는, 제1 개구부(52)의 형상을 V형(도 15의 (a) 중의 좌측에 나타냄), 또는 I형(도 15의 (a) 중의 우측에 나타냄)으로 변경하였다.
도 15의 (b)에 도시된 바와 같이, 제1 개구부(52)의 형상이 V형인 경우와 I형인 경우는, 웨이퍼(W)에 형성되는 실리콘 산화막의 막 두께의 면간 균일성이 크게 상이한 것을 알 수 있다. 구체적으로는, 제1 개구부(52)의 형상이 V형인 경우, 「BTM」의 위치에서의 웨이퍼(W)에 형성되는 실리콘 산화막의 막 두께가 얇게 되어 있다. 이것은, BTM측에 공급되는 HCD 가스가 내부관(44) 내에서 제1 개구부(52)의 개구 면적이 큰 TOP측으로 흐르기 때문이라고 생각된다. 이에 반해, 제1 개구부(52)의 형상이 I형인 경우, 「BTM」의 위치에서의 웨이퍼(W)에 형성되는 실리콘 산화막의 막 두께가, 제1 개구부(52)의 형상이 V형인 경우보다도 두꺼워져, 면간 균일성이 향상되어 있다. 이것은, 제1 개구부(52)의 형상이 V형인 경우와 비교하여, 「TOP」의 위치에서의 개구 폭이 좁으므로, BTM측에 공급되는 HCD 가스가 내부관(44) 내에서 TOP측으로 흐르지 않게 되기 때문이라고 생각된다.
이와 같이, 제1 개구부(52)의 형상을 변화시킴으로써, 웨이퍼(W)의 표면에 형성되는 막의 막 두께의 면간 균일성을 제어할 수 있으므로, 공통 개구부(CA)의 형상을 변화시킴으로써, 웨이퍼(W)의 표면에 형성되는 막의 막 두께의 면간 균일성을 제어할 수 있다고 생각된다.
이상, 본 발명을 실시하기 위한 형태에 대해서 설명했지만, 상기 내용은, 발명의 내용을 한정하는 것이 아니라, 본 발명의 범위 내에서 다양한 변형 및 개량이 가능하다.
상기 실시 형태에서는, 가동 벽(100)이 내부관(44)의 내 측벽을 따라 설치되어 있는 형태에 대해서 설명했지만, 이것에 한정되는 것이 아니라, 예를 들어 내부관(44)과 외부관(46)과의 사이에 설치되어 있어도 된다. 이 경우에는, 내부관(44)의 외측벽을 따라 가동 벽(100)을 설치하도록 한다.
또한, 상기 실시 형태에서는, 실리콘 산화막을 성막하는 경우를 예로 들어 설명했지만, 성막해야 할 막종에 관계없이 본 발명을 적용할 수 있다. 또한, 상기 실시 형태에서는, ALD법을 사용하는 경우를 예로 들어 설명했지만, 이것에 한정되는 것이 아니라, 예를 들어 CVD법을 사용하는 경우에도 본 발명을 적용할 수 있다.
또한, 상기 실시 형태에서는, 플라스마를 사용하지 않는 성막 처리에 대해서 설명했지만, 이것에 한정되는 것이 아니라, 플라스마를 사용한 성막 처리를 행하는 경우에도 본 발명을 적용할 수 있다. 이 경우에는, 예를 들어 노즐 수용부(48)를 구획하는 볼록부(50)의 구획벽의 외측에, 그 길이 방향을 따라서 플라스마 발생용의 고주파 전력을 인가하는 전력판을 설치해서 플라스마를 발생시키도록 한다.
또한, 상기 실시 형태에서는, 기판으로서 반도체 웨이퍼를 예로 들어 설명했지만, 반도체 웨이퍼에는 실리콘 기판이나 GaAs, SiC, GaN 등의 화합물 반도체 기판도 포함된다. 또한, 이들 기판에 한정되지 않고, 액정 표시 장치에 사용하는 유리 기판이나 세라믹 기판 등에도 본 발명을 적용할 수 있다.
1 : 기판 처리 장치 41 : 배기 수단
44 : 내부관 46 : 외부관
52 : 제1 개구부 76 : 가스 노즐
78 : 가스 노즐 80 : 가스 노즐
100 : 가동 벽 102 : 제2 개구부
104 : 회전축 106 : 모터
110 : 제어 수단 W : 웨이퍼

Claims (11)

  1. 복수매의 기판이 수용 가능하게 설치되고, 제1 개구부를 포함하는 내부관과,
    상기 내부관을 둘러싸는 외부관과,
    상기 내부관의 내부 또는 상기 내부관과 상기 외부관과의 사이에 이동 가능하게 설치되고, 제2 개구부를 포함하는 가동 벽과,
    상기 기판에 처리 가스를 공급하는 가스 공급 수단과,
    상기 가동 벽보다도 외측의 위치에 설치되고, 상기 기판에 공급되는 상기 처리 가스를 배기하는 배기 수단,
    을 포함하는, 기판 처리 장치.
  2. 제1항에 있어서,
    상기 배기 수단은, 상기 제1 개구부 및 상기 제2 개구부를 통해서 상기 기판에 공급되는 상기 처리 가스를 배기하는, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 가동 벽은, 상기 내부관의 측벽을 따라 설치되어 있는, 기판 처리 장치.
  4. 제3항에 있어서,
    상기 가동 벽은, 반원통 형상을 갖는, 기판 처리 장치.
  5. 제3항에 있어서,
    상기 가동 벽은, 원통 형상을 갖는, 기판 처리 장치.
  6. 제1항에 있어서,
    상기 제2 개구부는, 상기 제1 개구부와는 상이한 형상을 갖는, 기판 처리 장치.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 가동 벽을 이동시키는 구동 기구와,
    상기 구동 기구의 동작을 제어하는 제어 수단,
    을 더 포함하고,
    상기 제어 수단은, 상기 제1 개구부 및 상기 제2 개구부가 겹침으로써 형성되는 개구부의 형상을 변화시키도록 상기 구동 기구의 동작을 제어하는, 기판 처리 장치.
  8. 제7항에 있어서,
    상기 구동 기구는, 상기 가동 벽을 상기 내부관의 둘레 방향을 따라 이동시키는, 기판 처리 장치.
  9. 제8항에 있어서,
    상기 제1 개구부는, 사각 형상을 갖고,
    상기 제2 개구부는, 평행사변형 형상을 갖는, 기판 처리 장치.
  10. 제7항에 있어서,
    상기 구동 기구는, 상기 가동 벽을 상기 내부관의 상하 방향을 따라서 이동시키는, 기판 처리 장치.
  11. 제10항에 있어서,
    상기 제1 개구부는, 상기 내부관의 길이 방향을 따라서 제1 간격을 두고 형성되는 복수의 개구부를 포함하고,
    상기 제2 개구부는, 상기 내부관의 길이 방향을 따라서 제2 간격을 두고 형성되는 복수의 개구부를 포함하고,
    상기 제1 간격과 상기 제2 간격이 상이한, 기판 처리 장치.
KR1020170115990A 2016-09-13 2017-09-11 기판 처리 장치 KR102174107B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-178929 2016-09-13
JP2016178929A JP6710130B2 (ja) 2016-09-13 2016-09-13 基板処理装置

Publications (2)

Publication Number Publication Date
KR20180029915A true KR20180029915A (ko) 2018-03-21
KR102174107B1 KR102174107B1 (ko) 2020-11-04

Family

ID=61560304

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170115990A KR102174107B1 (ko) 2016-09-13 2017-09-11 기판 처리 장치

Country Status (5)

Country Link
US (1) US10475641B2 (ko)
JP (1) JP6710130B2 (ko)
KR (1) KR102174107B1 (ko)
CN (2) CN107815667B (ko)
TW (1) TWI697955B (ko)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10875061B2 (en) * 2016-08-10 2020-12-29 Pat Technology Systems Inc. Fume extraction apparatus with movable extraction aperture
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI616555B (zh) * 2017-01-17 2018-03-01 漢民科技股份有限公司 應用於半導體設備之噴氣裝置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6867496B2 (ja) * 2017-08-25 2021-04-28 株式会社Kokusai Electric 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP7126425B2 (ja) * 2018-10-16 2022-08-26 東京エレクトロン株式会社 基板処理装置、基板の搬入方法及び基板処理方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047594A (ja) * 2020-09-14 2022-03-25 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275533A (ja) * 1993-03-18 1994-09-30 Fujitsu Ltd 縦型cvd装置
JP2841583B2 (ja) * 1989-11-28 1998-12-24 富士通株式会社 気相成長装置
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR20150110419A (ko) * 2014-03-24 2015-10-02 가부시키가이샤 히다치 고쿠사이 덴키 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
JP2016021548A (ja) * 2014-06-19 2016-02-04 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09330884A (ja) * 1996-06-07 1997-12-22 Sony Corp エピタキシャル成長装置
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP5043776B2 (ja) * 2008-08-08 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2010258265A (ja) * 2009-04-27 2010-11-11 Koyo Thermo System Kk 熱処理装置
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
CN103094159B (zh) * 2011-10-31 2016-02-24 细美事有限公司 基板处理设备及基板处理方法
JP6275533B2 (ja) * 2014-04-11 2018-02-07 株式会社フルヤ金属 ガラス溶解用スターラー及びガラス溶解炉

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2841583B2 (ja) * 1989-11-28 1998-12-24 富士通株式会社 気相成長装置
JPH06275533A (ja) * 1993-03-18 1994-09-30 Fujitsu Ltd 縦型cvd装置
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR20150110419A (ko) * 2014-03-24 2015-10-02 가부시키가이샤 히다치 고쿠사이 덴키 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
JP2016021548A (ja) * 2014-06-19 2016-02-04 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
CN107815667A (zh) 2018-03-20
CN112962084A (zh) 2021-06-15
TW201820470A (zh) 2018-06-01
US10475641B2 (en) 2019-11-12
CN107815667B (zh) 2021-03-16
JP2018046114A (ja) 2018-03-22
KR102174107B1 (ko) 2020-11-04
US20180076021A1 (en) 2018-03-15
TWI697955B (zh) 2020-07-01
CN112962084B (zh) 2023-06-02
JP6710130B2 (ja) 2020-06-17

Similar Documents

Publication Publication Date Title
KR102174107B1 (ko) 기판 처리 장치
JP5141607B2 (ja) 成膜装置
JP5056735B2 (ja) 成膜装置
KR102614522B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
JP5589878B2 (ja) 成膜装置
JP5565242B2 (ja) 縦型熱処理装置
US11047044B2 (en) Film forming apparatus and film forming method
JP5093078B2 (ja) 成膜装置
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
US20140087567A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR20180064983A (ko) 클리닝 방법
JP2012222024A (ja) 基板処理装置及び半導体装置の製造方法
KR101917414B1 (ko) 노즐 및 이를 사용한 기판 처리 장치
KR102205380B1 (ko) 기판 처리 장치
TWI731226B (zh) 基板處理裝置
KR101772775B1 (ko) 기판 처리 장치
WO2013088680A1 (ja) 成膜装置
JP2014212331A (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant