CN107815667A - 基板处理装置 - Google Patents

基板处理装置 Download PDF

Info

Publication number
CN107815667A
CN107815667A CN201710820931.XA CN201710820931A CN107815667A CN 107815667 A CN107815667 A CN 107815667A CN 201710820931 A CN201710820931 A CN 201710820931A CN 107815667 A CN107815667 A CN 107815667A
Authority
CN
China
Prior art keywords
opening portion
inner tube
board treatment
gas
movable wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710820931.XA
Other languages
English (en)
Other versions
CN107815667B (zh
Inventor
福岛讲平
似鸟弘弥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN202110135975.5A priority Critical patent/CN112962084B/zh
Publication of CN107815667A publication Critical patent/CN107815667A/zh
Application granted granted Critical
Publication of CN107815667B publication Critical patent/CN107815667B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种能够控制面间均匀性的基板处理装置。一实施方式的基板处理装置具有:内管,其收容多张基板;外管,其包围所述内管;可动壁,其设置成能够在所述内管的内部或所述内管与所述外管之间移动;气体供给部件,其向所述基板供给处理气体;以及排气部件,其对向所述基板供给的所述处理气体进行排气,在所述内管的侧壁形成有第1开口部,在所述可动壁形成有第2开口部,所述排气部件经由所述第1开口部和所述第2开口部对向所述基板供给的所述处理气体进行排气。

Description

基板处理装置
技术领域
本发明涉及基板处理装置。
背景技术
公知有一种批量式的基板处理装置,其中,在将多张基板呈多层保持到基板保持器具的状态下,能够对多张基板进行成膜处理等。
作为批量式的基板处理装置,公知有一种装置,该装置具有:内管,其收容基板;外管,其包围内管;气体排气口,其设置于内管的侧壁;以及排气单元,其对被内管和外管夹着的空间进行排气(参照例如专利文献1)。在该装置中,通过使气体排气口的开口宽度随着靠近排气单元而逐渐变窄,来对排气的平衡进行调整,使向基板的表面供给的气体的流速在基板间均匀化。
现有技术文献
专利文献
专利文献1:日本特许第5284182号公报
发明内容
发明要解决的问题
然而,在上述的技术中,气体排气口的开口形状按照各基板处理装置决定,因此,存在由于工艺条件、处理张数的变化而无法获得所期望的面间均匀性的情况。其原因在于,无法按照工艺条件、处理张数调整排气的平衡。
因此,在本发明的一形态中,目的在于提供一种能够控制面间均匀性的基板处理装置。
用于解决问题的方案
为了达成上述目的,本发明的一形态的基板处理装置具有:内管,其收容多张基板;外管,其包围所述内管;可动壁,其设置成能够在所述内管的内部或所述内管与所述外管之间移动;气体供给部件,其向所述基板供给处理气体;以及排气部件,其对向所述基板供给的所述处理气体进行排气,在所述内管的侧壁形成有第1开口部,在所述可动壁形成有第2开口部,所述排气部件经由所述第1开口部和所述第2开口部对向所述基板供给的所述处理气体进行排气。
发明的效果
根据公开的基板处理装置,能够控制面间均匀性。
附图说明
图1是第1实施方式的基板处理装置的概略图。
图2是用于说明图1的基板处理装置的处理容器的横剖视图。
图3是用于说明图1的基板处理装置的内管的一个例子的立体图。
图4是用于说明图1的基板处理装置的可动壁的一个例子的立体图。
图5是用于说明通用开口部的图。
图6是用于说明使用了图4的可动壁的情况的第1开口部与第2开口部之间的位置关系的图。
图7是用于说明可动壁的旋转机构的一个例子的纵剖视图。
图8是用于说明第1实施方式的基板处理方法的图。
图9是第2实施方式的基板处理装置的概略图。
图10是用于说明图9的基板处理装置的内管的一个例子的立体图。
图11是用于说明图9的基板处理装置的可动壁的一个例子的图。
图12是用于说明使用了图11的可动壁的情况的第1开口部与第2开口部之间的位置关系的图。
图13是用于说明第3实施方式的基板处理装置的可动壁的一个例子的图。
图14是用于说明使用了图13的可动壁的情况的第1开口部与第2开口部之间的位置关系的图。
图15是用于说明面间均匀性的图。
附图标记说明
1、基板处理装置;41、排气部件;44、内管;46、外管;52、第1开口部;76、气体喷嘴;78、气体喷嘴;80、气体喷嘴;100、可动壁;102、第2开口部;104、旋转轴;106、电动机;110、控制部件;W、晶圆。
具体实施方式
以下,参照附图对用于实施本发明的形态进行说明。此外,在本说明书和附图中,对实质上相同的结构标注相同的附图标记,省略重复的说明。
〔第1实施方式〕
(基板处理装置)
对本发明的第1实施方式的基板处理装置进行说明。图1是第1实施方式的基板处理装置的概略图。图2是用于说明图1的基板处理装置的处理容器的横剖视图。图3是用于说明图1的基板处理装置的内管的一个例子的立体图。图4是用于说明图1的基板处理装置的可动壁的一个例子的立体图。
如图1所示,基板处理装置1具有:处理容器34,其收容作为基板的半导体晶圆(以下称为“晶圆W”。);盖部36,其气密地堵塞在处理容器34的下端的开口部侧;基板保持器具38,其以预定的间隔保持多张晶圆W而相对于处理容器34内插入脱离;气体供给部件40,其向处理容器34内导入预定的气体;排气部件41,其对处理容器34内的气体进行排气;以及加热部件42,其对晶圆W进行加热。
处理容器34具有:有顶的圆筒形状的内管44,其下端部开放;以及有顶的圆筒形状的外管46,其下端部开放,且覆盖内管44的外侧。内管44和外管46由石英等耐热性材料形成,并呈同轴状配置而成为两层管构造。
内管44的顶部例如平坦。在内管44的一侧沿着其长度方向(上下方向)形成有收容气体喷嘴的喷嘴收容部48。在第1实施方式中,如图2所示,使内管44的侧壁的一部分朝向外侧突出而形成凸部50,凸部50内形成为喷嘴收容部48。
另外,如图3所示,在内管44的与喷嘴收容部48相对而位于相反侧的侧壁,沿着其长度方向(上下方向)形成有宽度L1的矩形形状的第1开口部52。
第1开口部52是形成为能够对内管44内的气体进行排气的气体排气口。第1开口部52的长度形成得与基板保持器具38的长度相同,或比基板保持器具38的长度长且沿着上下方向分别延伸。即、第1开口部52的上端向与基板保持器具38的上端相对应的位置以上的高度延伸而定位,第1开口部52的下端向与基板保持器具38的下端相对应的位置以下的高度延伸而定位。具体而言,如图1所示,基板保持器具38的上端与第1开口部52的上端之间的高度方向上的距离L2处于0mm~5mm程度的范围内。另外,基板保持器具38的下端与第1开口部52的下端之间的高度方向上的距离L3处于0mm~350mm程度的范围内。另外,第1开口部52的宽度L1处于10mm~400mm程度的范围内,优选处于40mm~200mm程度的范围内。另外,第1开口部52的4个角部中的两个角部被倒角。
处理容器34的下端被由例如不锈钢形成的圆筒形状的歧管54支承。在歧管54的上端部形成有凸缘部56,将外管46的下端部设置并支承于凸缘部56上。使O形密封圈等密封构件58介于凸缘部56与外管46的下端部之间,使外管46内成为气密状态。
在歧管54的上部的内壁设置有环状的支承部60,将内管44的下端部设置于支承部60上而将其支承。盖部36夹着O形密封圈等密封构件62气密地安装于歧管54的下端的开口部,将处理容器34的下端的开口部侧、即、歧管54的开口部气密地堵塞。盖部36由例如不锈钢形成。
旋转轴66隔着磁性流体密封部64贯穿设置于盖部36的中央部。旋转轴66的下部旋转自由地支承于由舟皿升降机构成的升降部件68的臂68A,利用电动机69(参照图7)进行旋转。
在旋转轴66的上端设置有旋转板70,在旋转板70上隔着石英制的保温台72载置用于保持晶圆W的基板保持器具38。因而,通过使升降部件68升降,盖部36和基板保持器具38一体地上下移动,能够使基板保持器具38相对于处理容器34内插入脱离。
气体供给部件40设置于歧管54,向内管44内导入处理气体、吹扫气体等气体。气体供给部件40具有多根(例如3根)石英制的气体喷嘴76、78、80。各气体喷嘴76、78、80在内管44内沿着其长度方向设置,并且其基端部弯曲成L字状而以贯穿歧管54的方式被支承。
如图2所示,气体喷嘴76、78、80以在内管44的喷嘴收容部48内沿着周向成为一列的方式设置。在各气体喷嘴76、78、80,沿着其长度方向以预定的间隔形成有多个气孔76A、78A、80A,能够利用各气孔76A、78A、80A朝向水平方向释放各气体。预定的间隔设定成与支承于例如基板保持器具38的晶圆W的间隔相同。另外,高度方向的位置设定成各气孔76A、78A、80A位于在上下方向上相邻的晶圆W间的中间,能够将各气体向晶圆W间的空间部高效地供给。
作为气体的种类,使用原料气体、氧化气体和吹扫气体,能够一边对各气体进行流量控制一边根据需要经由各气体喷嘴76、78、80供给各气体。使用含硅气体作为原料气体,使用臭氧(O3)气体作为氧化气体,使用氮(N2)气体作为吹扫气体,能够利用原子层堆积(ALD:Atomic Layer Deposition)法形成氧化硅膜。此外,所使用的气体的种类能够根据要成膜的膜的种类适当选择。
另外,在歧管54的上部的侧壁且在支承部60的上方形成有气体出口82,能够对经由内管44与外管46之间的空间部84从第1开口部52排出的内管44内的气体进行排气。在气体出口82设置有排气部件41。排气部件41具有与气体出口82连接的排气通路86,在排气通路86上依次夹设有压力调整阀88和真空泵90,能够对处理容器34内进行抽真空。第1开口部52的宽度L1设定成10mm~400mm的范围内的大小,能够有效地对内管44内的气体进行排气。
在外管46的外周侧以覆盖外管46的方式设置有圆筒形状的加热部件42,对晶圆W进行加热。
另外,在内管44的内部沿着内管44的内侧壁设置有可动壁100。如图4所示,可动壁100具有半圆筒形状,在其侧壁形成有第2开口部102。
第2开口部102是形成为能够对内管44内的气体进行排气的气体排气口。例如,如图4所示,第2开口部102是与第1开口部52不同的形状,形成为平行四边形状。例如,如图1所示,第2开口部102的上端向与第1开口部52的上端相对应的位置的高度延伸而被定位。例如,如图1所示,第2开口部102的下端向与第1开口部52的下端相对应的位置的高度延伸而被定位。
旋转轴104借助磁性流体密封部64贯穿设置于可动壁100。旋转轴104构成为利用电动机106(参照图7)相对于旋转轴66可独立地移动(可旋转)。通过使旋转轴104旋转而使可动壁100旋转,能够使第2开口部102相对于第1开口部52的位置变化。由此,能够使第1开口部52与第2开口部102重叠的区域(以下称为“通用开口部CA”。)的形状变化。其结果,对内管44内的气体的排气的平衡进行调整,能够对向晶圆W的表面供给的气体的流速进行控制。
返回图1,如此形成的基板处理装置1的整体的动作被由例如计算机等构成的控制部件110控制,进行该动作的计算机的程序存储于存储介质112。存储介质112由例如软盘、光盘、硬盘、闪存、DVD等构成。
图5是用于说明通用开口部的图。图5的(a)是用于说明第2开口部的一部分与第1开口部重叠的情况的通用开口部的图。图5的(b)是图5的(a)中的以“TOP”所示的位置处的处理容器的横剖视图。图5的(c)是图5的(a)中的以“CTR”所示的位置处的处理容器的横剖视图。图5的(d)是图5的(a)中的以“BTM”所示的位置处的处理容器的横剖视图。此外,“TOP”表示处理容器的上方侧的位置,“CTR”表示处理容器的中央部的位置,“BTM”表示处理容器的下方侧的位置。
如图5的(a)所示,在以第2开口部102的一部分与第1开口部52重叠的方式定位可动壁100的情况下,通用开口部CA的开口宽度从TOP侧朝向BTM侧变窄。
具体而言,在“TOP”的位置,如图5的(b)所示,第2开口部102与第1开口部52完全重叠。因此,通用开口部CA的开口宽度成为第1开口部52的宽度。另外,在“CTR”的位置,如图5的(c)所示,第2开口部102的一部分与第1开口部52重叠。因此,通用开口部CA的开口宽度成为第1开口部52和第2开口部102重叠的部分的宽度,比“TOP”的位置处的通用开口部CA的开口宽度窄。另外,在“BTM”的位置,如图5的(d)所示,第2开口部102的极小一部分与第1开口部52重叠。因此,通用开口部CA的开口宽度成为第1开口部52和第2开口部102重叠的部分的宽度,比“CTR”的位置处的通用开口部CA的开口宽度窄。如此,通用开口部CA的开口宽度从TOP侧朝向BTM侧变窄。
图6是用于说明使用了图4的可动壁的情况的第1开口部与第2开口部之间的位置关系的图。图6的(a)~图6的(f)表示通过使可动壁100移动(旋转)来使第2开口部102相对于第1开口部52的位置变化时的通用开口部CA的形状的变化。
如图6的(a)~图6的(f)所示,通过使可动壁100旋转,能够使通用开口部CA的形状变化。
在图6的(a)中,第1开口部52和第2开口部102完全没有重叠,通用开口部CA的开口面积是0。由此,内管44内的气体没有进行排气、或几乎没有进行排气。
在图6的(b)中,在第1开口部52的上方侧,第1开口部52与第2开口部102重叠。与此相对,在第1开口部52的下方侧,第1开口部52与第2开口部102没有重叠。由此,内管44内的气体没有从第1开口部52的下方侧进行排气,从第1开口部52的上方侧选择性地进行排气。
在图6的(c)中,在第1开口部52的上方侧和下方侧,第1开口部52和第2开口部102重叠,对于其重叠宽度,在第1开口部52的上方侧比在第1开口部52的下方侧宽。由此,与第1开口部52的下方侧相比,内管44内的气体易于从第1开口部52的上方侧进行排气。
在图6的(d)中,第2开口部102与第1开口部52完全重叠。由此,内管44内的气体从第1开口部52的整体进行排气。
在图6的(e)中,在第1开口部52的上方侧和下方侧,第1开口部52与第2开口部102重叠,对于其重叠宽度,在第1开口部52的下方侧比在第1开口部52的上方侧宽。由此,与第1开口部52的上方侧相比,内管44内的气体易于从第1开口部52的下方侧进行排气。
在图6的(f)中,在第1开口部52的下方侧,第1开口部52和第2开口部102重叠。与此相对,在第1开口部52的上方侧,第1开口部52和第2开口部102没有重叠。由此,内管44内的气体没有从第1开口部52的上方侧进行排气,从第1开口部52的下方侧选择性地进行排气。
通过如此使可动壁100旋转,能够使通用开口部CA的形状变化。由此,根据工艺条件、处理张数的变化使可动壁100的位置移动,从而能够根据工艺条件、处理张数对排气的平衡进行调整。其结果,能够获得所期望的面间均匀性。
图7是用于说明可动壁的旋转机构的一个例子的纵剖视图。
如图7所示,旋转板70和可动壁100构成为能够利用设置于盖部36的两层轴的磁性流体密封部64独立地旋转。具体而言,旋转板70借助旋转轴66与电动机69连接,利用电动机69对旋转轴66的旋转速度和旋转角度进行调整,以预定的旋转速度旋转预定的旋转角度。可动壁100借助旋转轴104与电动机106连接,利用电动机106对旋转轴104的旋转角度和旋转速度进行调整,以预定的旋转速度旋转预定的旋转角度。
另外,在旋转轴66与旋转轴104之间的间隙、以及旋转轴66与盖部36之间的间隙设置有吹扫气体喷嘴108,能够供给N2气体等吹扫气体。由此,能够防止由于在处理容器34内进行基板处理而生成的物质附着于磁性流体密封部。
(基板处理方法)
基于图8对使用了上述的基板处理装置1的基板处理方法的一个例子进行说明。图8是用于说明第1实施方式的基板处理方法的图。
在第1实施方式中,列举使用基板处理装置1并利用ALD法在晶圆W的表面形成氧化硅膜的情况为例进行说明。在该情况下,通过交替地供给作为原料气体的含硅气体和作为氧化气体的O3气体,在晶圆W的表面形成氧化硅膜。另外,在切换含硅气体和O3气体之际,供给作为吹扫气体的N2气体,对处理容器34内进行吹扫。
首先,在预先设定成预定的温度的处理容器34内,从其下方使载置有例如50张~150张晶圆W的状态的基板保持器具38上升而装载于该处理容器34内。接下来,利用盖部36将歧管54的下端的开口部封闭,从而将处理容器34内密闭。
接着,对处理容器34内进行抽真空并维持于预定的工艺压力,并且增大向加热部件42的供给电力,从而使晶圆W的温度上升并维持在工艺温度。
接着,从气体喷嘴76向处理容器34内供给含硅气体,使含硅气体吸附于晶圆W的表面(步骤S1)。此时,使可动壁100移动,以使例如通用开口部CA的开口面积成为0,即、第1开口部52与第2开口部102不重叠。因此,内管44内的气体没有进行排气、或、几乎没有进行排气。由此,从气体喷嘴76供给的含硅气体的流速变小,能够提高含硅气体的活性度。
接着,停止来自气体喷嘴76的含硅气体的供给,从气体喷嘴80向处理容器34内供给N2气体,对处理容器34进行吹扫(步骤S2)。此时,使可动壁100移动,以使例如通用开口部CA的开口面积成为最大,即、第1开口部52和第2开口部102重叠的区域成为最大。由此,能够提高吹扫效率,能够缩短对处理容器34内进行吹扫所需要的时间。
接着,停止来自气体喷嘴80的N2气体的供给,从气体喷嘴78向处理容器34内供给O3气体,使吸附到晶圆W的表面的含硅气体氧化(步骤S3)。此时,使可动壁100移动,以使得与例如在第1开口部52的下方侧相比,在第1开口部52的上方侧,第1开口部52与第2开口部102重叠的区域较大。由此,与作为距气体出口82较近的位置的第1开口部52的下方侧相比,内管44内的气体易于从作为距气体出口82较远的位置的第1开口部52的上方侧进行排气。因此,能够使向晶圆W的表面供给的气体的流速在晶圆W间均匀化。
接着,停止来自气体喷嘴78的O3气体的供给,从气体喷嘴80向处理容器34内供给N2气体,对处理容器34进行吹扫(步骤S4)。此时,使可动壁100移动,以使例如通用开口部CA的开口面积最大,即、第1开口部52和第2开口部102重叠的区域最大。由此,能够提高吹扫效率,能够缩短对处理容器34内进行吹扫所需要的时间。
通过反复进行以上的步骤S1~步骤S4预定的次数,能够在晶圆W的表面形成具有所期望的膜厚的氧化硅膜。
在第1实施方式的基板处理方法中,根据工艺条件使可动壁100移动,从而对内管44内的气体的排气的平衡进行调整,能够对向晶圆W的表面供给的气体的流速进行控制。其结果,能够获得所期望的面间均匀性。
此外,在第1实施方式的基板处理方法中,以每次对向处理容器34供给的气体进行切换都使可动壁100移动的情况为例来进行了说明,但使可动壁100移动的时刻并不限定于此。
〔第2实施方式〕
对本发明的第2实施方式的基板处理装置进行说明。图9是第2实施方式的基板处理装置的概略图。图10是用于说明图9的基板处理装置的内管的一个例子的立体图。图11是用于说明图9的基板处理装置的可动壁的一个例子的图。
第2实施方式的基板处理装置1A具有与前述的第1实施方式的基板处理装置1不同的内管44A和可动壁100A。此外,针对其他的点,具有与第1实施方式的基板处理装置1同样的结构,因此,对与第1实施方式的基板处理装置1同样的结构,省略说明,对不同的结构进行说明。
如图10所示,在内管44A上,宽度L11、长度L12的矩形形状的开口部沿着内管44A的长度方向(上下方向)隔开第1间隔L13设置有多个,从而形成有第1开口部52A。
第1开口部52A是形成为能够对内管44内的气体进行排气的气体排气口。位于第1开口部52A中的最上方的矩形形状的开口部的上端向与基板保持器具38的上端相对应的位置以上的高度延伸而被定位。另外,位于第1开口部52A中的最下方的矩形形状的开口部的下端向与基板保持器具38的下端相对应的位置以下的高度延伸而被定位。具体而言,如图9所示,基板保持器具38的上端与第1开口部52A中的位于最上方的矩形形状的开口部的上端之间的高度方向上的距离L2处于0mm~5mm程度的范围内。另外,基板保持器具38的下端与第1开口部52A中的位于最下方的矩形形状的开口部的下端之间的高度方向上的距离L3处于0mm~350mm程度的范围内。
另外,在内管44A的内部,沿着内管44A的内侧壁设置有可动壁100A。如图11所示,可动壁100A具有圆筒形状,在其侧壁形成有第2开口部102A。
第2开口部102A是形成为能够对内管44A内的气体进行排气的气体排气口。例如,如图11所示,通过将宽度L21、长度L22的矩形形状的开口部沿着内管44A的长度方向(上下方向)隔开第2间隔L23地设置有多个,从而形成有第2开口部102A。第2开口部102A的第2间隔L23形成得比第1间隔L13小。
在可动壁100A连接有未图示的升降机构,可动壁100A构成为利用升降机构能够进行升降(能够移动)。通过使升降机构动作而使可动壁100A升降,能够使第2开口部102相对于第1开口部52的位置变化。即、能够使通用开口部CA的位置变化。由此,能够对内管44内的气体的排气的平衡进行调整,对向晶圆W的表面供给的气体的流速进行控制。
图12是用于说明使用了图11的可动壁的情况的第1开口部与第2开口部之间的位置关系的图。图12的(a)和图12的(b)表示通过使可动壁100A移动(升降)、使第2开口部102A相对于第1开口部52A的位置变化时的通用开口部CA的位置的变化。
如图12的(a)和图12的(b)所示,通过使可动壁100A升降,能够使通用开口部CA的位置变化。
如图12的(a)所示,若使可动壁100A向上方向移动,以使第1开口部52A中的位于最上方的矩形形状的开口部的上端与第2开口部102A中的位于最上方的矩形形状的开口部的上端一致,则由于第2间隔L23比第1间隔L13小,因此,在第1开口部52A的上方侧,第1开口部52A和第2开口部102A重叠的面积较大,在第1开口部52A的下方侧,第1开口部52A与第2开口部102A重叠的面积变小。由此,与第1开口部52A的下方侧相比,内管44A内的气体易于从第1开口部52A的上方侧进行排气。
如图12的(b)所示,若可动壁100A向下方向移动,以使第1开口部52A中的位于最下方的矩形形状的开口部的下端与第2开口部102A中的位于最下方的矩形形状的开口部的下端一致,则由于第2间隔L23比第1间隔L13小,因此,在第1开口部52A的下方侧,第1开口部52A和第2开口部102A重叠的面积较大,在第1开口部52A的上方侧,第1开口部52A和第2开口部102A重叠的面积变小。由此,与第1开口部52A的上方侧相比,内管44A内的气体易于从第1开口部52A的下方侧进行排气。
通过如此使可动壁100A升降,能够使通用开口部CA的位置变化。由此,根据工艺条件、处理张数的变化使可动壁100A的位置移动,从而能够根据工艺条件、处理张数对排气的平衡进行调整。其结果,能够获得所期望的面间均匀性。
〔第3实施方式〕
对本发明的第3实施方式的基板处理装置进行说明。图13是用于说明第3实施方式的基板处理装置的可动壁的一个例子的图。
第3实施方式的基板处理装置具有与前述的第1实施方式的基板处理装置1不同的可动壁100B。此外,对于其他的点,具有与第1实施方式的基板处理装置1同样的结构,因此,对于与第1实施方式的基板处理装置1同样的结构,省略说明,对不同的结构进行说明。
如图13所示,可动壁100B具有半圆筒形状,形成有第2开口部102B1、102B2。
第2开口部102B1、102B2是形成为能够对内管44内的气体进行排气的气体排气口。第2开口部102B1、102B2形成为与第1开口部52的形状不同的形状。另外,第2开口部102B1、102B2具有彼此不同的形状,形成为例如不平行的两边的夹角不同的平行四边形状。在图13中,表示第2开口部102B1的形状是与前述的第2开口部102同样的形状的情况。此外,第2开口部102B1、102B2的形状并不限定于此,能够设为各种形状。
对第1开口部52与第2开口部102B1、102B2之间的位置关系进行说明。
对于第1开口部52与第2开口部102B1之间的位置关系,与第1实施方式相同,因此,省略说明。
图14是用于说明使用了图13的可动壁100B的情况的第1开口部52与第2开口部102B2之间的位置关系的图。图14的(a)~图14的(f)表示通过使可动壁100B移动(旋转)、使第2开口部102B2相对于第1开口部52的位置变化了时的通用开口部CA的形状的变化。
如图14的(a)~图14的(f)所示,通过使可动壁100B旋转,能够使通用开口部CA的形状变化。
在图14的(a)中,第1开口部52与第2开口部102B2完全不重叠,通用开口部CA的开口面积是0。由此,内管44内的气体未进行排气、或、几乎不进行排气。
在图14的(b)中,在第1开口部52的上方侧,第1开口部52与第2开口部102B2重叠。与此相对,在第1开口部52的下方侧,第1开口部52不与第2开口部102B2重叠。由此,内管44内的气体不从第1开口部52的下方侧进行排气,从第1开口部52的上方侧选择性地进行排气。
在图14的(c)中,在第1开口部52的上方侧和下方侧,第1开口部52和第2开口部102B2重叠,对于其重叠宽度,在第1开口部52的上方侧比在第1开口部52的下方侧的宽。另外,在从第1开口部52的上方侧到中央部的高度中,第1开口部52与第2开口部102B2完全重叠。即、在比第1开口部52的中央部靠上方侧的位置,通用开口部CA成为I型的形状,在比第1开口部52的中央部靠下方侧的位置,通用开口部CA成为V型的形状。由此,与第1开口部52的下方侧相比,内管44内的气体易于从第1开口部52的上方侧进行排气。
在图14的(d)中,第2开口部102B2与第1开口部52大致完全重叠。由此,内管44内的气体从第1开口部52的整体进行排气。
在图14的(e)中,在第1开口部52的上方侧和下方侧,第1开口部52和第2开口部102B2重叠,对于其重叠宽度,在第1开口部52的下方侧比在第1开口部52的上方侧宽。另外,在从第1开口部52的下方侧到中央部的高度中,第1开口部52和第2开口部102B2完全重叠。即、在比第1开口部52的中央部靠上方侧的位置,通用开口部CA成为V型的形状,在比第1开口部52的中央部靠下方侧的位置,通用开口部CA成为I型的形状。由此,与第1开口部52的上方侧相比,内管44内的气体易于从第1开口部52的下方侧进行排气。
图14的(f)中,在第1开口部52的下方侧,第1开口部52与第2开口部102B2重叠。与此相对,在第1开口部52的上方侧,第1开口部52不与第2开口部102B2重叠。由此,内管44内的气体不从第1开口部52的上方侧进行排气,从第1开口部52的下方侧选择性地进行排气。
如此,在第3实施方式的基板处理装置中,通过使可动壁100B旋转,能够使第2开口部102B1和第2开口部102B2中的一者与第1开口部52重叠。由此,能够增加通用开口部CA的形状的变化。因此,与第1实施方式相比,能够更细致对排气的平衡地进行调整。其结果,能够以更高的精度对面间均匀性进行控制。
此外,在第3实施方式中,列举在内管44形成有1个第1开口部52的情况为例进行了说明,但也可以形成有多个第1开口部52。在该情况下,优选多个第1开口部52各自的形状彼此不同。由此,能够进一步增加由于第1开口部52与第2开口部102B1、102B2之间的重叠而形成的通用开口部CA的形状的变化。在该情况下,第2开口部既可以是1个,也可以是多个。
(实施例)
对使通用开口部CA的形状变化时的、在晶圆W的表面形成的膜的膜厚的面间均匀性进行说明。图15是用于说明面间均匀性的图。图15的(a)是用于说明第1开口部的形状的图。图15的(b)表示晶圆W的位置与在晶圆W形成的氧化硅膜的膜厚之间的关系。在图15的(b)中,横轴表示晶圆W的位置,纵轴表示在晶圆W的表面形成的氧化硅膜的膜厚(nm)。另外,在图15的(b)中,“TOP”表示处理容器的上方侧的位置,“CTR”表示处理容器的中央部的位置,“BTM”表示处理容器的下方侧的位置。另外,在图15中,“T-C”表示“TOP”与“CTR”之间的位置,“C-B”表示“CTR”与“BTM”之间的位置。
在图15中,作为一个例子,列举使用六氯乙硅烷(HCD)气体作为原料气体、使用O3气体作为氧化气体来形成了氧化硅膜的情况为例来进行说明。此外,在实施例中,通过对第1开口部52的形状进行变更,虚拟地形成了使通用开口部CA的形状变化时的状态。具体而言,将第1开口部52的形状变更成V型(表示在图15的(a)的左侧。)、或I型(表示在图15的(a)的右侧。)。
如图15的(b)所示,可知:在第1开口部52的形状是V型的情况和I型的情况下,在晶圆W形成的氧化硅膜的膜厚的面间均匀性大幅度不同。具体而言,在第1开口部52的形状是V型的情况下,在“BTM”的位置处的晶圆W形成的氧化硅膜的膜厚变薄。考虑到其原因在于,向BTM侧供给的HCD气体在内管44内向第1开口部52的开口面积较大的TOP侧流动。与此相对,在第1开口部52的形状是I型的情况下,在“BTM”的位置处的晶圆W形成的氧化硅膜的膜厚比第1开口部52的形状是V型的情况厚,面间均匀性提高。考虑到其原因在于,与第1开口部52的形状是V型的情况相比较,“TOP”的位置处的开口宽度较窄,因此,向BTM侧供给的HCD气体在内管44内不会向TOP侧流动。
考虑到:通过如此使第1开口部52的形状变化,能够对在晶圆W的表面形成的膜的膜厚的面间均匀性进行控制,通过使通用开口部CA的形状变化,能够对在晶圆W的表面形成的膜的膜厚的面间均匀性进行控制。
以上,对用于实施本发明的形态进行了说明,但上述内容并不限定发明的内容,能够在本发明的范围内进行各种变形和改良。
在上述的实施方式中,对可动壁100沿着内管44的内侧壁设置的形态进行了说明,但并不限定于此,也可以设置于例如内管44与外管46之间。在该情况下,沿着内管44的外侧壁设置可动壁100。
另外,在上述的实施方式中,列举对氧化硅膜进行成膜的情况为例进行了说明,但与应该成膜的膜种类没有关系地能够适用本发明。另外,在上述的实施方式中,列举使用ALD法的情况为例进行了说明,但并不限定于此,也能够将本发明适用于例如使用CVD法的情况。
另外,在上述的实施方式中,对没有使用等离子体的成膜处理进行了说明,但并不限定于此,也能够将本发明适用于使用了等离子体的成膜处理。在该情况下,在例如划分喷嘴收容部48的凸部50的分隔壁的外侧沿着其长度方向设置施加等离子体产生用的高频电力的电力板而使等离子体产生。
另外,在上述的实施方式中,作为基板,列举半导体晶圆为例进行了说明,但半导体晶圆也包括硅基板、GaAs、SiC、GaN等化合物半导体基板。而且,并不限定于这些基板,也能够将本发明适用于液晶显示装置所使用的玻璃基板、陶瓷基板等。

Claims (11)

1.一种基板处理装置,其具有:
内管,其设置成能够收容多张基板,该内管具有第1开口部;
外管,其包围所述内管;
可动壁,其设置成能够在所述内管的内部或所述内管与所述外管之间移动,该可动壁具有第2开口部;
气体供给部件,其向所述基板供给处理气体;以及
排气部件,其设置于比所述可动壁靠外侧的位置,该排气部件对向所述基板供给的所述处理气体进行排气。
2.根据权利要求1所述的基板处理装置,其中,
所述排气部件经由所述第1开口部和所述第2开口部对向所述基板供给的所述处理气体进行排气。
3.根据权利要求1或2所述的基板处理装置,其中,
所述可动壁沿着所述内管的侧壁设置。
4.根据权利要求3所述的基板处理装置,其中,
所述可动壁具有半圆筒形状。
5.根据权利要求3所述的基板处理装置,其中,
所述可动壁具有圆筒形状。
6.根据权利要求1~5中任一项所述的基板处理装置,其中,
所述第2开口部具有与所述第1开口部不同的形状。
7.根据权利要求1~6中任一项所述的基板处理装置,其中,
该基板处理装置还具有:
驱动机构,其使所述可动壁移动;以及
控制部件,其控制所述驱动机构的动作,
所述控制部件控制所述驱动机构的动作,以使通过将所述第1开口部和所述第2开口部重叠而形成的开口部的形状变化。
8.根据权利要求7所述的基板处理装置,其中,
所述驱动机构使所述可动壁沿着所述内管的周向移动。
9.根据权利要求8所述的基板处理装置,其中,
所述第1开口部具有矩形形状,
所述第2开口部具有平行四边形状。
10.根据权利要求7所述的基板处理装置,其中,
所述驱动机构使所述可动壁沿着所述内管的上下方向移动。
11.根据权利要求10所述的基板处理装置,其中,
所述第1开口部具有沿着所述内管的长度方向隔开第1间隔设置的多个开口部,
所述第2开口部具有沿着所述内管的长度方向隔开第2间隔设置的多个开口部,
所述第1间隔和所述第2间隔不同。
CN201710820931.XA 2016-09-13 2017-09-13 基板处理装置 Active CN107815667B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110135975.5A CN112962084B (zh) 2016-09-13 2017-09-13 基板处理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016178929A JP6710130B2 (ja) 2016-09-13 2016-09-13 基板処理装置
JP2016-178929 2016-09-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110135975.5A Division CN112962084B (zh) 2016-09-13 2017-09-13 基板处理装置

Publications (2)

Publication Number Publication Date
CN107815667A true CN107815667A (zh) 2018-03-20
CN107815667B CN107815667B (zh) 2021-03-16

Family

ID=61560304

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110135975.5A Active CN112962084B (zh) 2016-09-13 2017-09-13 基板处理装置
CN201710820931.XA Active CN107815667B (zh) 2016-09-13 2017-09-13 基板处理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202110135975.5A Active CN112962084B (zh) 2016-09-13 2017-09-13 基板处理装置

Country Status (5)

Country Link
US (1) US10475641B2 (zh)
JP (1) JP6710130B2 (zh)
KR (1) KR102174107B1 (zh)
CN (2) CN112962084B (zh)
TW (1) TWI697955B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111058015A (zh) * 2018-10-16 2020-04-24 东京毅力科创株式会社 基板处理装置、基板的输入方法以及基板处理方法

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CA2976081A1 (en) * 2016-08-10 2018-02-10 Pat Technology Systems Inc. Fume extraction apparatus with movable extraction aperture
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI616555B (zh) * 2017-01-17 2018-03-01 漢民科技股份有限公司 應用於半導體設備之噴氣裝置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
WO2019038974A1 (ja) * 2017-08-25 2019-02-28 株式会社Kokusai Electric 基板処理装置、反応管、基板処理方法、および、半導体装置の製造方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) * 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047594A (ja) 2020-09-14 2022-03-25 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03169008A (ja) * 1989-11-28 1991-07-22 Fujitsu Ltd 気相成長装置
JPH06275533A (ja) * 1993-03-18 1994-09-30 Fujitsu Ltd 縦型cvd装置
CN103094159A (zh) * 2011-10-31 2013-05-08 细美事有限公司 基板处理设备及基板处理方法
TW201617472A (zh) * 2014-06-19 2016-05-16 東京威力科創股份有限公司 電漿處理裝置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09330884A (ja) * 1996-06-07 1997-12-22 Sony Corp エピタキシャル成長装置
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP5284182B2 (ja) 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5043776B2 (ja) * 2008-08-08 2012-10-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2010258265A (ja) * 2009-04-27 2010-11-11 Koyo Thermo System Kk 熱処理装置
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
JP6270575B2 (ja) * 2014-03-24 2018-01-31 株式会社日立国際電気 反応管、基板処理装置及び半導体装置の製造方法
JP6275533B2 (ja) * 2014-04-11 2018-02-07 株式会社フルヤ金属 ガラス溶解用スターラー及びガラス溶解炉

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03169008A (ja) * 1989-11-28 1991-07-22 Fujitsu Ltd 気相成長装置
JPH06275533A (ja) * 1993-03-18 1994-09-30 Fujitsu Ltd 縦型cvd装置
CN103094159A (zh) * 2011-10-31 2013-05-08 细美事有限公司 基板处理设备及基板处理方法
TW201617472A (zh) * 2014-06-19 2016-05-16 東京威力科創股份有限公司 電漿處理裝置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111058015A (zh) * 2018-10-16 2020-04-24 东京毅力科创株式会社 基板处理装置、基板的输入方法以及基板处理方法
CN111058015B (zh) * 2018-10-16 2023-07-25 东京毅力科创株式会社 基板处理装置、基板的输入方法以及基板处理方法

Also Published As

Publication number Publication date
JP6710130B2 (ja) 2020-06-17
KR20180029915A (ko) 2018-03-21
CN112962084A (zh) 2021-06-15
US10475641B2 (en) 2019-11-12
TWI697955B (zh) 2020-07-01
KR102174107B1 (ko) 2020-11-04
US20180076021A1 (en) 2018-03-15
JP2018046114A (ja) 2018-03-22
CN112962084B (zh) 2023-06-02
CN107815667B (zh) 2021-03-16
TW201820470A (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
CN107815667A (zh) 基板处理装置
KR101850255B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP6231167B2 (ja) 基板処理装置
CN101800162A (zh) 立式热处理装置用的构成构件、立式热处理装置及保温筒
CN104835758B (zh) 基板处理装置、加热装置、顶壁隔热体及半导体器件的制造方法
JP5964107B2 (ja) 半導体製造装置および半導体製造方法
US20090088001A1 (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP6073936B2 (ja) プロセス空間の高さ別に加熱温度が調節可能なヒータを備える基板処理装置
JP2012222024A (ja) 基板処理装置及び半導体装置の製造方法
US20200303222A1 (en) Heat treatment apparatus and film deposition method
JP2008124091A (ja) 半導体装置の処理装置および処理方法
KR101398949B1 (ko) 기판처리장치
KR102023434B1 (ko) 성막 방법 및 성막 시스템, 그리고 표면 처리 방법
KR102491930B1 (ko) 성막 방법 및 성막 장치
TWI731226B (zh) 基板處理裝置
JP6475135B2 (ja) 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
CN111793790A (zh) 成膜方法和成膜装置
TWI686504B (zh) 氮化膜之形成方法及記錄媒體
US20220411933A1 (en) Film forming apparatus
US8419855B2 (en) Substrate processing chamber with off-center gas delivery funnel
TWI777146B (zh) 基板處理裝置、反應管及半導體裝置之製造方法
KR101628786B1 (ko) 기판 처리장치 및 기판 처리방법
KR101570227B1 (ko) 기판 처리장치 및 기판 처리방법
CN111748788A (zh) 成膜方法和成膜装置
JP2011171479A (ja) 半導体製造装置および半導体製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant